KR20200064145A - 3d nand 및 dram 응용을 위한 -nh2 작용기를 함유하는 수소화불화탄소 - Google Patents

3d nand 및 dram 응용을 위한 -nh2 작용기를 함유하는 수소화불화탄소 Download PDF

Info

Publication number
KR20200064145A
KR20200064145A KR1020207014022A KR20207014022A KR20200064145A KR 20200064145 A KR20200064145 A KR 20200064145A KR 1020207014022 A KR1020207014022 A KR 1020207014022A KR 20207014022 A KR20207014022 A KR 20207014022A KR 20200064145 A KR20200064145 A KR 20200064145A
Authority
KR
South Korea
Prior art keywords
layer
etching
silicon
etch
darc
Prior art date
Application number
KR1020207014022A
Other languages
English (en)
Other versions
KR102398458B1 (ko
Inventor
후아이 선
파브리지오 마체지아니
제임스 로이어
나탄 스타포드
라훌 굽타
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드, 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20200064145A publication Critical patent/KR20200064145A/ko
Application granted granted Critical
Publication of KR102398458B1 publication Critical patent/KR102398458B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • H01L27/11556
    • H01L27/11582
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Abstract

2,2,2-트리플루오로에탄아민(C2H4F3N), 1,1,2-트리플루오로에탄-1-아민(이소-C2H4F3N), 2,2,3,3,3-펜타플루오로프로필아민(C3H4F5N), 1,1,1,3,3-펜타플루오로-2-프로판아민(이소-C3H4F5N), 1,1,1,3,3-펜타플루오로-(2R)-2-프로판아민(이소-2R-C3H4F5N) 1,1,1,3,3-펜타플루오로-(2S)-2-프로판아민(이소-2S-C3H4F5N), 1,1,1,3,3,3-헥사플루오로이소프로필아민(C3H3F6N) 및 1,1,2,3,3,3-헥사플루오로-1-프로판아민(이소-C3H3F6N)으로 이루어진 군으로부터 선택된 수소화불화탄소 에칭 화합물, 또는 1,1,1,3,3,3-헥사플루오로이소프로필아민(C3H3F6N) 및 1,1,2,3,3,3-헥사플루오로-1-프로판아민(이소-C3H3F6N)로 이루어진 군으로부터 선택된 수소화불화탄소 에칭 화합물을 사용하여 패턴화된 포토레지스트 층에 대하여 유전체 반사 방지 코팅(DARC) 층을 선택적으로 플라즈마 에칭하여, DARC 층 내에 애퍼처를 생성하는 방법.

Description

3D NAND 및 DRAM 응용을 위한 -NH2 작용기를 함유하는 수소화불화탄소
관련 출원의 상호 참조
본 출원은 2017년 10월 31일자로 출원된 미국 특허 출원 번호 제15/798,476호의 이익을 주장하며, 모든 목적을 위해 그 전체가 본원에 참조로 포함된다.
기술분야
특히, 3D NAND 및 DRAM 응용을 위해 패턴화된 포토레지스트(PR) 층 위에 유전체 반사 방지(DARC) 층(예컨대, SION 층)의 선택적 플라즈마 에칭 및 하드 마스크 층에 대하여 SiO/SiN 층들의 교호층들 및 SiO/p-Si 규소 층들의 교호층들의 선택적 플라즈마 에칭을 위한, 반도체 장치 에치 응용에서 규소-함유 필름의 플라즈마 에칭용 질소-함유 수소화불화탄소 에칭 화합물 및 이를 사용하는 플라즈마 에칭 방법이 개시된다.
반도체 아키텍쳐가 점점 더 소형화 및 복잡화됨에 따라, 메모리 셀이 수직으로 적층되는 3D 구조화된 NAND는, 고밀도를 통한 용량 증대, 기가 바이트 당 비용의 절감, 및 고체 상태 메모리에 기대되는 신뢰성, 속도 및 성능을 제공한다는 점에서 매우 바람직하게 여겨져 왔다. 3D NAND 제조의 분야에서, 일반적으로, 포토 레지스트는 후속 공정 단계에서 패터닝될 다양한 재료의 층들의 스택 위에 도포된다. 포토레지스트의 공간 해상도를 이용하기 위해, 포토 레지스트 노출 동안 스택 내의 다른 층들로부터 반사를 억제하기 위해 포토 레지스트 아래에 반사 방지 코팅(ARC) 층을 사용할 필요가 있다. ARC 층은 통상적으로 ARC의 단수층 또는 복수층들, 예를 들어, 유기 조성물들로 이루어진 바닥 반사 방지 코팅(BARC) 층 및 무기 조성물들로 이루어진 유전체 반사 방지 코팅(DARC) 층을 지칭한다. 최근, 심자외선(UV) 포토레지스트와 양호하게 조합하여 기능하는 능력으로 인하여 무기 DARC로서 산질화규소(SiON)의 사용에 관심이 증가하였다.
3D NAND 응용에 있어서, 리소그래피 목적을 위한 PR 마스크 층 아래의 SiON 층의 두께는 SiON 층 아래에 첨가되는 패턴화될 다양한 물질의 더욱 더 많은 층들로 인하여 증가된다. PR 마스크 층은 통상적으로 플라즈마에 의해 용이하게 손상되는 C, H 및 O 원소로 이루어진 스핀-온(spin-on) 물질이다. CF4, CHF3, C4F8 또는 C4F6과 같은 종래 건조 에칭 가스는 제한된 SiON/PR 선택성을 갖는다. 이러한 가스들은 동위원소적으로 에칭하는 경향이 있고, PR 마스크 층 상에 오버행(overhang)/손상을 발생시키며, 따라서 PR 상의 손상 또는 PR 상의 구조적 변화는 후속 에칭 단계에 영향을 줄 것이다. 이에, 최소한의 PR 변형으로 SiON/PR의 높은 선택성을 달성하는 것은 도전적인 것이며 산업계에서 큰 관심을 끌고 있다.
플라즈마 에칭 조건 하에서 에칭 공정 동안에 PR 마스크 층의 손상을 억제하기 위해, 즉. DARC 층의 포토 레지스트 층에 대한 선택성을 개선하려는 시도가 수행되었다.
수소화불화탄소 또는 불화탄소는 DARC 층 및 포토 레지스트 층이 증착되는 유전체 층을 에칭하기 위해 사용되어 왔다. 예를 들어, Yang 등에 허여된 미국 특허 번호 제6495469호는 CH3F, CH2F2, 또는 CHF3를 이용하는 DARC 층을 O2/N2/Ar로 에칭하면 DARRC 층과 유전체 층의 포토레지스트층에 대한 선택성을 약 0.87 내지 2.45로 개선시킨다고 개시한다.
아울러, 산화규소 및 질화규소(SiO/SiN 또는 ON) 및 산화규소 및 폴리실리콘(SiO/p-Si 또는 OP)의 스택은 NAND 형 플래시 메모리에서 터널 및 전하 트래핑의 중요한 조성이다. 다중 SiO/SiN 또는 SiO/p-Si 층의 스택의 에칭은 3D NAND 응용에서 중요하다. 3D NAND를 에칭하는 과제는 가능한 한 높은 유사한 에칭 속도로 산화 및 질화물 층 또는 산화 및 폴리실리콘(p-Si) 층을 에칭하는 방법이다. 또한, 에칭된 구조는 보우잉(bowing) 및 낮은 라인 에칭 거칠기(line etch roughness; LER) 없이 직선 수직 프로파일을 가져야 한다.
SiO/SiN 또는 SiO/p-Si 층을 에칭하기 위한 종래 에칭 가스는 cC4H8, C4F6, CF4, CH2F2, CH3F, 및/또는 CHF3를 포함한다. C:F의 비율이 증가함에 따라 선택성 및 폴리머 증착 속도가 증가하는 것으로 알려져 있다 (즉, C4F6>C4F8>CF4). 종래 에칭 화학물질은 적어도, 플라즈마 에칭 공정 동안 측벽 상의 불충분한 내에칭성 폴리머 증착으로 인하여, 새로운 응용(예컨대, 3D NAND)에서 필수적인, 20:1보다 더 큰 종횡비를 갖는, 홀 또는 트렌치와 같은 피쳐(feature)를 제공하지 못할 수 있다. 측벽 -CxFy- 폴리머(여기서, x는 0.01 내지 1의 범위이며, y는 0.01 내지 4의 범위임)는 에칭이 가능할 수 있다. 결과적으로, 에칭된 패턴은 수직이지 않을 수 있으며, 에칭 구조는 보우잉, 치수 변화, 패턴 붕괴 및/또는 증가된 거칠기를 나타낼 수 있다.
질소 함유 화합물은 에칭 가스로서 사용되었다. 예를 들어, Trapp에게 허여된 미국특허 제6,569,774호 및 제7,153,779호에는 산화규소 층을 통해 고종횡비 접촉 개구를 형성하기 위한 플라즈마 에칭 공정이 개시되어 있다. 산화규소 층 위에 마스킹 층을 유지시키기 위해 에칭 동안 폴리머 표면 물질을 증착시키기 위해 하나 이상의 질소-포함 가스를 포함하는 적어도 하나의 에칭 가스가 사용된다. -NH2 화학을 함유하는 수소화불화탄소 및 불화탄소의 목록이 개시되어 있지만, 구조식, CAS 번호 또는 이성질체 정보는 제공되지 않는다. Surla 등에 허여된 미국 특허 번호 제9659788호는 규소-함유 필름을 플라즈마 에칭하기 위한 -NH2 함유 에칭 가스를 사용하는 질소-함유를 개시하고 있는데, 여기서 1,1,1,3,3,3- 헥사플루오로이소프로필아민(C3H3F6N)은 p-Si 및 a-C에 대한 측벽 보호 및 양호한 선택성을 제공하지만 산소 첨가 없이도 SiN 필름에 대한 선택성을 상실하는 것으로 개시되어 있다.
현재까지, 질소-함유 수소화불화탄소를 사용하여 DARC 층 및 규소-함유 층들의 스택 둘 다를 에칭하는 방법은 발견되지 않았다. 그러므로, PR 마스크 층에 대하여 DARC 층 및 a-C 층에 대하여 규소-함유 층들의 높은 선택성을 제공하고 광범위한 공정 조건에 대하여 고종횡비를 유지할 수 있는, 플라즈마 에칭 응용에서 다양한 물질의 층들의 스택을 패터닝하는 데 사용하기 위한 새로운 에칭 가스 조성물을 개발할 필요가 존재한다.
기판 위에 배치된 규소-함유 층들의 구조를 에칭하는 방법으로서, 구조는 제1 규소-함유 층 및 제2 규소-함유 층의 교호층들 위에 증착된 유전체 반사 방지 코팅(DARC) 층, DARC 층 위에 형성된 패턴화된 포토레지스트 층, 및 DARC 층 및 교호층들 사이에 형성된 하드마스크 층을 가지며, 방법은 2,2,2-트리플루오로에탄아민(C2H4F3N) 및 2,2,3,3,3-펜타플루오로프로필아민(C3H4F5N)으로 이루어진 군으로부터 선택된 수소화불화탄소 에칭 화합물을 사용하여 패턴화된 포토레지스트 층에 대하여 DARC 층을 선택적으로 플라즈마 에칭하여 DARC 층 내에 애퍼처(aperture)를 생성하는 단계, 패턴화된 포토레지스트 층 및 DARC 층에 대하여 DARC 층 내에서 애퍼처에 의해 노출된 하드마스크 층을 하드마스크 층을 에칭하는 데 적합한 에칭 가스로 선택적으로 플라즈마 에칭하여 하드마스크 층을 통해 애퍼처를 확장하는 단계, 및 수소화불화탄소 에칭 화합물을 사용하여 하드마스크 층에 대하여 하드마스크 층 내의 애퍼처에 의해 노출된 교호층들을 선택적으로 플라즈마 에칭하여 교호층들을 통해 애퍼처를 확장하는 단계를 포함하고, 제1 규소-함유 층 및 제2 규소-함유 층은 비선택적으로 에칭되는, 방법이 개시된다.
또한, 기판 위애 배치된 규소-함유 층들의 구조를 에칭하는 방법으로서, 구조는 산화규소 및 질화규소의 교호층들 위에 배치된 DARC 층, DARC 층 위에 형성된 패턴화된 포토레지스트 층, 및 DARC 층 및 교호층들 사이에 형성된 하드마스크 층을 가지며, 방법은 1,1,1,3,3,3-헥사플루오로이소프로필아민(C3H3F6N)을 사용하여 패턴화된 포토레지스트 층에 대하여 DARC 층을 선택적으로 플라즈마 에칭하여 DARC 층 내에 애퍼처를 생성하는 단계, 패턴화된 포토레지스트 층 및 DARC 층에 대하여 DARC 층 내에서 애퍼처에 의해 노출된 하드마스크 층을 하드마스크 층을 에칭하는 데 적합한 에칭 가스로 선택적으로 플라즈마 에칭하여 하드마스크 층을 통해 애퍼처를 확장하는 단계, 및 1,1,1,3,3,3-헥사플루오로이소프로필아민(C3H3F6N)을 사용하여 하드마스크 층에 대하여 하드마스크 층 내의 애퍼처에 의해 노출된 산화규소 및 질화규소의 교호층들을 선택적으로 플라즈마 에칭하여 산화규소 및 질화규소의 교호층들 내의 애퍼처를 확장하는 단계를 포함하고, 산화규소 층 및 질화규소 층은 비선택적으로 에칭되는, 방법이 개시된다.
개시된 방법들 중 어느 하나는 하기 양태들 중 하나 이상을 포함할 수 있다:
· 수소화불화탄소 에칭 화합물은 질소-함유 수소화불화탄소 화합물임;
· 수소화불화탄소 에칭 화합물은 질소-함유 유기불소 화합물임;
· 수소화불화탄소 에칭 화합물은 말단 탄소에 -NH2 작용기를 함유함;
· 수소화불화탄소 에칭 화합물은 말단 탄소가 아닌 곳에 -NH2 작용기를 함유함;
· 수소화불화탄소 에칭 화합물은 하나의 질소를 함유함;
· 플라즈마·활성화된 수소화불화탄소 에칭 화합물은 규소-함유 필름과 반응하여 휘발성 부산물을 생성함;
· 휘발성 부산물은 반응 챔버로부터 제거됨;
· DARC 층은 규소-함유 필름임;
· 규소-함유 필름은 산소, 질소, 탄소, 수소, 탄소 또는 이들의 조합을 포함함;
· 규소-함유 필름은 SiOxNyHzCk(여기서, x는 0 내지 2의 범위이고, y는 0 내지 1의 범위이고, z는 0 내지 1의 범위이며, k는 0 내지 1의 범위임)임;
· 규소-함유 필름은 SiON 층을 포함함;
· 규소-함유 필름은 SiON 층임;
· DARC 층은 SiON 층을 포함함;
· DARC 층은 SiON 층임;
· DARC 층은 무기 유전체 캡 층임;
· DARC 층은 폴리머 층이 아님;
· DARC 층은 플라즈마 손상된 층이 아님;
· SiON 층은 패턴화된 포토레지스트 층으로부터 선택적으로 에칭됨;
· SiON 층을 패턴화된 포토레지스트 층으로부터 선택적으로 에칭함;
· DARC 층 위에 BARC 층을 증착함;
· BARC 층은 폴리아미드 및 폴리술폰을 포함함;
· 패턴화된 포토레지스트 층에 대하여 BARC 층을 선택적으로 플라즈마 에칭함;
· 패턴화된 포토레지스트 층은 3차 비-환형 알킬 탄소 또는 에스테르의 카르빌 산소와 공유적으로 연결된 3차 지환식 탄소를 함유하는 에스테르기, 아세탈 광산-불안정성기, 아민계 가교 성분, 또는 수지 바인더 로서 사용을 위한 페놀성 수지를 포함하는 포토레지스트 수지로 이루어짐;
· 패턴화된 포토레지스트 층은 아민계 가교제를 포함하는 가교 성분, 수지 바인더 및 광활성 성분으로 이루어짐;
· 교호층들은 산화규소, 질화규소, 폴리실리콘, 결정성 실리콘, SiOCH, SiON, SiaObCcNdHe(여기서, a>0; b, c, d 및 e ≥ 0) 또는 이들의 조합의 층을 포함함;
· 교호층들은 산소 원자, 질소 원자, 탄소 원자, 수소 원자 또는 이들의 조합을 포함함;
· 교호층들은 규소-함유 필름임;
· 교호층들은 산화규소의 층 및 질화규소의 층을 포함함;
· 교호층들은 산화규소 및 질화규소의 교호층들을 포함함;
· 교호층들은 산화규소 및 질화규소의 교호층들임;
· 교호층들은 산화규소의 층 및 폴리실리콘의 층을 포함함;
· 교호층들은 산화규소 및 폴리실리콘의 교호층들을 포함함;
· 교호층들은 산화규소 및 폴리실리콘의 교호층들임;
· 제1 규소-함유 층은 산화규소 층을 포함함;
· 제1 규소-함유 층은 질화규소 층을 포함함;
· 제1 규소-함유 층은 폴리실리콘 층을 포함함;
· 제2 규소-함유 층은 산화규소 층을 포함함;
· 제2 규소-함유 층은 질화규소 층을 포함함;
· 제2 규소-함유 층은 폴리실리콘 층을 포함함;
· 교호층들 위에 하드마스크 층을 증착함;
· 하드마스크 층은 비정질 탄소(a-C), 붕소, 질소, 황, 염소, 불소 또는 금속(Al, Zr, Ti, W)으로 도핑된 비정질 탄소와 같은 도핑된 a-C의 열 증착 공정 CVD, 플라즈마 강화 공정 PECVD 또는 스프레이 온/스핀 온 증착 층, 규소-함유 스핀 온 마스크 층, 및 탄소-함유 스핀 온 마스크 층으로 이루어진 군으로부터 선택됨;
· 하드마스크 층은 a-C 층임;
· 하드마스크 층은 도핑된 a-C 층임;
· 하드마스크 층은 규소-함유 스핀 온 마스크 층임;
· 하드마스크 층은 탄소-함유 스핀 층임;
· 하드마스크 층은 패턴화된 포토레지스트 층 및 DARC 층으로부터 선택적으로 에칭됨;
· 패턴화된 포토레지스트 층 및 DARC 층에 대하여 하드마스크 층을 선택적으로 플라즈마 에칭함;
· 하드마스크 층은 cC4F8, C4F8, C4F6, C5F8, CF4, CH3F, CF3H, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I, CFN, FNO, SO2 및 이들의 조합으로 이루어진 군으로부터 선택된 에칭 가스에 의해 에칭됨;
· 교호층들은 하드마스크 층으로부터 선택적으로 에칭됨;
· 교호층들은 a-C 층으로부터 선택적으로 에칭됨;
· 교호층들은 도핑된 a-C 층으로부터 선택적으로 에칭됨;
· 교호층들은 규소-함유 스핀 온 하드마스크 층으로부터 선택적으로 에칭됨;
· 교호층들은 탄소-함유 스핀 온 하드마스크 층으로부터 선택적으로 에칭됨;
· 산화규소 및 질화규소의 교호층들은 하드마스크 층으로부터 선택적으로 에칭됨;
· 산화규소 및 질화규소의 교호층들은 a-C 층으로부터 선택적으로 에칭됨;
· 산화규소 및 질화규소의 교호층들은 도핑된 a-C 층으로부터 선택적으로 에칭됨;
· 산화규소 및 질화규소의 교호층들은 규소-함유 스핀 온 하드마스크 층으로부터 선택적으로 에칭됨;
· 산화규소 및 질화규소의 교호층들은 질소-함유 스핀 온 하드마스크 층으로부터 선택적으로 에칭됨;
· 산화규소 및 폴리실리콘의 교호층들은 하드마스크 층으로부터 선택적으로 에칭됨;
· 산화규소 및 폴리실리콘의 교호층들은 a-C 층으로부터 선택적으로 에칭됨;
· 산화규소 및 폴리실리콘의 교호?들은 도핑된 a-C 층으로부터 선택적으로 에칭됨;
· 산화규소 및 폴리실리콘의 교호층들은 규소-함유 스핀 온 하드마스크 층으로부터 선택적으로 에칭됨;
· 산화규소 및 폴리실리콘의 교호층들은 탄소-함유 스핀 온 하드마스크 층으로부터 선택적으로 에칭됨;
· 산화규소 층은 하드마스크 층으로부터 선택적으로 에칭됨;
· 산화규소 층은 a-C 층으로부터 선택적으로 에칭됨;
· 산화규소 층은 도핑된 a-C 층으로부터 선택적으로 에칭됨;
· 산화규소 층은 규소-함유 스핀 온 하드마스크 층으로부터 선택적으로 에칭됨;
· 산화규소 층은 탄소-함유 스핀 온 하드마스크 층으로부터 선택적으로 에칭됨;
· 질화규소 층은 하드마스크 층으로부터 선택적으로 에칭됨;
· 질화규소 층은 a-C 층으로부터 선택적으로 에칭됨;
· 질화규소 층은 도핑된 a-C 층으로부터 선택적으로 에칭됨;
· 질화규소 층은 규소-함유 스핀 온 하드마스크 층으로부터 선택적으로 에칭됨;
· 질화규소 층은 탄소-함유 스핀 온 하드마스크 층으로부터 선택적으로 예칭됨;
· 폴리실리콘 층은 하드마스크 층으로부터 선택적으로 에칭됨;
· 폴리실리콘 층은 a-C 층으로부터 선택적으로 에칭됨;
· 폴리실리콘 층은 도핑된 a-C 층으로부터 선택적으로 에칭됨;
· 폴리실리콘 층은 규소-함유 온 하드마스크 층으로부터 선택적으로 에칭됨;
· 폴리실리콘 층은 탄소-함유 온 하드마스크 층으로부터 선택적으로 에칭됨;
· 산화규소 층은 질화규소 층으로부터 선택적으로 에칭되지 않음;
· 산화규소 층은 폴리실리콘 층으로부터 선택적으로 에칭되지 않음;
· 질화규소 층은 산화규소 층으로부터 선택적으로 에칭되지 않음;
· 폴리실리콘 층은 산화규소 층으로부터 선택적으로 에칭되지 않음;
· 대략 10:1 내지 대략 200:1의 종횡비를 갖는 애퍼처를 생성함;
· 규소-함유 필름 내에 대략 10:1 내지 대략 200:1의 종횡비를 갖는 애퍼처를 생성함;
· SiON 층 내에 대략 10:1 내지 대략 200:1의 종횡비를 갖는 애퍼처를 생성함;
· 교호층들 내에·대략 10:1 내지 대략 200:1의 종횡비를 갖는 애퍼처를 생성함;
· SiO 및 SiN 층들의 교호층들 내에 대략 10:1 내지 대략 200:1의 종횡비를 갖는 애퍼처를 생성함;
· SiO 및 p-Si 층들의 교호층들 내에 대략 10:1 내지 대략 200:1의 종횡비를 갖는 애퍼처를 생성함;
· 패턴화된 포토레지스트 층 및 애퍼처의 측벽 상에 형성된 폴리머 층;
· 에칭하면서 패턴화된 포토레지스트 층 및 애퍼처의 측벽 상에 증착하는, -NHx 함유 이온(여기서, x=0-2)을 포함하는 플라즈마 활성화된 수소화불화탄소 에칭 화합물;
· 반응 챔버 내에 산화제를 도입함;
· 반응 챔버 내에 산화제를 도입하지 않음;
· 산화제는 O2, O3, CO, CO2, NO, NO2, N2O, SO2, COS, H2O 및 이들의 조합으로 이루어진 군으로부터 선택되는 것으로 구성되는 군으로부터 선택됨;
· 산화제는 O2임;
· 반응 챔버에 도입하기 전에 수소화불화탄소 에칭 가스 및 산화제를 혼합함;
· 산화제와 별도로 반응 챔버 내에 수소화불화탄소 에칭 가스를 도입함;
· 반응 챔버 내에 산화제를 연속적으로 도입하고, 반응 챔버 내에 수소화불화탄소 에칭 가스를 펄스식으로 도입함;
· 산화제는 반응 챔버 내에 도입된 수소화불화탄소 에칭 가스 및 산화제의 총 부피의 대략 0.01% v/v 내지 대략 99.9% v/v를 포함함;
· 산화제는 반응 챔버 내에 도입된 수소화불화탄소 에칭 가스 및 산화제의 총 부피의 대략 0.01% v/v 내지 대략 10% v/v를 포함함;
· 반응 챔버 내에 비활성 가스를 도입함;
· 반응 챔버 내에 비활성 가스를 도입하지 않음;
· 비활성 가스는 He, Ar, Xe, Kr, 및 Ne로 이루어진 군으로부터 선택됨;
· 비활성 가스는 Ar임;
· 반응 챔버에 도입하기 전에 수소화불화탄소 에칭 가스 및 비활성 가스를 혼합하여 혼합물을 생성함;
· 비활성 가스와 별도로 반응 챔버 내에 수소화불화탄소 에칭 가스를 도입함;
· 반응 챔버 내에 비활성 가스를 연속적으로 도입하고, 반응 챔버 내에 수소화불화탄소 에칭 가스를 펄스식으로 도입함;
· 비활성 가스는 반응 챔버 내에 도입된 수소화불화탄소 에칭 가스 및 비활성 가스의 총 부피의 대략 0.01% v/v 내지 대략 99.9% v/v를 포함함;
· 비활성 가스는 반응 챔버 내에 도입된 수소화불화탄소 에칭 가스 및 비활성 가스의 총 부피의 대략 90% v/v 내지 대략 99% v/v를 포함함;
· 반응 챔버 내에 추가 에칭 가스를 도입함으로써 선택성을 개선시킴;
· 추가 에칭 가스는 cC4F8, C4F8, C4F6, CF4, CH3F, CHF3, CH2F2, COS, CF3I, C2F3I, C2F5I, F-C≡N, CS2, SO2, 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐(트랜스-C4H2F6), 시스-1,1,1,4,4,4-헥사플루오로-2-부텐(시스-C4H2F6), 헥사플루오로이소부텐(C4H2F6), 트랜스-1,1,2,2,3,4-헥사플루오로시클로부탄(트랜스-C4H2F6), 1,1,2,2,3-펜타플루오로시클로부탄(C4H3F5), 1,1,2,2-테트라플루오로시클로부탄(C4H4F4), 또는 시스-1,1,2,2,3,4-헥사플루오로시클로부탄(시스-C4H2F6)으로 이루어진 군으로부터 선택됨;
· 추가 에칭 가스는 CHF3임;
· 추가 에칭 가스는 cC5F8임;
· 추가 에칭 가스는 cC4F8임;
· 추가 에칭 가스는 C4F6임;
· 반응 챔버에 도입하기 전에 수소화불화탄소 에칭 가스 및 추가 에칭 가스를 혼합함;
· 추가 에칭 가스와 별도로 반응 챔버 내에 수소화불화탄소 에칭 가스를 도입함;
· 반응 챔버 내에 대략 0.01% v/v 내지 대략 99.99% v/v의 추가 에칭 가스를 도입함;
· RF 전력을 인가함으로써 플라즈마를 활성화시킴;
· 대략 25 W 내지 대략 20,000 W 범위의 RF 전력에 의해 플라즈마를 활성화시킴;
· 반응 챔버는 대략 1 mTorr 내지 대략 10 Torr 범위의 압력을 가짐;
· 반응 챔버 내에 수소화불화탄소 에칭 가스를 대략 0.1 sccm 내지 대략 1 slm 범위의 유량으로 도입함;
· 기판을 대략 -196℃ 내지 대략 500℃ 범위의 온도에서 유지시킴;
· 기판을 대략 -120℃ 내지 대략 300℃ 범위의 온도에서 유지시킴;
· 기판을 대략 -100℃ 내지 대략 50℃ 범위의 온도에서 유지시킴;
· 기판을 대략 -10℃ 내지 대략 40℃ 범위의 온도에서 유지시킴; 및
· 사중극자 질량 분석계, 광학 방사 분광계, FTIR, 또는 다른 라디칼/이온 측정 툴에 의해 플라즈마 활성화된 수소화불화탄소 에칭 화합물을 측정함.
-NH2 작용기를 갖는 질소-함유 수소화불화탄소 에칭 화합물이 또한 개시된다. 개시된 질소-함유 수소화불화탄소 에칭 화합물은 하기 양태들 중 하나 이상을 포함한다:
· 수소화불화탄소 에칭 화합물은 질소-함유 유기불소 화합물임;
· 수소화불화탄소 에칭 화합물은 말단 -NH2 작용기를 함유함;
· 수소화불화탄소 에칭 화합물은 비-말단 -NH2 작용기를 함유함;
· 수소화불화탄소 에칭 화합물은 하나의 질소 원자를 함유함
· 수소화불화탄소 에칭 화합물은 2,2,2-트리플루오로에탄아민(C2H4F3N)임;
·수소화불화탄소 에칭 화합물은 1,1,2-트리플루오로에탄-1-아민(이소-C2H4F3N)임;
· 수소화불화탄소 에칭 화합물은 2,2,3,3,3-펜타플루오로프로필아민(C3H4F5N)임;
· 수소화불화탄소 에칭 화합물은 1,1,1,3,3-펜타플루오로-2-프로판아민(이소-C3H4F5N)임;
· 수소화불화탄소 에칭 화합물은 1,1,1,3,3-펜타플루오로-(2R)-2-프로판아민(이소-2R-C3H4F5N)임;
· 수소화불화탄소 에칭 화합물은 1,1,1,3,3-펜타플루오로-(2S)-2-프로판아민(이소-2S-C3H4F5N)임;
· 수소화불화탄소 에칭 화합물은 1,1,1,3,3,3-헥사플루오로이소프로필아민(C3H3F6N)임;
· 수소화불화탄소 에칭 화합물은 1,1,2,3,3,3-헥사플루오로-1-프로판아민(이소-C3H3F6N)임;
· 대략 95부피% 내지 대략 99.999부피% 범위의 순도를 가짐;
· 대략 10 ppt(1 조당 부) 내지 대략 5 부피%의 미량 가스 불순물을 포함함;
· 미량 가스 불순물은 물을 포함함;
· 미량 가스 불순물은 CO2를 포함함;
· 미량 가스 불순물은 N2를 포함함; 및
· 질소-함유 탄화수소 에칭 화합물은 20 ppmw 미만의 물 함량을 가짐.
표기법 및 명칭
하기 상세한 설명 및 청구항들은 당해 분야에서 일반적으로 널리 알려진, 다수의 약어, 기호, 및 용어를 사용하고 하기를 포함한다:
본원에서 사용되는, 단수형은 하나 이상을 의미한다.
본원에서 사용되는 "약(about, around)" 또는 "대략(approximately)"은 텍스트(text)에서 또는 청구항에서 기술된 값의 ±10%를 의미한다.
본원에서 사용되는 용어 "에칭하다(etch)" 또는 "에칭(etching)"은 수직 측벽이 기판에 대해 직각으로 마스킹된 피쳐의 에지를 따라 형성되도록 이온 충돌(ion bombardment)이 화학 반응을 수직 방향으로 가속화시키는 플라즈마 에칭 공정(즉, 건식 에칭 공정)을 지칭한다(Manos and Flamm, Plasma Etching An Introduction, Academic Press, Inc. 1989 pp.12-13). 에칭 공정은 기판 내에 애퍼처, 트렌치(trench), 채널 홀(channel hole), 게이트 트렌치(gate trench), 스테어케이스 컨택트(staircase contacts), 커패시터 홀(capacitor hole), 컨택트 홀(contact hole), 스테어케이스 에칭(staircase etch), 슬릿 에칭(slit etch), 베리드 컨택트 에칭(buried contact etch),커패시터 컨택트 에칭(capacitor contact etch), 쉘로우 트렌치 아이솔레이션 에칭(shallow trench isolation etch)과 같은 애퍼처 등을 생성한다.
용어 "패턴 에칭(pattern etch)" 또는 "패턴화된 에칭(patterned etch)"는 규소-함유 필름의 스택 상에 패턴화된 하드마스크 층과 같은 비-평면 구조를 에칭하는 것을 지칭한다.
용어 "패턴 웨이퍼" 또는 "웨이퍼"는 기판 상에 규소-함유 필름의 스택 및 패턴 에칭을 위해 형성된 규소-함유 필름의 스택 상에 패턴화된 하드마스크 층을 갖는 웨이퍼를 지칭한다.
용어 "마스크(mask)"는 에칭에 저항하는 층을 지칭한다. 하드마스크 층(hardmask layer)은 에칭될 층 위에 위치될 수 있다.
용어 "에칭 정지(etch stop)"는 아래 층들을 보호하는 에칭될 층 아래에 위치된 에칭에 저항하는 층을 지칭한다.
용어 "소자 채널(device channel)"은 실제 소자의 일부인 층을 지칭하며, 이에 대한 임의의 손상은 소자 성능에 영향을 미칠 것이다.
용어 "종횡비(aspect ratio)"는 트렌치의 폭(또는 애퍼처의 직경)에 대한 트렌치(또는 애퍼처)의 높이의 비율을 지칭한다.
용어 "선택성(selectivity)"은 다른 물질의 에칭율에 대한 하나의 물질의 에칭율의 비율을 의미한다. 용어 "선택적 에칭(selective etch)" 또는 "선택적으로 에칭하다(selectively etch)"는 다른 물질보다 하나의 물질을 더 많이 에칭시키거나, 다시 말해서, 2개의 물질들 간에 1:1 초과 또는 미만의 에칭 선택성을 갖는 것을 의미한다.
R 기를 기술하는 문맥에서 사용될 때 용어 "독립적으로(independently)"는 대상 R 기가 동일하거나 상이한 아래첨자 또는 위첨자를 지닌 다른 R 기에 대해 독립적으로 선택될 뿐만 아니라, 동일한 R 기의 임의의 추가적인 종에 대해 독립적으로 선택되는 것을 의미하는 것으로 이해되어야 한다. 예를 들어, 화학식 MR1 x (NR2R3)(4-x)(여기서, M은 원자이고, x는 2 또는 3임)에서, 2개 또는 3개의 R1 기는 서로 동일할 수 있거나 R2와 또는 R3과 동일할 수 있지만, 반드시 그러할 필요는 없다. 또한, 특별히 명시하지 않는 한, 상이한 화학식에서 사용되는 경우 R기의 값은 서로 독립적인 것으로 이해되어야 한다.
본원에서, 용어 "필름(film)" 및 "층(layer)"이 서로 교환 가능하게 사용될 수 있다는 것에 주목한다. 필름이 층에 해당하거나 이와 관련될 수 있으며, 층이 필름을 지칭할 수 있는 것으로 이해된다. 또한, 당업자는, 본원에서 사용되는 용어 "필름" 또는 "층"이 표면 상에 놓여 지거나 표면 위에 펼쳐진 일부 물질의 두께를 지칭하며, 표면이 전체 웨이퍼 정도로 크거나 트렌치 또는 라인 정도로 작은 범위일 수 있다는 것을 인지할 것이다.
본원에서, 용어 "에칭 화합물" 및 "에칭 가스"는 에칭 화합물이 상온 및 상압 하에 가스 상태인 경우 서로 교환 가능하게 사용될 수 있다는 것에 주목한다. 에칭 화합물이 에칭 가스에 해당하거나 이와 관련될 수 있으며, 에칭 가스가 에칭 화합물을 지칭할 수 있는 것으로 이해된다.
용어 "통해(via)", "애퍼처" 및 "홀(hole)"은 때때로 서로 교환 가능하게 사용되며, 일반적으로 직접적인 전기적 연결을 위한 물리적 경로를 제공하는 층간 절연체 내의 개구를 의미한다.
용어 "트렌치"는 일반적으로 반도체 기판에 에칭된 기하학적 특징을 의미한다.
본원에서 사용되는 약어 "NAND"는 "부정 AND(Negated AND)" 또는 "낫 AND(Not AND)" 게이트를 지칭하며; 약어 "2D"는 평면 기판 상의 2차원 게이트 구조를 지칭하며; 약어 "3D"는 3차원 또는 수직 게이트 구조를 지칭하며, 여기서, 게이트 구조는 수직 방향으로 적층된다.
원소 주기율표로부터의 원소의 표준 약어가 본원에서 사용된다. 원소가 이러한 약어에 의해 지칭될 수 있는 것으로 이해되어야 한다(예컨대, Si는 규소를 지칭하며, N은 질소를 지칭하며, O는 산소를 지칭하며, C는 탄소를 지칭하며, H는 수소를 지칭하며, F는 불소를 지칭하는 등).
화학물질 식별 서비스(Chemical Abstract Service)에 의해 지정된 고유한 CAS 등록 번호(즉, "CAS")는 개시된 특정 분자를 식별하기 위해 제공된다.
SiN 및 SiO와 같은 규소-함유 필름은 이의 적절한 화학양론을 언급하지 않고 명세서 및 청구범위 전반에 걸쳐서 열거되어 있다는 것을 주목한다. 규소-함유 필름은 결정질 Si, 폴리-실리콘(p-Si 또는 다결정질 Si), 또는 비정질 규소와 같은순수한 규소(Si) 층; 질화규소(SikNl) 층; 또는 산화규소(SinOm) 층; 또는 이들의 혼합물을 포함할 수 있으며, 여기서, k, l, m, 및 n은 0.1 내지 6의 범위이다(경계값 포함함). 바람직하게, 질화규소는 SikNl이며, 여기서, k 및 l은 각각 0.5 내지 1.5의 범위이다. 더욱 바람직하게, 질화규소는 Si3N4이다. 여기서, 하기 설명에서 SiN은 SikNl 함유 층들을 나타내는 데 사용될 수 있다. 바람직하게, 산화규소는 SinOm이며, 여기서, n은 0.5 내지 1.5의 범위이고, m은 1.5 내지 3.5의 범위이다. 더욱 바람직하게, 산화규소는 SiO2이다. 여기서, 하기 설명에서 SiO는 SinOm 함유 층들을 나타내는 데 사용될 수 있다. 규소-함유 필름은 또한 Applied Materials, Inc.에 의한 화학식 SiOCH를 갖는 Black Diamond II 또는 III 물질과 같은, 유기계 또는 산화규소계 저-k 유전 물질과 같은 산화규소계 유전 물질일 수 있다. 규소-함유 필름은 또한 SiaObNc를 포함할 수 있으며, 여기서, a, b, c는 0.1 내지 6의 범위이다. 규소-함유 필름은 또한 B, C, P, As 및/또는 Ge와 같은 도펀트를 포함할 수 있다.
본 발명의 특성 및 목적을 더욱 잘 이해하기 위하여, 첨부된 도면과 관련하여 기술된 하기 상세한 설명이 참조되어야 하며, 이러한 도면에서, 유사한 구성요소에는 동일하거나 유사한 참조 번호가 제공된다:
도 1a는 당업계에서 3D NAND 게이트를 생성하기 위한 3D NAND 스택에서의 예시적인 층들의 예시적인 측단면도이다;
도 1b는 개시된 수소화불화탄소 에칭 화합물을 사용한, 플라즈마 에칭에 의해 내부에 형성된 고종횡비 홀을 보이는 3D NAND 스택에서 예시적인 층들의 측단면도이다;
도 1c는 3D NAND 스택의 에칭 동안 측벽 상에 증착된 폴리머를 나타낸 3D NAND 스택에서의 예시적인 층들의 예시적인 측단면도이다;
도 2는 증착 및 에칭 시험에서 적용되는 예시적인 반응기 시스템의 측단면도이다;
도 3은 개시된 구현예들에서 사용된 예시적인 웨이퍼 패턴의 예시적인 측단면도이다;
도 4는 CHF3에 의해 생성된 종의 전자 충격 이온화 에너지 대 압력을 도시한 그래프이다;
도 5는 CHF3, Ar 및 O2를 사용한, 산소 유량의 함수로서의 SiON 및 PR 필름의 에칭 속도를 도시한 그래프이다;
도 6은 CHF3, Ar 및 O2로 에칭한 후 SiON = 500 ㎚ 패턴화된 웨이퍼 상의 SEM 이미지이다;
도 7은 CHF3, CF4, NH3, Ar 및 O2를 사용한, 산소 유량의 함수로서의 SiO 및 PR 필름의 에칭 속도를 도시한 그래프이다;
도 8은 ONON 및 OPOP를 에칭하기 위한, 종래 수소화불화탄소(CF4 and CHF3), 암모니아(NH3), Ar 및 O2를 사용한 SiO2, SiN, p-Si 및 a-C의 에칭 속도를 도시한 그래프이다;
도 9는 C2H4F3N에 의해 생성된 종의 전자 충격 이온화 에너지 대 압력을 도시한 그래프이다;
도 10은 C2H4F3N, Ar 및 O2를 사용한, 산소 유량의 함수로서의 SiON 및 PR 필름의 에칭 속도를 도시한 그래프이다;
도 11a는 O2의 첨가 없이 C2H4F3N 및 Ar로 에칭한 이후 SiON 표면의 SEM 이미지이다;
도 11b는 O2의 첨가와 함께 C2H4F3N 및 Ar로 에칭한 이후 SiON 표면의 SEM 이미지이다;
FIG. 12는 C3H3F6N에 의해 생성된 종의 전자 충격 이온화 에너지 대 압력을 도시한 그래프이다;
도 13은 C3H3F6N, Ar 및 O2를 사용한, 산소 유량의 함수로서의 SiON 및 PR 필름의 에칭 속도를 도시한 그래프이다;
도 14는 패턴화된 웨이퍼를 C3H3F6N, Ar 및 O2로 에칭한 후의 SEM 이미지이다;
도 15는 C3H4F5N에 의해 생성된 종의 전자 충격 이온화 에너지 대 압력을 도시한 그래프이다;
도 16은 C3H4F5N, Ar 및 O2를 사용한, 산소 유량의 함수로서의 SiON 및 PR 필름의 에칭 속도를 도시한 그래프이다;
도 17a는 C3H4F5N, Ar 및 15sccm의 O2로 에칭한 이후 SiON 표면의 SEM 이미지이다;
도 17b는 C3H4F5N, Ar 및 16sccm의 O2로 에칭한 이후 SiON 표면의 SEM 이미지이다;
도 18은 패턴화된 웨이퍼를 C3H4F5N, Ar 및 O2로 에칭한 후 SEM 이미지이다;
도 19는 SiON 및 PR 표면 상에 C2H4F3N 및 C3H4F5N 각각의 증착 속도를 도시한 그래프이다;
도 20은 3D NAND 응용에서 ONON 및 OPOP 에칭을 위해 다양한 O2 유량으로 C3H4F5N 및 Ar을 사용한 SiO2, SiN, p-Si 및 a-C의 에칭 속도를 도시한 그래프이다; 그리고
도 21은 평면 웨이퍼 상에 다양한 O2 유량으로 C3H3F6N 및 Ar로 SiO2, SiN, p-Si 및 a-C의 에칭 속도를 도시한 그래프이다.
3D NAND 및 DRAM 응용에서 규소-함유 필름의 플라즈마 에칭 방법이 개시된다. 더 구체적으로, 내부에 채널 홀, 스테어케이스 컨택트, 스테어케이스 에칭, 슬릿 에칭, 컨택트 홀, 게이트 트렌치, 커패시터 홀, 베리드 컨택트 에칭,커패시터 컨택트 에칭, 쉘로우 트렌치 아이솔레이션 에칭 등을 형성하기 위한 반도체 구조를 제작하는 방법이 개시된다. 후속 처리 단계에서 패턴화 될 다양한 재료의 층들의 스택의 상부에서 SiON 층과 같은 DARC 캡 층을 에칭하는 방법이 개시된다. 규소-함유 층의 교호층들과 같은 다양한 재료의 층들의 스택을 에칭하는 방법이 개시된다. DARC 층을 에칭하는 전술한 처리 단계에 따라 SiO/SiN(ONON) 또는 SiO/p-Si(OPOP) 층과 같은 규소-함유 층의 층을 에칭하는 방법이 개시된다.
기판 위에 배치된 규소-함유 층의 구조를 에칭하는 개시된 방법 구조는 제1 규소-함유 층 및 제2 규소-함유 층의 교호층들 위에 증착된 DARC 층, DARC 층 위에 형성된 패턴화된 포토레지스트 층, 및 DARC 층과 교호층들 사이에 형성된 하드마스크 층을 포함한다. 방법은 수소화불화탄소 에칭 화합물을 사용하여 패턴화된 포토레지스트 층에 대하여 DARC 층을 선택적으로 플라즈마 에칭하여 DARC 층 내에 애퍼처를 생성하는 단계, 패턴화된 포토레지스트 층 및 DARC 층에 대하여 DARC 층 내에서 애퍼처에 의해 노출된 하드마스크 층을 하드마스크 층을 에칭하는 데 적합한 에칭 가스로 선택적으로 플라즈마 에칭하여 하드마스크 층을 통해 애퍼처를 확장하는 단계, 및 수소화불화탄소 에칭 화합물을 사용하여 하드마스크 층에 대하여 하드마스크 층에 의해 덮혀지지 않은 교호층들을 선택적으로 플라즈마 에칭하여 교호층들 내에 애퍼처를 확장하는 단계를 포함하고, 제1 규소-함유 층 및 제2 규소-함유 층은 비선택적으로 에칭된다. 즉, 제1 규소-함유 층 대 제2 규소-함유 층의 에칭은 약 1:1의 선택성을 갖는다.
개시된 수소화불화탄소 에칭 화합물은 2,2,2-트리플루오로에탄아민(C2H4F3N)일 수 있다. 개시된 수소화불화탄소 에칭 화합물은 1,1,2-트리플루오로에탄-1-아민(이소-C2H4F3N)일 수 있다. 개시된 수소화불화탄소 에칭 화합물은 1,1,1,3,3,3-헥사플루오로이소프로필아민(C3H3F6N)일 수 있다. 개시된 수소화불화탄소 에칭 화합물은 1,1,2,3,3,3-헥사플루오로-1-프로판아민(이소-C3H3F6N)일 수 있다. 개시된 수소화불화탄소 에칭 화합물은 2,2,3,3,3-펜타플루오로프로필아민(C3H4F5N)일 수 있다. 개시된 수소화불화탄소 에칭 화합물은 1,1,1,3,3-펜타플루오로-2-프로판아민(이소-C3H4F5N)일 수 있다. 개시된 수소화불화탄소 에칭 화합물은 1,1,1,3,3-펜타플루오로-(2R)-2-프로판아민(이소-2R-C3H4F5N)일 수 있다. 개시된 수소화불화탄소 에칭 화합물은 1,1,1,3,3-펜타플루오로-(2S)-2-프로판아민(이소-2S-C3H4F5N)일 수 있다.
개시된 수소화불화탄소 에칭 화합물은 -NH2 작용기를 갖는다. 개시된 수소화불화탄소 에칭 화합물은 질소-함유 유기불소 화합물이며 하나의 질소를 함유한다.
개시된 수소화불화탄소 에칭 화합물은 상업적으로 입수 가능하며, 이들의 구조식, CAS 번호 및 비등점은 표 1에 포함되어 있다. 당업자는 이러한 화합물의 합성 방법은 제공된 CAS 번호를 사용하여 얻을 수 있음을 인식할 것이다.
[표 1]
Figure pct00001
여기서, 개시된 수소화불화탄소 에칭 화합물 2,2,2-트리플루오로에탄아민(C2H4F3N), 1,1,2-트리플루오로에탄-1-아민(이소-C2H4F3N), 1,1,1,3,3,3-헥사플루오로이소프로필아민, 1,1,2,3,3,3-헥사플루오로-1-프로판아민, 2,2,3,3,3-펜타플루오로프로필아민(C3H4F5N), 1,1,1,3,3-펜타플루오로-2-프로판아민(이소-C3H4F5N), 1,1,1,3,3-펜타플루오로-(2R)-2-프로판아민(이소-2R-C3H4F5N) 1,1,1,3,3-펜타플루오로-(2S)-2-프로판아민(이소-2S-C3H4F5N)은 SiON 층을 포함하는 규소-함유 필름 및 SiO 층 및 SiN 층(즉, ONON)의 교호층들 또는 SiO l층 및 p-Si 층(즉, OPOP)의 교호층들을 에칭할 수 있다. 개시된 수소화불화탄소 에칭 화합물 1,1,1,3,3,3-헥사플루오로이소프로필아민(C3H3F6N) 및 1,1,2,3,3,3-헥사플루오로-1-프로판아민(이소-C3H3F6N)은 SiON 층을 포함하는 규소-함유 필름 및 SiO 층 및 SiN 층(즉, ONON)의 교호층들을 에칭할 수 있다.
수소화불화탄소 에칭 화합물은 하나의 -NH2 기를 포함한다. -NH2 기는 말단 탄소에 있을 수 있다. -NH2 기는 말단 탄소에 있지 않을 수 있다. 하기 실시예에 나타낸 바와 같이, 본 출원인들은 이 -NH2 기가 플라즈마 활성화 에칭 화합물에서 N 함유 종이 에칭 구조 상에 보호 폴리머 층을 증착시키는 것을 돕기 때문에 우수한 에칭 프로파일을 생성하는 데 도움이 된다고 생각한다.
개시된 수소화불화탄소 에칭 화합물은 포토레지스트 층, 하드마스크 층, 에칭 정지 층 및 소자 채널 물질에 대한 높은 선택성을 제공할 수 있고, SiO, SiN 및 p-Si와 같은 규소-함유 층에 선택성을 제공하지 않을 수 있으며, DRAM 및 3D NAND 응용과 같은 1:1 내지 200:1 범위의 종횡비를 갖는 구조와 같은 고종횡비 구조에서 프로파일 왜곡을 제공하지 않을 수 있다.
개시된 수소화불화탄소 에칭 화합물은 에칭의 넓은 공정 조건에 대해 무한한 선택성을 제공할 수 있다. 본원에서, 선택성은 2개의 상이한 층의 에칭 속도 비율을 지칭한다. 예를 들어, SiON 층 대 패턴화된 포토레지스트 층에 대한 선택성은 SiON의 에칭 속도를 패턴화된 포토레지스트 층의 에칭 속도롤 나눈 것이다. 개시된 수소화불화탄소 에칭 화합물은 규소-함유 필름 및 패턴화된 포토레지스트 층 사이에서 개선된 선택성 및 포토레지스트 물질에 더 낮은 손상을 제공할 수 있다. 개시된 수소화불화탄소 에칭 화합물은 95% v/v 초과의 순도, 바람직하게, 99.99% v/v 초과의 순도, 및 더욱 바람직하게, 99.999% v/v 초과의 순도로 제공된다. 개시된 수소화불화탄소 에칭 화합물은 5부피% 미만의 미량 가스 불순물을 함유하며, 상기 미량 가스상 불순물에는 N2 및/또는 H2O 및/또는 CO2와 같은 불순물 가스가 부피 기준으로 150 ppm 미만으로 함유된다. 바람직하게, 플라즈마 에칭 가스에서 물 함량은 중량 기준으로 20 ppmw 미만이다. 정제된 생성물은 증류에 의해 및/또는 4 Å 분자체와 같은 적합한 흡착제를 통해 가스 또는 액체를 진행시킴으로써 생성될 수 있다.
본 출원인들은, 2 내지 3개의 탄소 원자를 갖는 분자가 C1 분자보다 에칭 공정 동안 더 양호한 측벽 보호를 제공할 수 있는 것으로 생각한다. 측벽 보호를 위하여, 본 출원인들은 C3 분자가 더 두꺼운 패시베이션층을 생성할 것으로 생각하기 때문에 C3 분자가 바람직하다. 예시적인 C3 NH2-함유2-함유 에칭 화합물은 C3H4F5N 및 C3H3F6N 및 이들의 이성질체를 포함한다. 그러나, C2 분자는 반응기쪽으로 더욱 용이하게 전달될 수 있거나, 일부 응용의 경우에, 더 얇은 패시베이션층이 바람직할 수 있다. 예시적인 C2 NH2-함유 에칭 화합물은 C2H4F3N 및 이의 이성질체를 포함한다.
개시된 수소화불화탄소 에칭 화합물은 10% v/v 미만, 바람직하게, 1% v/v 미만, 더욱 바람직하게, 0.1% v/v 미만, 및 더욱 더 바람직하게, 0.01% v/v 미만의 이의 임의의 이성질체를 함유하며, 이는 이성질체를 제거하기 위해 가스 또는 액체의 증류에 의해 정제될 수 있고, 보다 양호한 공정 반복성을 제공할 수 있다.
대안적으로, 개시된 수소화불화탄소 에칭 화합물은 특히, 이성질체 혼합물이 개선된 공정 파라미터를 제공할 때 또는 표적 이성질체의 단리가 너무 어렵거나 고가인 경우에, 5% v/v 내지 50% v/v의 이의 이성질체를 함유할 수 있다. 예를 들어, 개시된 수소화불화탄소 에칭 화합물은 대략 50% v/v 내지 대략 75% v/v 2,2,3,3,3-펜타플루오로프로필아민(C3H4F5N) 및 대략 25% v/v 내지 대략 50% v/v 2,2,3,3-테트라플루오로프로피오니트릴을 포함할 수 있다. 이성질체들의 혼합물은 반응 챔버로의 2개 이상의 가스 라인에 대한 필요성을 감소시킬 수 있다.
개시된 수소화불화탄소 에칭 화합물은 상온 및 상압에서 가스상이다. 개시된 수소화불화탄소 에칭 화합물은 SiON, SiO, SiN, p-Si 필름과 같은 규소-함유 필름의 플라즈마 에칭에 적합하다. 개시된 수소화불화탄소 에칭 화합물은 현재 입수 가능한 포토레지스트 및 하드마스크 물질과 혼화 가능할뿐만 아니라, 개시된 수소화불화탄소 에칭 화합물이 고종횡비 구조의 양호한 프로파일과 함께 포토레지스트 상 및 하드마스크 상에 손상을 거의 유도하지 않거나 전혀 유도하지 않기 때문에 미래 세대의 포토레지스트 및 하드마스크 물질과도 혼화 가능하다. 이러한 성질을 달성하기 위하여, 개시된 수소화불화탄소 에칭 화합물은 에칭 공정 동안 산소 및 불소 라디칼의 직접 충격 또는 충돌을 감소시켜 에칭 공정 동안 프로파일을 에칭하는 데 도움을 주기 위해 에칭 동안 내에칭성 폴리머 층을 에칭된 구조의 측벽 상에 증착시킬 수 있다. 바람직하게, 개시된 수소화불화탄소 에칭 화합물은 반응기/챔버 내로의 전달을 위해 에칭 공정 동안 적합하게 휘발성이고 안정하다.
물질 혼화성 시험은 임의의 개시된 수소화불화탄소 에칭 화합물이 챔버 물질과 반응하고 단기 또는 장기 사용과 함께 챔버 성능을 저하시키지 여부를 결정하는 데 중요하다. 챔버, 밸브 등의 부품과 관련된 주요 물질은 스테인레스강, 알루미늄, 니켈, PCTFE, PVDF, PTFE 및 다른 금속 및 폴리머를 포함한다. 때때로, 이러한 물질은 고온, 예를 들어, 20℃보다 높은 온도, 및 고압, 예를 들어, 1 atm보다 높은 압력에 노출되며, 이는 이의 열화(degradation)를 개선시킬 수 있다. 계측 방법(metrology method)은 육안 검사, 중량 측정, 주사 전자 현미경법(SEM)에서 나노미터 스케일 변화, 인장 강도, 경도의 측정 등을 포함할 수 있다.
개시된 수소화불화탄소 에칭 화합물은 SiO/SiN 또는 SiO/p-Si의 교호층들을 갖는 3D NAND 플래쉬 메모리, 또는 DRAM 메모리와 같은 반도체 구조의 상부에 캡핑된 SiON 필름과 같은 규소-함유 필름의 플라즈마 에칭하는 데 사용될 수 있다. 개시된 수소화불화탄소 에칭 화합물은 또한 SiO/SiN 또는 SiO/p-Si의 교호층들 또는 산화규소 층과 같은 DRAM 메모리와 같은 기판 상의 규소-함유 필름을 플라즈마 에칭하는 데 사용될 수 있다. 개시된 플라즈마 에칭 방법은 NAND 또는 3D NAND 게이트와 같은 반도체 소자 또는 핀-형상 전계-효과 트랜지스터(FinFET), 래터럴 게이트-올-어라운드(Lateral Gate-All-Around; LGAA) 소자 및 버티컬 게이트-올-어라운드(Vertical Gate-All-Around; VGAA) 소자, 벌크 상보적 금속-산화물-반도체(Bulk CMOS), 완전 공핍형 실리콘-온-절연체(FD-SOI) 구조, 및 모노리틱 3D(M3D)와 같은 플래쉬 또는 DRAM 메모리 또는 트랜지스터의 제조에서 유용할 수 있다. 개시된 수소화불화탄소 에칭 화합물은 상이한 라인의 프론트 엔드(FEOL) 및 라인의 백 엔드(BEOL) 에칭 응용 및 또한 낮은 k 응용과 같은 다른 응용 분야에서 사용될 수 있다. 추가적으로, 개시된 수소화불화탄소 에칭 화합물은 기판 상의 로직(logic)에 메모리를 상호연결시키기 위해 3D 관통 실리콘 애퍼처(TSV) 에칭 응용에서 Si를 에칭시키기 위해 사용될 수 있다.
플라즈마 에칭 방법은 반응 챔버 내에 배치된 기판을 갖는 반응 챔버를 제공하는 단계를 포함한다. 반응 챔버는 에칭 방법, 예를 들어, 그리고 비제한적으로, 반응성 이온 에칭(RIE), 단일 또는 다중 주파수 RF 소스로의 용량형 결합 플라즈마(CCP), 유도형 결합 플라즈마(ICP), 전자 사이클로트론 공명(ECR), 또는 마이크로파 플라즈마 반응기, 또는 규소-함유 필름의 일부를 선택적으로 제거하거나 활성 종을 발생시킬 수 있는 다른 타입의 에칭 시스템이 일어나는 디바이스 내에서의 임의의 엔클로져(enclosure) 또는 챔버일 수 있다. 당업자는, 상이한 플라즈마 반응 챔버 설계가 상이한 전자 온도 조절을 제공한다는 것을 인지할 것이다. 적합한 상업적으로 입수 가능한 플라즈마 반응 챔버는 상표명 eMAXTM으로 시판되는 Applied Materials의 자기적으로 향상된 반응성 이온 에칭기 또는 상표명 2300® FlexTM으로 시판되는 Lam Research Dual CCP의 반응성 이온 에칭기 유전체 에칭 제품 패밀리를 포함하지만, 여기에 한정되지 않는다. 여기에서 RF 전력은 플라즈마 성질을 조절하기 위해 펄스화될 수 있고, 이에 의해, 에칭 성능(선택성 및 손상)을 추가로 개선시킬 수 있다.
대안적으로, 플라즈마-처리 반응물은 반응 챔버의 외부에서 생성될 수 있다. 반응 챔버로 통과하기 전에 반응물을 처리하는 데 MKS Instruments의 ASTRONi® 반응 가스 발생기가 사용될 수 있다. 2.45 GHz, 7 kW 플라즈마 전력, 및 대략 0.5 Torr부터 대략 10 Torr까지의 범위의 압력에서 작동 시, 반응물 O2는 2개의 O· 라디칼로 분해될 수 있다. 바람직하게, 원격 플라즈마는 약 1 kW부터 약 10 kW까지, 더욱 바람직하게는 약 2.5 kW부터 약 7.5 kW까지의 범위의 전력으로 발생될 수 있다.
반응 챔버는 하나 또는 하나 초과의 기판을 함유할 수 있다. 예를 들어, 반응 챔버는 25.4 mm 내지 450 mm 직경을 갖는 1 내지 200개의 실리콘 웨이퍼를 함유할 수 있다. 기판은 반도체, 광전지, 평면 패널 또는 LCD-TFT 소자 제조에서 사용되는 임의의 적합한 기판일 수 있다. 적합한 기판의 예는 규소, 실리카, 유리, 사파이어, 게르마늄 또는 GaAs 웨이퍼와 같은 III-V 화합물과 같은 웨이퍼를 포함한다. 웨이퍼는 이전 제조 단계로부터 웨이퍼 상에 다수의 필름 또는 층을 가질 것이며, 이는 규소-함유 필름 또는 층을 포함한다. 층은 패턴화될 수 있거나 되지 않을 수 있다. 적합한 층의 예는 비제한적으로 규소(예컨대, 비정질 규소, p-Si, 결정질 규소, 이들 중 임의의 것이 더 B, C, P, As 및/또는 Ge로 p- 도핑되거나 n- 도핑될 수 있음), 실리카, 질화규소, 산화규소, 산질화규소, SiaObHcCdNe(여기서, a>0; b, c, d, e ≥ 0), 비정질 탄소, 붕소, 질소, 황, 염소, 불소 또는 금속(Al, Zr, Ti, W)으로 도핑된 비정질 탄소와 같은 도핑된 비정질 탄소, 반사 방지 코팅, 포토레지스트 물질, 텅스텐, 질화티타늄, 질화탄탈륨 또는 이들의 조합과 같은 마스크 층 물질, 질화규소, 폴리실리콘, 결정질 규소, 탄화규소(SiC), 질화탄소규소(SiCN) 또는 이들의 조합과 같은 에칭 정지 층 물질, 결정질 규소, 에피텍셜 규소, 도핑된 규소, SiaObHcCdNe(여기서, a>0; b, c, d, e ≥ 0) 또는 이들의 조합과 같은 소자 채널 물질을 포함한다. 산화규소 층은 유기계 또는 산화규소계 저-k 유전 물질(예컨대, 비정질 SiCOH 필름)과 같은 유전 물질을 형성할 수 있다. 예시적인 저-k 유전 물질은 Applied Materials에 의해 상표명 Black Diamond II 또는 III로 시판된다. 추가적으로, 텅스텐 또는 귀금속(예컨대, 백금, 팔라듐, 로듐 또는 금)을 포함하는 층이 사용될 수 있다. 아울러, 규소-함유 필름의 예는 SiaObHcCdNe(여기서, a>0; b, c, d, e ≥ 0)일 수 있다. 본 명세서 및 청구범위 전체에 걸쳐, 웨이퍼 및 웨이퍼 상의 임의의 관련 층은 기판으로 지칭된다.
또한, 플라즈마 에칭 조건 하에서 반도체 구조를 제작하기 위해 개시된 수소화불화탄소 에칭 화합물을 사용하는 방법이 개시된다. 개시된 방법은 Si-함유 필름을 플라즈마 에칭시키기 위한 N-함유 수소화불화탄소 에칭 화합물의 사용을 제공한다. 개시된 방법은 또한, 기판에서 애퍼처, 홀 또는 트렌치를 형성하면서, 패턴화된 포토레지스트 마스크 층 또는 패턴 하드마스크 층에 대한 손상을 억제하고, 패턴화된 포토레지스트 마스크 층 또는 패턴 하드마스크 층을 보호하거나, 패턴화된 포토레지스트 마스크 층 또는 패턴 하드마스크 층을 강화시키는 것을 제공한다. 개시된 방법은3D NAND 및 DRAM 응용에서와 같이 반도체의 제작에서 유용하다.
개시된 방법은: 제1 규소-함유 층 및 제2 규소-함유 층의 교호층들 위에 DARC 층, DARC 층 위에 패턴화된 포토레지스트 층, 및 DARC 층 및 교호층들 사이에 하드마스크 층을 갖는 반도체 구조를 형성하는 단계, 개시된 수소화불화탄소 에칭 가스를 반응기에 도입하는 단계, 개시된 수소화불화탄소 에칭 가스를 플라즈마 활성화하는 단계, 활성화된 개시된 수소화불화탄소 에칭 가스를 사용하여 패턴화된 포토레지스트 층에 대하여 DARC 층을 선택적으로 에칭하여 DARC 층 내에 애퍼처를 생성하는 단계, DARC 층 내에서 애퍼처에 의해 노출된 하드마스크 층을 하드마스크 층을 에칭하는 데 적합한 에칭 가스로 선택적으로 플라즈마 에칭하여 하드마스크 층을 통해 애퍼처를 확장하는 단계, 개시된 수소화불화탄소 에칭 가스를 사용하여 하드마스크 층에 대하여 하드마스크 층에 의해 덮혀지지 않은 교호층들을 선택적으로 플라즈마 에칭하여 교호층들 내에 애퍼처를 확장하는 단계를 포함하고, 제1 규소-함유 층 및 제2 규소-함유 층은 비-선택적으로 에칭된다. 개시된 방법은 또한 DARC 층, 하드마스크 층 및 교호층들을 에칭하면서 애퍼처의 측벽 상에 폴리머 층을 동시에 증착하는 단계를 포함한다.
반도체 구조 내에 애퍼처를 형성하면서 반도체 구조 상에 패턴화된 포토레지스트 층 또는 패턴 하드마스크를 강화하는 개시된 방법은: 패턴화된 포토레지스트 층 및 애퍼처의 측벽 상에 폴리머 층을 동시에 증착하면서, 에칭 표적 층 위에 DARC 층 및 DARC 층 위에 패턴화된 포토레지스트 층을 갖는 반도체 구조를 형성하고 2,2,2-트리플루오로에탄아민(C2H4F3N), 1,1,2-트리플루오로에탄-1-아민(이소-C2H4F3N), 2,2,3,3,3-펜타플루오로프로필아민(C3H4F5N), 1,1,1,3,3-펜타플루오로-2-프로판아민(이소-C3H4F5N), 1,1,1,3,3-펜타플루오로-(2R)-2-프로판아민(이소-2R-C3H4F5N) 1,1,1,3,3-펜타플루오로-(2S)-2-프로판아민(이소-2S-C3H4F5N), 1,1,1,3,3,3-헥사플루오로이소프로필아민(C3H3F6N) 및 1,1,2,3,3,3-헥사플루오로-1-프로판아민(이소-C3H3F6N)로 이루어진 군으로부터 선택된 수소화불화탄소 에칭 화합물을 사용하여 패턴화된 포토레지스트 층에 대하여 DARC 층을 선택적으로 플라즈마 에칭하여 DARC 층에 애퍼처를 생성하는 단계를 포함한다.
규소-함유 층들의 교호층들을 갖는 반도체 구조를 제작하는 개시된 방법은: 하드마스크 층 및 애퍼처의 측벽 상에 폴리머 층을 동시에 증착하면서, 기판 상에 규소-함유 층들의 교호층들 위에 패턴화된 하드마스크 층을 갖는 반도체 구조를 형성하는 단계 및 2,2,2-트리플루오로에탄아민(C2H4F3N), 1,1,2-트리플루오로에탄-1-아민(이소-C2H4F3N), 2,2,3,3,3-펜타플루오로프로필아민(C3H4F5N), 1,1,1,3,3-펜타플루오로-2-프로판아민(이소-C3H4F5N), 1,1,1,3,3-펜타플루오로-(2R)-2-프로판아민(이소-2R-C3H4F5N) 1,1,1,3,3-펜타플루오로-(2S)-2-프로판아민(이소-2S-C3H4F5N), 1,1,1,3,3,3-헥사플루오로이소프로필아민(C3H3F6N) 및 1,1,2,3,3,3-헥사플루오로-1-프로판아민(이소-C3H3F6N)로 이루어진 군으로부터 선택된 수소화불화탄소 에칭 화합물을 사용하여 패턴화된 하드마스크 층에 대하여 규소-함유 층들의 교호층들을 선택적으로 플라즈마 에칭하여 규소-함유 층들의 교호층들의 내에 애퍼처를 생성하는 단계를 포함하고, 제1 규소-함유 층 및 제2 규소-함유 층은 비-선택적으로 에칭된다. 여기서, 제1 규소-함유 층은 산화규소 층을 포함하고, 제2 규소 함유 층은 질화규소 층을 포함하고, 그 반대도 마찬가지이고; 그리고 제1 규소-함유 층은 산화규소 층을 포함하고, 제2 규소-함유 층은 폴리실리콘 층을 포함하고, 그 반대도 마찬가지이다.
규소-함유 층들의 교호층들을 갖는 반도체 구조를 제작하는 개시된 방법은: 하드마스크 층 및 애퍼처의 측벽 상에 동시에 폴리머 층을 증착하면서, 기판 상에 규소-함유 층들의 교호층들 위에 패턴화된 하드마스크 층을 갖는 반도체 구조를 형성하는 단계 및 1,1,1,3,3,3-헥사플루오로이소프로필아민(C3H3F6N)을 사용하여 패턴화된 하드마스크 층에 대하여 규소-함유 층들의 교호층들을 선택적으로 플라즈마 에칭하여 규소-함유 층들의 교호층들 내에 애퍼처를 생성하는 단계를 포함하고, 제1 규소-함유 층 및 제2 규소-함유 층은 비-선택적으로 에칭된다. 여기서, 제1 규소-함유 층은 산화규소 층을 포함하고 제2 규소-함유 층은 질화규소층을 포함하고, 그 반대도 마찬가지이다.
하기는 개시된 수소화불화탄소 에칭 가스가 에칭을 위해 적용될 수 있는 반도체 패턴화된 기판의 예시적인 구현예이다.
일 구현예에서, 기판(100)은 도 1a에 도시된 바와 같이 다수의 층들의 스택을 포함할 수 있다. 도시된 바와 같이, SiO/SiN 또는 ONON (즉, 104a/104b)(104)의 n 쌍의 교호층들의 스택은 규소 웨이퍼(102)(즉, TCAT 기술 또는 P-BiCS 기술과 같은 ONON)의 상부 상에 증착된다. 여기서, n은 정수이다. 바람직하게, n=96이다. 더욱 바람직하게, n=128 또는 256, 또는 그 이상이다. 당업자는 기판(100)의 스택에서 SiO/SiN(104)의 교호층들의 수가 변할 수 있음을 인식할 것이다. 하드마스크 층(106)은 SiO/SiN(104)의 교호층 들 상부 상에 증착된다. 무기 유전 캡 층(108)은 하드마스크 층(106)의 상부 상에 증착되며 DARC 층으로서의 역할을 한다. 유기 BARC 층(110)은 무기 유전체 캡 층(108)의 상부에 도포되어 감광성 층의 패턴화 동안 광의 반사를 더 감소시킨다. 유기 BARC 층(110)은 통상적으로 패턴화된 포토레지스트 층(112)(즉, 감광성 층)이 코팅될 폴리아미드 및 폴리설폰을 포함한다. 패턴화된 포토레지스트 층(112)은 3D NAND 스택 또는 DRAM 응용에서 복수의 리세스(recess) 영역들(예컨대, 컨택트 홀, 채널 홀, 트렌치 등)을 정의하는 포토리소그래피 조작에 의해 형성된 복수의 패턴화된 홀들(하나의 홀(114)이 본원에 도시됨)을 포함한다.
여기서, 당업자는 규소 웨이퍼(102)는 게르마늄 웨이퍼 또는 Si-Ge 웨이퍼로 또는 텅스텐(W) 웨이퍼로 대체될 수 있으며, 일부 응용에서, SiN 층들(104b)은 p-Si 층들(예컨대, SiO/p-Si 또는 OPOP)로 대체될 수 있어서, SiO/p-Si 또는 OPOP의 n 쌍의 교호층들의 스택(여기서 n은 정수임)은 규소 웨이퍼(102)의 상부 상에 증착될 것이라는 것을 인식할 것이다.
SiO/SiN (또는 SiO/p-Si)의 교호층들(104)은 산화규소, 질화규소, 폴리실리콘, 결정성 실리콘, SiOCN, SiON, SiaObHcCdNe(여기서, a>0; b, c, d 및 e ≥ 0) 또는 이들의 조합의 층을 포함할 수 있다. SiO/SiN (또는 SiO/p-Si)의 교호층들(104)은 Mg, Ca, Sr, Ba, Nb, Ta, Al, Si, Ge, 4 족 전이 금속, 예를 들어, Ti, Zr 또는 Hf, 란탄족 금속, 예를 들어, La, Y, Sc, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb 및 Lu, 또는 이들의 조합을 함유하는 물질을 포함할 수 있다. 대안적으로, SiO, SiN 또는 p-Si 층들은 Mg, Ca, Sr, Ba, Nb, Ta, Al, Si, Ge, 4 족 전이 금속, 예를 들어, Ti, Zr 또는 Hf, 란탄족 금속, 예를 들어, La, Y, Sc, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb 및 Lu, 또는 이들의 조합을 함유하는 물질을 포함할 수 있다.
하드마스크 층(106)은 SiO/SiN 층 에칭 동안 내에칭성을 개선시키기 위해 C 및 H뿐만 아니라, 다른 원소, 예를 들어, 붕소, 질소, 산소, 황, 염소, 불소, 알루미늄, 텅스텐, 티타늄, 지르코늄 등을 함유할 수 있다. 붕소-도핑된 탄소질 물질의 일례는 어드밴스드(advanced) 패터닝 필름(APF)의 상표명으로, 캘리포니아 주 산타 클라라의 Applied Materials, Inc.에서 입수 가능하며, 더 상세하게는, 붕소 도핑된 APFc로 알려진 물질들의 APF 속의 종이다. 하드마스크 층(106)은 a-C 또는 붕소, 질소, 황, 염소, 불소 또는 금속(Al, Zr, Ti, W)으로 도핑된 비정질 탄소와 같은 도핑된 a-C의 열 CVD, PECVD 또는 스프레이 온/스핀 온 증착 층일 수 있다. 하드마스크 층(106)은 규소-함유 스핀 온 마스크 층, 탄소-함유 스핀 온 마스크 층, 포토레지스트 층 등일 수 있다.
무기 유전체 캡 층(108)은 산질화규소(SiON) 층을 형성하기 위하여 적어도 규소를 포함하고 통상적으로 질화물, 산소를 더 포함하는 단일 필름 또는 필름들의 복수층의 스택일 수 있다. SiON는 통상적으로 SiOxNyHzCk(여기서, x는 0 내지 2의 범위이고, y는 0 내지 1의 범위이고, z는 0 내지 1의 범위이며, k는 0 내지 1의 범위임)의 화학식을 갖지만, 여기에 한정되지 않는다. SiON DARC 층의 조성을 변경함으로써, 포토레지스트 층의 이미징 동안 포토레지스트 상으로의 반사를 제어할 수 있다. SiOxNyHzCk 이 ARC로 사용되는 경우, x, y, 및 z는 통상적으로 약 0.2 내지 약 0.5의 범위이다. SiON은 일반적으로 광을 흡수하는 능력으로 인해 포토 리소그래피 동안 심자외선(DUV) 포토레지스트와 조합으로 반사 방지 코팅으로 사용된다. 스핀-온 유기 폴리머와 같은 종래 ARC 층은 필름의 흡수 특성을 활용함으로써 원치 않는 반사를 억제하기 위해 사용되어 왔다. 그러나, 스핀-온 필름의 자기 평탄화 특성으로 인해, 유기 ARC 필름은 토폴로지에 따른 두께 변화를 가지므로 필름을 통한 흡수율이 상이하다. 폴리머 ARC의 두께 변화는 유기 포토레지스트와 유기 ARC 막 사이에 최소의 에칭 선택성이 있기 때문에 에칭 문제를 야기할 것이다. 그러므로, 위상-편이 취소(phase-shift cancellation)를 사용하여 작동하는 유전체 ARC가 도입되었다. 기판과 ARC 표면으로부터의 반사가 서로 180° 위상차 일 때, 반사는 파괴적인 인터페이스에 의해 서로 상쇄된다. DARC의 두께와 굴절률을 최적화하여 DARC를 통과하는 파의 반 파장 위상 변이를 달성할 수 있다. 무기 유전체 캡 층(108)의 조성, 광학 특성 및 두께는 또한 피처의 포토리소그래픽 패터닝 동안 사용되는 특정 파장에 대한 최소 반사 및 고 대비를 제공하도록 조정될 수 있다. 무기 유전체 캡 층(108)은 CVD 또는 PECVD 방법에 의해 증착될 수 있다. SiO/SiN(104)의 교호층들의 쌍의 수(즉, n)가 더 커짐에 따라, 무기 유전체 캡 층(108)(예컨대, SiON 층)의 두께가 두꺼워질 수 있다. 예시적인 구현예에서, 무기 유전체 캡 층(108)은 약 5 ㎚ 내지 1000 ㎚, 더 구체적으로 10 ㎚ 내지 800 ㎚, 더욱 더 구체적으로 10 ㎚ 내지 500 ㎚의 두께로 형성된다. 일부 구현예에서, 하드마스크 층(106) 위에 무기 유전체 캡 층(108)만을 가질 수 있다. 대안적인 구현예에서, 하드마스크 층(106) 위에 유기 BARC(110) 및 무기 유전체 캡 층(108) 양쪽 모두를 가질 필요가 있을 수 있다. 무기 유전체 캡 층(108)은 폴리머 층도 플라즈마 손상 층도 아닌 DARC 층이다.
무기 유전체 캡 층(108)은 DARC 층으로서 역할을 하고/하거나 후속 유기 필름, 예를 들어, 스핀 온 기법에 의해 적용되는 유기 BARC 층(110)의 접착력을 개선하는데, 이는 그렇지 않으면 하드마스크 층(106), 예를 들어, 붕소-도핑된 a-C 하드마스크 층에 잘 접착되지 않을 수 있다. 무기 유전체 캡 층(108)은 또한 개시된 수소화불화탄소 에칭 화합물로 에칭하여 하드 마스크 층(106) 위에 무기 유전체 캡 층 (108)에 마스크 패턴을 형성함으로써 포토 레지스트 층(112)에서 패턴을 하드마스크 층(106)으로 전사하는 역할을 한다. 리세스 영역은 추가로 i) 무기 유전체 캡 층(108)에 대하여 하드마스크 층(106)을 cC4F8, C4F8, C4F6, C5F8, CF4, CH3F, CF3H, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I, CFN, FNO, SO2 및 이들의 조합으로부터 선택된 에칭 가스와 같이 하드마스크를 에칭하는 데 적합한 에칭 가스로 선택적으로 에칭하고, ii) 하드마스크 층(106) 내에 형성된 마스크 패턴에 대하여 규소-함유 필름(예컨대, SiO/SiN 또는 ONON(104)의 교호층들)을 플라즈마 에칭 조건 하에서 개시된 수소화불화탄소 에칭 화합물 또는 규소-함유 필름을 에칭하는 데 적합한 다른 에칭 가스로 선택적으로 에칭함으로써 형성될 수 있다.
패턴화된 포토레지스트 층(110)은 포지티브 및 네거티브 작용 물질 모두를 포함할 수 있다. 적합한 포지티브 작용 물질은 포지티브 작용 화학 증폭 포토 레지스트를 포함하며, 이는 레지스트의 코팅층의 노출된 영역을 노출되지 않은 영역보다 수성 현상액에 보다 가용성으로 만들기 위해 조성물의 하나 이상의 성분의 산 불안정성기의 광산-촉진 탈 보호 반응을 거치게 된다. 포토레지스트 수지의 통상적인 광산-불안정성기는 에스테르의 카르복실 산소에 공유적으로 연결된 3차 비-환형 알킬 탄소(예컨대, t-부틸) 또는 3차 지환식 탄소(예컨대, 메틸아다만틸)을 함유하는 에스테르기를 포함한다. 아세탈 광산-불안정성기도 사용된다.
적합한 네거티브 작용 레지스트는 통상적으로 가교 성분을 함유할 것이다. 가교 성분은 통상적으로 별도의 레지스트 성분으로서 존재한다. 멜라민과 같은 아민계 가교제, 예를 들어, 시멜(Cymel) 멜라민 수지가 통상적이다. 구현예에서 유용한 네가티브 작용 포토레지스트 조성물은 산에 노출시 경화, 가교 또는 경화될 물질의 혼합물, 및 개시된 구현예의 광활성 성분을 포함한다. 특히 유용한 네거티브 작용 조성물은 페놀 수지, 가교제 성분 및 광활성 성분과 같은 수지 바인더를 포함한다. 수지 바인더 성분으로서 사용하기 위한 통상적인 페놀 수지는 노볼락 및 폴리(비닐페놀)을 포함한다. 통상적인 가교제는 멜라민, 글리콜우릴, 벤조구아나민계 물질 및 우레아계 물질을 포함하는 아민계 물질을 포함한다. 멜라민-포름알데히드 수지가 일반적으로 가장 통상적이다. 이러한 가교제는, 예를 들어, Cymel 300, 301 및 303이라는 상표명으로 Cytec Industries에 의해 시판되는 멜라민 수지와 같이 상업적으로 입수 가능하다.
당업자는 기판(100) 내 층들의 스택이 예시적인 목적을 위해서만 제공되며, 개시된 수소화불화탄소 에칭 가스는 예를 들어, a-C 마스크 층(106)이 TiN 층으로 대체된 스택의 경우에 다른 유형의 층의 스택을 에칭시키기 위해 사용될 수 있다는 것을 인지할 것이다.
도 1b는 개시된 수소화불화탄소 에칭 화합물을 사용하여 플라즈마 에칭에 의해 내부에 형성된 고종횡비 홀을 보이는 3D NAND 스택에서 예시적인 층들의 측단면도이다. 애퍼처(214)는 i) 패턴화된 포토레지스트 층(212)에 대하여 무기 유전체 캡 층(208)을 플라즈마 에칭 조건 하에서 개시된 수소화불화탄소 에칭 화합물로 선택적으로 에칭하고; ii) 패턴화된 포토레지스트 층(212) 및 무기 유전체 캡 층(208)에 대하여 하드마스크 층(206)을 플라즈마 에칭 조건 하에서 하드마스크 층을 에칭하는 데 적합한 에칭 가스로 선택적으로 에칭하고; 그리고 iii) 패턴화된 포토레지스트 층(212), 무기 유전체 캡 층(208) 및 하드마스크 층(206)에 대하여 규소-함유 층들(204)의 교호층들을 플라즈마 에칭 조건 하에서 개시된 수소화불화탄소 에칭 화합물 또는 규소-함유 층들(204)의 교호층들을 에칭하는 데 적합한 다른 에칭 가스로 선택적으로 에칭함으로써 형성된다. 본 출원인들은 애퍼처(214)가 채널 홀 및 컨택트 홀 에칭 응용에서 1:1 내지 50:1 범위의 종횡비, 바람직하게는 대략 1:1 내지 약 200:1 범위의 종횡비를 가질 수 있다고 생각한다.
하드마스크 층(206)을 에칭하는 데 적합한 에칭 가스는 불화탄소 에칭 가스(CxFy, x는 정수임, y=2x+2 또는 y=2x 또는 y=2x-2), 예를 들어, CF4, C4F6, C4F8, C5F8, 수소화불화탄소 에칭 가스(CxHyFz, x 및 y는 정수임, z=2x-y+2 또는 z=2x-y 또는 z=2x-y-2), 예를 들어, CHF3, CH2F2, CH3F, 산화제, 예를 들어, O2, COS, 불소 화합물, 예를 들어, CF3I, Cl2, HBr, SF6, NF3, 비활성 가스, 예를 들어, N2, 또는 이들의 조합을 포함할 수 있다.
규소 함유 필름의 교호층들을 에칭하는 데 적합한 다른 에칭 가스는 불화탄소(CxFy, x는 정수임, y=2x+2 또는 y=2x 또는 y=2x-2) 또는 수소화불화탄소(CxHyFz, x 및 y는 정수임, z=2x-y+2 또는 z=2x-y 또는 z=2x-y-2), 예를 들어, cC4F8, C4F8, C4F6, CF4, CH3F, CHF3, CH2F2, 또는 다른 불소 화합물 또는 S-함유 및 O-함유 화합물, 예를 들어, COS, CF3I, C2F3I, C2F5I, FCN, CS2, SO2일 수 있다.
도 1c는 에칭 동안 애퍼처의 측벽 상에 증착된 폴리머를 나타낸 3D NAND 스택에서의 예시적인 층들의 측단면도이다. 개시된 수소화불화탄소 에칭 가스는 SiON, SiO, SiN, p-Si와 같은 규소-함유 필름을 비등방성으로 에칭하고 에칭되는 구조, 본원에서, 도 1c에 도시된 바와 같이 애퍼처의 측벽 상에 폴리머 패시베이션 층(316)을 증착하는 데 적합한 플라즈마 공정 동안에 이온, 중성자, 라디칼, 또는 단편을 생성한다. 개시된 수소화불화탄소 에칭 가스는 플라즈마 공정 동안 수소화불화탄소 이온 및 질소-함유 탄화수소 이온을 생성할 수 있다. 수소화불화탄소 이온은 SiON과 반응하여 무기 유전체 캡 층(308)을 비등방성으로 에칭하여 애퍼처(314)를 형성하게 된다. 질소-함유 탄화수소 이온은 폴리머 패시베이션 층(316)을 형성하는 애퍼처(314)의 측벽 상에 증착하여, 수소화불화탄소 이온의 충돌로부터 애퍼처(314)의 측벽을 보호하게 된다. 폴리머 패시베이션 층(316)은 애퍼처(314)의 측벽이 수소화불화탄소 이온에 의해 에칭되는 것을 방지한다. 폴리머 패시베이션 층(316)은 애퍼처(314)의 측벽을 수소화불화탄소 이온의 충돌을 통해 에칭되는 것을 방지하는 내에칭성 폴리머 층이다. 폴리머 패시베이션 층(316)은 보우잉(bowing) 또는 테이퍼링(tapering) 없이 직선인 수직 프로파일을 갖는 패턴 에칭 구조를 초래한다. 보우잉은 마스크 층의 측벽 에칭으로부터 유래될 수 있으며, 이는 보통 비정질 탄소(a-C) 물질일 수 있다. a-C 물질은 마스크의 증가된 개구를 야기시키고 보우-유사, 또는 각을 이룬/구부러진, 에칭 구조를 초래할 수 있는 플라즈마에서 산소 라디칼에 의해 에칭될 수 있다. 보우잉을 최소화하고 현재의 응용(예컨대, 컨택트 에칭 또는 3D NAND)을 위해 요구되는 고종횡비(즉, 최대 200:1)를 달성하는 것이 중요하다. 개시된 수소화불화탄소 에칭 화합물에 O2를 첨가함으로써, 폴리머 패시베이션 층의 두께는 제어되어 폴리머 증착으로 인한 측벽 프로파일 변형을 회피하게 된다. 폴리머 패시베이션 층(316)은 또한 더 매끄러운 측벽, 더 적은 보우잉 및 애퍼처(314)의 하부에서 더 적은 변형을 제공한다. 그러나, 폴리머 패시베이션 층(316)은 당해 분야에서 주지된 건식 또는 습식 에칭 화학물질에 의해 용이하게 제거되거나 세정되거나 연마될 수 있다.
도 1a 내지 도 1c에서 교호 SiO/SiN 층을 SiO2 층으로 대체함으로써, 예시적인 층은 DRAM 메모리를 생성하는 데 사용되는 DRAM 스택이된다. DRAM 스택에 대한 에칭 공정은 도 1a 내지 1c에 도시된 3D NAND 스택에 대한 에칭 공정과 유사하다. 즉, DRAM 스택에서 애퍼처는 i) 패턴화된 포토레지스트 층에 대하여 무기 유전체 캡 층을 개시된 수소화불화탄소 에칭 화합물로 선택적으로 플라즈마 에칭하고; ii) 패턴화된 포토레지스트 층 및 무기 유전체 캡 층에 대하여 하드마스크 층을 하드마스크를 에칭하는 데 적합한 에칭가스로 선택적으로 플라즈마 에칭하되, 적합한 에칭 가스는 불화탄소 에칭 가스(CxFy, x는 정수임, y=2x+2 또는 y=2x 또는 y=2x-2), 예를 들어, CF4, C4F6, C4F8, C5F8, 수소화불화탄소 에칭 가스(CxHyFz, x 및 y는 정수임, z=2x-y+2 또는 z=2x-y 또는 z=2x-y-2), 예를 들어, CHF3, CH2F2, CH3F, 산화제, 예를 들어, O2, COS, 불소 화합물, 예를 들어, CF3I, Cl2, HBr, SF6, NF3, 비활성 가스, 예를 들어, N2, 또는 이들의 조합을 포함할 수 있음; 그리고 iii) 패턴화된 포토레지스트 층, 무기 유전체 캡 층 및 하드마스크 층에 대하여 산화규소 층을 개시된 수소화불화탄소 에칭 화합물 또는 규소-함유 필름을 에칭하는 데 적합한 다른 에칭 가스로 선택적으로 플라즈마 에칭함으로써 얻어진다. 규소 함유 필름의 교호층들을 에칭하는 데 적합한 다른 에칭 가스는 불화탄소(CxFy, x는 정수임, y=2x+2 또는 y=2x 또는 y=2x-2) 또는 수소화불화탄소(CxHyFz, x 및 y는 정수임, z=2x-y+2 또는 z=2x-y 또는 z=2x-y-2), 예를 들어, cC4F8, C4F8, C4F6, CF4, CH3F, CHF3, CH2F2, 또는 다른 불소 화합물 또는 S-함유 및 O-함유 화합물, 예를 들어, COS, CF3I, C2F3I, C2F5I, FCN, CS2, SO2일 수 있다. 애퍼처는 채널 홀 및 컨택트 홀 에칭 응용에서 1:1 내지 50:1 범위의 종횡비, 바람직하게는 대략 1:1 내지 약 200:1 범위의 종횡비를 가질 수 있다.
개시된 수소화불화탄소 에칭 화합물은 또한 애퍼처의 측벽 상에 폴리머 패시베이션 층을 증착한다. 개시된 수소화불화탄소 에칭 화합물에 O2를 첨가함으로써, 폴리머 패시베이션 층의 두께가 조절되어, 측벽 프로파일 변형을 회피하게 된다. 폴리머 패시베이션 층은 또한 DRAM 스택에서 애퍼처의 바닥에서 더 매끄러운 측벽, 보우잉이 적거나 또는 없고 변형이 적거나 또는 덜 변형된다. 폴리머 패시베이션 층은 당해 분야에서 주지된 건식 또는 습식 에칭 화학물질에 의해 용이하게 제거되거나 세적되거나 연마될 수 있다.
당업자는 도 1a 내지 도 1c에서 층들의 스택 및 기하학적 구조는 예시적인 목적으로만 제공되며 개시된 수소화불화탄소 에칭 가스는 서로 다른 유형의 층들의 스택에 사용될 수 있음을 인식할 것이다. 또한, 당업자는, 스택에서 층의 수가 달라질 수 있다는 것을 인지할 것이다(즉, 도시된 층 이상 또는 미만을 포함할 수 있음).
일 구현예에서, 개시된 수소화불화탄소 에칭 가스는 기판 및 규소-함유 필름을 함유하는 반응 챔버 내에 도입된다. 가스는 챔버에 대략 0.1 sccm 내지 대략 1 slm 범위의 유량으로 도입될 수 있다. 예를 들어, 200 mm 크기의 웨이퍼의 경우에, 가스는 챔버에 대략 5 sccm 내지 대략 50 sccm 범위의 유량으로 도입될 수 있다. 대안적으로, 450 mm 크기의 웨이퍼의 경우에, 가스는 챔버에 대략 25 sccm 내지 대략 250 sccm 범위의 유량으로 도입될 수 있다. 당업자는 유량이 툴(tool)에 따라 달라질 수 있다는 것을 인지할 것이다.
개시된 수소화불화탄소 에칭 조성물은 순수한 형태(neat form)로 또는 비활성 가스, 예를 들어, N2, Ar, Kr, He, Xe, Ne 등, 또는 용매와의 블렌드로 공급될 수 있다. 개시된 수소화불화탄소 에칭 화합물은 블렌드에서 다양한 농도로 존재할 수 있다.
추가적으로, 수소화불화탄소 에칭 화합물은 95 부피% 내지 99.999 부피% 범위의 순도로 전달되고, CO, CO2, N2, H2O, HF, H2S, SO2, 할라이드, 및 다른 탄화수소 또는 하이드로할로카본(hydrohalocarbon)의 제거를 위한 공지된 표준 정제 기술로 정제될 수 있다.
비활성 가스는 또한, 플라즈마를 지속시키기 위하여 반응 챔버 내에 도입된다. 비활성 가스는 He, Ar, Xe, Kr, Ne, N2 또는 이들의 조합일 수 있다. 에칭 가스 및 비활성 가스는 챔버에 도입 전에 혼합될 수 있으며, 비활성 가스는 얻어진 혼합물의 대략 0.01% v/v 내지 대략 99.9% v/v를 포함한다. 대안적으로, 비활성 가스는 챔버에 연속적으로 도입될 수 있는 반면, 에칭 가스는 챔버에 펄스식으로 도입된다.
개시된 수소화불화 에칭 가스 및 비활성 가스는 플라즈마에 의해 활성화되어 활성화된 에칭 가스를 형성한다. 플라즈마는 에칭 화합물을 라디칼 형태(, 활성화된 에칭 가스)로 분해시킨다. 플라즈마는 RF 또는 DC 전력을 인가함으로써 발생될 수 있다. 플라즈마는 약 25W 내지 약 20,000W 범위의 RF 전력으로 발생될 수 있다. 플라즈마는 원격으로 또는 반응기 자체 내에서 발생될 수 있다. 플라즈마는 양 전극 모두에 인가된 RF로 이중 CCP 또는 ICP 모드에서 발생될 수 있다. 플라즈마의 RF 주파수는 200 KHz 내지 1 GHz의 범위일 수 있다. 상이한 주파수에서의 상이한 RF 소스는 동일한 전극에 커플링되고 인가될 수 있다. 플라즈마 RF 펄싱(pulsing)은 기판에서 분자 단편화 및 반응을 제어하기 위해 추가로 사용될 수 있다. 당업자는 이러한 플라즈마 처리에 적합한 방법 및 장치를 인식할 것이다.
사중극자 질량 분석계(QMS), 광학 방사 분광계, FTIR, 또는 다른 라디칼/이온 측정 툴은 생성된 종의 타입 및 수를 결정하기 위해 챔버 배기로부터 활성화된 에칭 가스를 측정할 수 있다. 필요한 경우에, 에칭 가스 및/또는 비활성 가스의 유량은 생성된 라디칼 종의 수를 증가 또는 감소시키기 위해 조정될 수 있다.
개시된 수소화불화탄소 에칭 가스는 반응 챔버 내에 도입 전에 또는 반응 챔버 내에서 다른 가스와 혼합될 수 있다. 바람직하게, 가스는 진입하는 가스의 균일한 농도를 제공하기 위해 챔버에 도입 전에 혼합될 수 있다.
다른 대안예에서, 개시된 수소화불화탄소 에칭 가스는 다른 가스와 독립적으로, 예를 들어, 가스들 중 둘 이상이 반응할 때 챔버 내에 도입될 수 있다.
다른 대안예에서, 개시된 수소화불화탄소 에칭 가스 및 산소-함유 가스는 에칭 공정 동안 사용되는 단지 2개의 가스이다.
다른 대안예에서, 개시된 수소화불화탄소 에칭 가스, 산소-함유 가스 및 비활성 가스는 에칭 공정 동안 사용되는 단지 3개의 가스이다.
예시적인 다른 가스는 제한 없이 산화제, 예를 들어, O2, O3, CO, CO2, NO, NO2, N2O, SO2, COS, H2O 및 이들의 조합, 비활성 가스, 예를 들어, He, Ar, Xe, Kr, Ne 및 N2, 바람직하게, Ar을 포함한다. 개시된 에칭 가스, 산화제 및/또는 비활성 가스는 반응 챔버 내에 도입전에 함께 혼합될 수 있다.
대안적으로, 산화제는 챔버 내에 연속적으로 도입될 수 있으며, 에칭 가스는 챔버 내에 펄스식으로 도입될 수 있다. 산화제는 챔버 내에 도입된 혼합물의 대략 0.01% v/v 내지 대략 99.99% v/v를 포함할 수 있다(99.99% v/v는 연속 도입 대안을 위해 거의 순수한 산화제의 도입을 나타냄).
에칭 가스가 혼합될 수 있는 다른 예시적인 가스는 추가적인 에칭 가스, 예를 들어, cC4F8, C4F8, C4F6, CF4, CH3F, CF3H, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I, SO2, 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐(트랜스-C4H2F6), 시스-1,1,1,4,4,4-헥사플루오로-2-부텐(시스-C4H2F6), 헥사플루오로이소부텐(C4H2F6), 트랜스-1,1,2,2,3,4-헥사플루오로시클로부탄(트랜스-C4H2F6), 1,1,2,2,3-펜타플루오로시클로부탄(C4H3F5), 1,1,2,2-테트라플루오로시클로부탄(C4H4F4), 또는 시스-1,1,2,2,3,4-헥사플루오로시클로부탄(시스-C4H2F6) 및 이들의 조합, 바람직하게는, CHF3, cC5F8, cC4F8 또는 C4F6를 포함한다.
개시된 수소화불화탄소 에칭 가스 및 추가적인 에칭 가스는 반응 챔버 내에 도입 전에 혼합될 수 있다. 추가적인 에칭 가스는 챔버 내에 도입된 혼합물의 대략 0.01% v/v 내지 대략 99.99% v/v를 포함할 수 있다.
SiON, SiO, SiN, 또는 p-Si 필름과 같은 규소-함유 필름, 및 활성화된 에칭 가스는 반응하여 반응 챔버로부터 제거된 휘발성 부산물을 형성한다. a-C 마스크 및 포토레지스트 층은 활성화된 에칭 가스와 덜 반응적이다. 이에 따라, 활성화된 에칭 가스는 규소-함유 필름과 반응하여 휘발성 부산물을 형성한다.
반응 챔버 내의 온도 및 압력은 규소-함유 필름이 활성화된 에칭 가스와 반응하기에 적합한 조건에서 유지된다. 예를 들어, 챔버에서의 압력은 에칭 파라미터에 의해 요망되는 바와 같이, 대략 0.1 mTorr 내지 대략 1,000 Torr, 바람직하게, 대략 1 mTorr 내지 대략 10 Torr, 더욱 바람직하게, 대략 10 mTorr 내지 대략 1 Torr, 및 더욱 바람직하게, 대략 10 mTorr 내지 대략 100 mTorr에서 유지될 수 있다. 마찬가지로, 챔버에서 기판 온도는 약 대략 -196℃ 내지 대략 500℃, 바람직하게, 대략 -120℃ 내지 대략 300℃, 더욱 바람직하게, 대략 -100℃ 내지 대략 50℃; 및 더욱 바람직하게, 대략 -10℃ 내지 대략 40℃의 범위일 수 있다. 챔버 벽 온도는 공정 요건에 따라, 대략 -196℃ 내지 대략 300℃의 범위일 수 있다.
규소-함유 필름과 활성화된 에칭 가스 간의 반응은 기판으로부터 규소-함유 필름의 이방성 제거를 야기시킨다. 질소, 산소 및/또는 탄소의 원자는 또한, 규소-함유 필름에 존재할 수 있다. 이러한 제거는 플라즈마 이온으로부터의 규소-함유 필름의 물리적 스퍼터링(플라즈마에 의해 가속화됨)으로 인해 및/또는 Si를 휘발성 종, 예를 들어, SiFx(여기서, x는 1 내지 4의 범위임)로 전환시키기 위한 플라즈마 종의 화학적 반응에 의한 것이다.
플라즈마 활성화된 개시된 수소화불화탄소 에칭 가스는 바람직하게는 포토레지스트에 대하여 고선택성을 보이고 SiO 층 또는 SiO/SiN 또는 SiO/p-Si의 교호층들의 상부에서 DARC 캡 층을 에칭하며, 또한 하드마스크 층에 대하여 고선택성을 보이고 기판 상의 SiO 층 또는 SiO/SiN 또는 SiO/p-Si 교호층들을 에칭하여 거칠기 없이 수직적인 에칭 프로파일을 생성하게 되는데, 이는 3D NAND 및 DRAM 응용에 중요하다. 추가적으로, 플라즈마 활성화된 수소화불화탄소 에칭 가스는 피처 프로파일 변형을 억제하기 위해 수직 에칭 프로파일의 측벽 상에 폴리머 층을 증착시킨다.
개시된 에칭 공정은 SiON, SiO, SiN 및 p-Si 층과 같은 규소-함유 층을 에칭하고, 후속 처리 단계에서 규소-함유 필름에서, 채널 홀, 게이트 트렌치, 스태어케이스 컨택트, 커패시터 홀, 컨택트 홀, 스태어케이스 에칭, 슬릿 에칭, 베리드 컨택트 에칭, 커패시터 컨택트 에칭, 쉘로우 트렌치 아이솔레이션 에칭 등을 생성하기 위한 3D NAND 또는 DRAM 구조에서 DARC 캡 층 내에 패턴을 형성하기 위하여 에칭 가스로서 개시된 수소화불화탄소 에칭 화합물을 사용한다. 개시된 수소화불화탄소 에칭 화합물은 또한 에칭 가스로서 사용되어 규소-함유 필름을 에칭하여, DARC 캡 층 내에 패턴을 형성한 이후 규소-함유 필름 내에 애퍼처를 형성하게 된다. 얻어진 패턴 및/또는 애퍼처의 측벽은 대략 1:1 내지 대략 200:1 범위의 종횡비 및 대략 5 ㎚ 내지 대략 100 ㎚ 범위의 직경을 가질 수 있다. 개시된 수소화불화탄소 에칭 화합물에 의해 에칭될 필요가 있는 통상적인 물질은 DARC 캡 층에서 SiON과 같은 규소-함유 조성물, 3D NAND 스택에서 ONON 또는 OPOP, 및 DRAM 스택에서 SiO일 수 있다.
실시예
본 발명의 실시 형태를 추가로 예시하기 위해 하기 비제한적인 실시예가 제공된다. 그러나, 실시예는 모든 것을 포괄하도록 의도된 것이 아니며, 본원에 기술된 본 발명의 범위를 제한하도록 의도된 것이 아니다.
하기 실시예에서, 수소화불화탄소 에칭 화합물, 2,2,2-트리플루오오에탄아민(C2H4F3N), 1,1,2-트리플루오로에탄-1-아민(이소-C2H4F3N), 2,2,3,3,3-펜타플루오로프로필아민(C3H4F5N), 1,1,1,3,3-펜타플루오로-2-프로판아민(이소-C3H4F5N), 1,1,1,3,3-펜타플루오로-(2R)-2-프로판아민(이소-2R-C3H4F5N) 1,1,1,3,3-펜타플루오로-(2S)-2-프로판아민(이소-2S-C3H4F5N), 1,1,1,3,3,3-헥사플루오로이소프로필아민(C3H3F6N) 및 1,1,2,3,3,3-헥사플루오로-1-프로판아민(이소-C3H3F6N)의 에칭 성능을 평가하고, CHF3 (CAS 75-46-7) 및 NH3과 혼합된 종래 에칭 가스 CF4 + CHF3의 혼합물에 대하여 비교한다 . 결과는 C2H4F3N, C3H3F6N 및 C3H4F5N는 최소한의 PR 변형으로 높은 SiON/PR 선택성을 제공하는 것으로 나타났다. 또한, C3H3F6N은 ONON 층의 비-선택적 에칭과 비정질 탄소 하드 마스크의 무한한 선택성을 제공한다. C3H4F5N은 ONON 또는 OPOP 층의 비-선택적 에칭과 비정질 탄소 하드 마스크의 높은 선택성을 제공한다. C3H3F6N 및 C3H4F5N 모두 보우잉이 적거나 업고 프로파일 변형이 적거나 없으며 반도체 구조를 제작하는 데 사용될 수 있다.
도 2는 증착 및 에칭 시험에서 적용된 예시적인 반응기 시스템의 예시적인 측단면도이다. 도시된 바와 같이, 반응기(900)는 반응기 챔버(902)를 포함한다. 반응기 챔버(902) 내측에서, 하부 전극 (904)의 상부 상에 부착된 웨이퍼(906)는 반응기 챔버(902)의 하부 부분에 배치되며, 실리콘 상부 전극 샤워헤드(908)는 반응기 챔버(902)의 상부 부분 상에 배치된다. 하부 전극(904)은 여기에 인가되는 바이어스 전력(bias power)을 갖는 정전 척(electrostatic chuck)일 수 있다. 예를 들어, 2 MHz RF 바이어스 전력은 하부 전극(904)에 인가된다. 웨이퍼(906)는 에칭될 필요가 있는 다수의 층을 가질 수 있다. 실리콘 상부 전극 샤워헤드(908)는 샤워헤드에서 다수의 홀(910)을 가지며, 이를 통해 가스가 통과한다. 가스는 가스 유입구(912)를 통해 반응기 챔버(902) 내로 도입될 수 있고, 이후에, 균일한 가스 분포를 위해 샤워헤드(908)에서 홀(910)을 통과할 수 있다. 소스 전력(source power)은 실리콘 상부 전극 샤워헤드(908)에 인가될 수 있다. 예를 들어, 27 MHz RF 소스 전력은 실리콘 상부 전극 샤워헤드(908)에 인가될 수 있다. 실리콘 상부 전극 샤워헤드(908)와 하부 전극(904) 사이에 플라즈마 영역이 존재한다. 수치(914)는 실리콘 상부 전극 샤워 헤드(908)와 하부 전극(904)의 갭 거리(이중 화살표)를 도시한다. 예를 들어, 에칭 테스트를 위해 1.35 cm의 갭 거리가 선택될 수 있다. 샤워헤드(908)에서 홀(910)을 통과한 가스는 플라즈마 영역에서 이온화되고, 이후에, 웨이퍼(906) 상에서 에칭을 수행한다. 가스는 유출구(916)로부터 반응기 챔버(902) 밖으로 가스를 펌핑시킴으로써 제거될 수 있다.
에칭 테스트는 상용 LAM 툴(10개의 가스 라인을 갖춘 이중 주파수 플라즈마)로 수행되었다. 평면 웨이퍼 및 패턴화된 웨이퍼는 Advantive Tech에서 구입하여 LAM 툴로 처리되었다. 6 개의 다른 평면 웨이퍼는 Si 기판상의 300 ㎚의 SiON, Si 기판상의 300 ㎚의 PR, Si 기판상의 2 ㎛의 SiO2, Si 기판상의 2 ㎛의 SiN, Si 기판상의 300 ㎛의 p-Si, 및 Si 기판상의 350 ㎚의 aC이다. 도 3에 도시된 바와 같이, 패턴 웨이퍼는 80 ㎚ BARC 층 및 290 ㎚ PR 층이 증착되는 Si 기판 상에 증착된 SiON 층의 구조를 특징으로 한다. SiON 층은 500 ㎚이다. 트렌치 개구는 약 90 ㎚폭이다.
에칭 테스트는 30 mTorr, 300 W 내지 750 W(27 MHz) 범위의 소스 전력 및 600 W 내지 1500 W(2 MHz) 범위의 바이어스 전력에서 수행되었다. 공급 혼합물은 250 sccm의 Ar 및 15 sccm의 에칭 가스를 함유하고, 반면에 O2는 0 내지 25 sccm의 범위에서 달라진다. 시험된 에칭 가스의 유량은 일정하게 유지되고(예컨대, 15 sccm), 반면에 Ar 유량은 150 내지 300 sccm로 변한다. 당업자는 에칭 공정 동안, O2 유량, 에칭 시간, 소스 전력, 바이어스 전력 및 압력이 변할 수 있음을 인식할 것이다.
증착 시험을 Si 기판 상 1.5 x 1.5 ㎠ 300 ㎚ PR 및 SiON 쿠폰에 대하여 30 mTorr, 750 W(27 MHz)의 소스 전력으로 수행되었으며, 기판에 바이어스 전력을 가지지 않았다. 공급 혼합물은 250 sccm의 Ar, 15 sccm의 에칭 가스를 함유하고, O2를 함유하지 않는다. 바이어스 전력이 없기 때문에, 기판에 도달하는 이온은 에칭하기에 충분한 에너지를 갖지 않을 수 있다. 또한, 표면에 도달하는 중성자 및 활성종은 부착 계수에 기초하여 표면에 부착하며 얇은 폴리머 층을 증착시킨다. 이 얇은 폴리머 층은 측벽 패시베이션을 담당할 수 있고 보통 선택성을 제공한다. 증착 시험 실험 조건은 표면상 또는 측벽상의 패턴의 플라즈마 처리 동안 형성된 폴리머 층을 시뮬레이션하는 데 도움을 준다. 시험된 에칭 화합물에 따라, 15 내지 30초 범위의 증착 시간이 선택되었다.
추가적으로, 에칭 가스의 전자 충격 이온화를 연구하기 위해 질량 분석계를 이용할 수 있다. 이러한 시험을 위하여, 에칭 가스를 질량 분석계 챔버를 통해 흐르게 하고, 사중극자 질량 분석계(Hiden Analytical 사) 검출기를 이용하여 전자 에너지에 따른 에칭 가스로부터의 단편들을 연구한다.
비교예 1
도 4는 CHF3에 의해 생성된 종의 전자 충격 이온화 에너지 대 압력을 도시한 그래프이다 x-축은 전자 에너지를 나타내며, y-축은 단편 종의 부분압을 나타낸다. CHF3에 1차 종 CF3 및 CHF2는 높은 F/C 비를 가지므로 CHF3에 의해 제한된 폴리머 증착이 발생할 수 있다. 활성화된 플라즈마 종의 F/C 비율이 감소함에 따라 폴리머 증착 속도가 증가한다(예컨대, Hung 등에 허여된 미국 특허 번호 제6387287호 참조).
평면 웨이퍼 에칭 시험은 30 mTorr, 300 W(27 MHz)의 소스 전력, 600 W(2 MHz)의 바이어스 전력 및 1.35 cm의 전극 갭에서 수행되었다. 공급 혼합물은 250 sccm의 Ar, 15 sccm의 에칭 가스를 함유하는 반면에, O2는 0 내지 20 sccm로 변화된다. 에칭 시간은 30초이다.
도 5는 CHF3, Ar 및 O2 사용하여 산소 유량의 함수로서 SiON 및 PR 필름의 에칭 속도를 도시한 그래프이다. 에칭 조건은 아래와 같다: CHF3의 유량: 15 sccm; Ar의 유량: 250 sccm; 압력: 30 mTorr; 에칭 시간: 30초; RF소스/바이어스: 300/600 W; 온도: 20℃. 도시된 바와 같이, 1보다 높은 SiON/PR 선택성이 없다. O2 첨가가 없으면, SiON/PR의 선택성은 1에 가깝지만, 1보다 작다(0.81). O2 유량이 20 sccm으로 증가함에 따라, PR 에칭 속도가 증가하여 PR 층이 손상되고 SiON/PR 선택성이 급격히 감소함을 보여준다. PR 층 상에 폴리머 증착이 형성되지 않기 때문에, O2 첨가는 플라즈마에서 더 많은 O 종을 발생시켜 PR을 에칭 제거한다. O2 유량이 10 내지 20 sccm에 도달하면, PR은 완전히 에칭될 수 있다.
도 6은 CHF3, AR 및 O2로 에칭한 후 SiON = 500 ㎚ 패턴화된 웨이퍼 상의 SEM 이미지이다. 도시된 바와 같이, 트렌치는 13 내지 151 ㎚ 범위의 트렌치 폭을 갖는 테이퍼링 및 피칭 구조를 도시한다. 프로파일 각도는 83.2도이며, 90 도는 SiON 층에서 완벽한 수직 트렌치 측벽이다. SiON/PR 선택성은 에칭된 SiON의 두께를 에칭된 PR의 두께로 나누어 계산된다. 따라서, PR 잔류(㎚)가 더 두꺼울수록 SiON/PR 선택성은 더 높아질 것이며, 동일한 트렌치 깊이는 SiON 층에서 에칭된 상태를 유지한다. 이 경우, SiON/PR 선택성은 8.46이다. 여기서, 베이스라인 에칭 가스 CHF3에 대한 에칭 공정 조건은 다음과 같다. 에칭 가스/O2/Ar의 유량은 각각 15, 4 및 150 sccm이고; P=40 mTorr, t=180초, 300 (27 MHz)의 소스 전력, 900 W(2 MHz)의 바이어스 전력, 하단 T=20℃이다.
비교예 2
도 7은 CHF3, CF4, NH3, Ar 및 O2를 사용하여 산소 유량의 함수로서 SiO 및 PR 필름의 에칭 속도를 도시한 그래프이다. 에칭 조건은 아래와 같다: CHF3의 유량: 15 sccm; CF4의 유량: 15 sccm; NH3의 유량: 15 sccm; Ar의 유량: 250 sccm; 압력: 30 mTorr; 에칭 시간: 30초; RF소스/바이어스: 300/600 W; 온도: 20℃. 도시된 바와 같이, 1보다 높은 SiON/PR 선택성이 없다. O2 첨가가 없으면, SiON/PR의 선택성은 1보다 작다(0.59). O2 유량이 15sccm으로 증가함에 따라, PR 에칭 속도가 증가하여 PR 층이 손상되고 SiON/PR 선택성이 급격히 감소함을 보여준다. PR 층 상에 폴리머 증착이 형성되지 않기 때문에, O2 첨가는 플라즈마에서 더 많은 O 종을 발생시켜 PR을 에칭 제거한다. O2 유량이 15 sccm에 도달하면, PR은 완전히 에칭될 수 있다. 이 실시예의 목적은 Trapp에 허여된 선행 기술 미국 특허 번호 제6,569,774호 및 제7,153,779호와 비교하기 위함이고, 종래 에칭 수소화불화탄소 및 암모니아의 사용은 현재 응용에 필요한 에칭 성능을 제공하지 않음을 보여준다.
비교예 3
도 8은 3D NAND 응용을 위해 ONON 및 OPOP를 에칭하기 위하여 종래 수소화불화탄소(CF4 및 CHF3), 암모니아(NH3), Ar 및 O2(0 내지 15 sccm의 유량)를 사용하여 SiO2, SiN, p-Si 및 a-C의 에칭 속도를 도시한 그래프이다. 표 2는 상이한 O2 유량을 갖는 SiO2 대 SiN, SiN 대 SiO2, SiO2 대 a-C 및 SiO2 대 p-Si의 에칭 선택성을 열거한다. 에칭 조건은 아래와 같다: CHF3의 유량: 15 sccm; CF4의 유량: 15 sccm; NH3의 유량: 15 sccm; Ar의 유량: 250 sccm; 압력: 30 mTorr; 에칭 시간: 30초; RF소스/바이어스: 7500/1500 W; 온도: 20℃.
[표 2]
Figure pct00002
도시된 바와 같이, 0sccm에서 O2 유량으로, SiO2/SiN, SiN/SiO2의 선택성은 1:1에 가깝고, SiO2 /a-C의 선택성은 6.2이다. 그러나, NH3 경우, 거칠기 표면 에칭 SiO2/SiN, SiN/SiO2의 증가가 있으며, 이는 하기 실시예 5에서 볼 수 있다.
실시예 1
도 9는 C2H4F3N에 의해 생성된 종의 전자 충격 이온화 에너지 대 압력을 도시한 그래프이다. x-축은 전자 에너지를 나타내며, y-축은 단편 종의 부분압을 나타낸다. 생성된 주요 단편 또는 이온화된 생성물은 C2H4F3N에 대한 CH4N 및 CH2N이며, 이는 플라즈마 에칭 동안 PR 층 상에 증착되는 C, H 및 -NH2 기를 함유한다. 또한, CH4N, CH2N, C2H2F2N 및 CH3FN 종은 CHF3의 단편보다 F:C 및/또는 F:H 비율이 더 낮으며, 이는 폴리머 증착 속도를 높이고 선택성을 향상시킬 수 있다.
도 10은 C2H4F3N, Ar 및 O2를 사용하여 산소 유량의 함수로서 SiON 및 PR 필름의 에칭 속도를 도시한 그래프이다. 에칭 조건은 아래와 같다: C2H4F3N 15 sccm이고; Ar의 유량은 250 sccm이고; 압력은 30 mTorr이고; 에칭 시간은 30초이고; RF소스/바이어스는 300/600 W이며; 그리고 온도는 20℃였다. 0 내지 5 sccm에서 낮은 O2 유량을 갖거나 갖지 않는 경우, SiON/PR 선택성은 높아서 PR 층이 덜 손상됨을 보여준다. O2 유량이 증가되는 경우(즉, 10 sccm 초과), PR 에칭 속도가 증가하고 SiON 층의 것보다 상당히 커서, PR 층이 손상되고 SiON/PR 선택성이 급격히 감소함을 보여준다. O2 첨가는 PR을 에칭하는 플라즈마에서 더 많은 O 종을 생성하게 된다. 따라서, O2를 첨가하지 않고 희석된 O2를 갖는 경우(즉, 5 sccm 미만), C2H4F3N은 PR 필름 위에 SiON 필름을 선택적으로 에칭하는 데 적합할 수 있다.
도 11a는 O2의 첨가 없이 C2H4F3N 및 Ar로 에칭한 이후 SiON 표면의 SEM 단면 이미지이다. 표면은 거칠고 균일하지 않은 것처럼 보인다. 도 11b는 O2 유량 2 sccm으로 C2H4F3N 및 Ar로 에칭한 이후 SiON 표면의 SEM 단면 이미지이다. 표면은 평평하고 매끄럽게 보인다. 동일한 SiON 평활 표면이 2 내지 5 sccm 범위의 O2 유량 내에서 관찰되었다. 에칭 조건은 아래와 같다. C2H4F3N의 유량은 15 sccm이고; Ar의 유량은 250 sccm이고; 압력은 30 mTorr이고; 에칭 시간은 30초이고; RF소스/바이어스는 300/600 W이며; 그리고 온도는 20℃였다.
이 실시예는 O2에 더하여, 에칭 화합물로서 C2H4F3N을 사용하여 포토 레지스트에 손상을 주지 않으면서 PR 마스크에 대해 SiON이 선택적으로 에칭되고 SiON의 표면 거칠기를 증가시키지 않음을 보여준다.
실시예 2
도 12는 C3H3F6N에 의해 생성된 종의 전자 충격 이온화 에너지 대 압력을 도시한 그래프이다. x-축은 전자 에너지를 나타내며, y-축은 단편 종의 부분압을 나타낸다. C3H3F6N에 대한 1차 종 C2H3F3N 및 CH2N은 F/C 및 F/H 비가 낮으므로 -NH2 기를 함유하고 CHF3로부터의 단편보다 F:C 및/또는 F:H 비가 더 낮은 C3H3F6N으로 에칭하는 동안 폴리머 증착이 일어나서, 폴리머 증착 속도를 높이고 선택성을 향상시킬 수 있다.
도 13은 C3H3F6N, Ar 및 O2를 사용하여 산소 유량의 함수로서 SiON 및 PR 필름의 에칭 속도를 도시한 그래피이다. 양의 y-축은 에칭 속도를 나타낸 반면에, 음의 y-축은 증착 속도를 나타내며; x-축은 sccm 단위의 O2 유량이며; C3H3F6N 유량은 15 sccm에서 고정되며, Ar의 유량은 250 sccm인 반면에 O2 유량은 0 내지 15 sccm에서 변한다. 도시된 바와 같이, 에칭 속도 결과는 SiON 및 PR 층이 모두 O2 첨가없이 광범위한 폴리머 증착을 갖는다는 것을 보여준다. 반면, SiON/PR 선택성은 O2 유량의 증가에 따라 증가한다. SiON/PR 선택성은 6.3이며, O2 = 15 sccm으로 종래 에칭 가스 CHF3에 비해 크게 개선되었다.
도 14는 C3H3F6N, Ar 및 O2로 패턴화된 웨이퍼를 에칭한 후의 SEM 이미지이다. SEM 이미지는 에칭 시간 120초 동안 SiON = 500 ㎚ 패턴화된 웨이퍼 상에서 촬영되었다. 도 14에 도시된 SEM 이미지는 C3H3F6N 에칭 화합물로 최적화된 패턴화된 구조를 도시한다. 이 이미지에서 전달되는 가장 중요한 메시지는 SiON/PR 선택성이 22.9이고 프로파일 각도가 87.4이이서, 3D NAND 응용에 더 바람직한 패턴 구조를 보여준다. 이 이미지에 대한 에칭 조건은 아래와 같다. C3H3F6N 에칭 가스/O2/Ar의 유량=15/15/150 sccm, P=20 mTorr, t=120초, RF소스/바이어스 300/600 W, T=20℃.
실시예 3
도 15는 C3H4F5N에 의해 생성된 종의 전자 충격 이온화 에너지 대 압력을 도시한 그래프이다. x-축은 전자 에너지를 나타내며, y-축은 단편 종의 부분압을 나타낸다. C3H4F5N에 대한 1차 종 CH4N 및 CH2N는 F/C 및 F/H 비가 낮으므로 C3H4F5N로 에칭 동안 폴리머 증착이 일어난다.
도 16은 SiON 및 PR을 다양한 O2 유량으로 C3H4F5N, Ar으로 에칭한 속도를 도시한 그래프이다. 도시된 바와 같이, 양의 y-축은 에칭 속도를 나타낸 반면에, 음의 y-축은 증착 속도를 나타내며; x-축은 sccm 단위의 O2 유량이며; C3H3F5N 유량은 15 sccm에서 고정되며, Ar의 유량은 250 sccm인 반면에 O2 유량은 0 내지 20 sccm에서 변한다. 도시된 바와 같이, 산소가 첨가되지 않을 때(0 sccm O2 조건), C3H4F5N은 SiON에 증착된다. O2의 첨가로, SiON/PR 선택성이 증가하고 O2 유량 = 16 sccm에서 피크가 21.5를 달성한다. O2를 더 첨가하면 SiON/PR의 선택성이 약간 감소하지만 여전히 SiON/PR의 선택성의 양호한 범위를 유지한다. 16 내지 20 범위의 O2 유량 내에서 C3H4F5N은 PR에 대한 손상이 적다.
도 17a은 C3H4F5N, Ar 및 15 sccm의 O2로 에칭한 후 SiON 표면의 SEM 이미지이다. 표면은 거칠고 균일하지 않은 것처럼 보인다. 도 17b는 C3H4F5N, Ar 및 16 sccm의 O2로 에칭한 후 SiON 표면의 SEM 이미지이며, 이는 최고 SiON/PR 선택성이 달성되는 조건이다. 표면은 평평하고 매끄럽게 보인다. 동일한 SiON 평활 표면이 16 내지 20 sccm 범위의 O2 유량 내에서 관찰되었다. 에칭 조건은 아래와 같다: C3H4F5N 15 sccm이고; Ar의 유량은 250 sccm이고; 압력은 30 mTorr이고; 에칭 시간은 30초이고; RF소스/바이어스는 300/600 W이며; 그리고 온도는 20℃였다.
이 실시예는 에칭 화합물로서 C2H4F3N을 사용하여 포토 레지스트에 손상을 주지 않으면서 PR 마스크에 대해 SiON이 선택적으로 에칭되고 SiON의 표면 거칠기를 증가시키지 않음을 보여준다.
도 18은 C3H4F5N, Ar 및 O2로 패턴화된 층을 에칭한 후의 SEM 이미지이다. 이미지는 에칭 시간 150초 동안 SiON = 500 ㎚ 패턴화된 웨이퍼 상에서의 SEM 이미지이다. 상이한 에칭 시간을 갖는 상이한 패턴화된 웨이퍼를 갖는 초기 SEM 이미지는 PR 층이 손상된 경우 바람직하지 않은 에칭 프로파일을 보여준다. 그러나, 이 SEM 이미지는 무한 SiON/PR 선택성 및 90도 프로파일 각도를 갖는 C3H4F5N 에칭 화합물로 건식 에칭된 최적화된 패턴화된 구조를 보여준다. PR(16㎚) 상부 상에 증착이 있다. 그러나, 건식 에칭 후 바람직하지 않은 패턴 형성은 C3H4F5N의 경우 관찰되지 않았으며, 예를 들어, 트렌치 내부의 오버행 및 트렌치 개구 차단이 관찰되지 않았다. 이 SEM 이미지에 대한 에칭 조건은 아래와 같다. C3H4F5N 가스/O2/Ar의 유량=15/21/150 sccm, P=20 mTorr, t=150초, RF소스/바이어스 300/600 W, 하단 T=20℃.
실시예 4
도 19 SiON 및 PR 기판 상에 C2H4F3N 및 C3H4F5N 각각의 증착 속도를 도시한 그래프이다. 도 19에서, y-축은 ㎚/분의 증착 속도를 나타내며, x-축은 PR 및 SiON 기판을 나타낸다. C2H4F3N 및 C3H4F5N 모두 SiON 필름보다 PR 필름 상에 더 큰 증착을 나타낸다. 전술한 바와 같이, 불화탄소 폴리머 필름은 -NH2를 함유하며, 이는 플라즈마 하에서 생성된 이온의 충돌로부터 PR 필름을 보호하고 높은 SiON/PR 선택성을 선호한다. C3H4F5N은 SiON 및 PR 양쪽 모두에서 C2H4F3N보다 약 2 배 더 중합되는데, 이는 아마도 C3H4F5N에서 더 긴 탄소 사슬 및 플라즈마에서 더 큰 단편의 생성으로 인한 것이다.
실시예 5
도 20은 3D NAND 응용에서 ONON 및 OPOP 에칭을 위해 다양한 O2 유량으로 C3H4F5N 및 Ar을 사용하여 SiO2, SiN, p-Si 및 a-C의 에칭 속도를 도시한 그래프이다. 표 3은 상이한 O2 유량을 갖는 SiO2 대 SiN, SiN 대 SiO2, SiO2 대 a-C, 및 SiO2 대 p-Si의 에칭 선택성을 열거한다. 에칭 조건은 아래와 같다: C3H4F5N의 유량: 15 sccm; Ar의 유량: 250 sccm; 압력: 30 mTorr; 에칭 시간: 30초; RF소스/바이어스: 7500/1500 W; 온도: 20℃.
[표 3]
Figure pct00003
기재된 바와 같이, 20 sccm의 O2 유량으로, SiO2/SiN, SiN/SiO2 및 SiO2/p-Si의 선택성은 1:2 내지 2:1의 범위 내에 있고, 1: 에 가깝고, 에칭 가스로서 C3H4F5N을 사용하여 SiO2 /a-C의 선택성은 6.69이다. 따라서, C3H4F5N은 3D NAND 응용에서 ONON 및 OPOP 에칭을 위해 규소-함유 층 대 a-C 하드마스크 층, 예컨대 SiO2, SiN 및 p-Si 층을 선택적으로 에칭하기 위해 에칭 화합물로서 사용하기에 적합하다.
또한, C3H4F5N 에칭 후 표면의 거칠기를 측정하고, 미처리(pristine) 물질 중 하나와 비교 실시예 3(CF4+CHF3+NH3)에 열거된 에칭 화합물로 에칭된 표면과 비교하였다. 에칭 공정 전후에 상이한 기판(SiO2, SiN, p-Si, a-C)의 표면 형태를 평가하기 위해 NX PARK 10의 원자력 현미경(AFM)을 비접촉 모드로 사용하였다. 거칠기를 평가하기 위해 연구된 매개 변수는 루트 평균 제곱 거칠기(Rq)였다. 연구된 모든 O2 유량 조건(0 내지 25 sccm 범위의 O2) 중에서, 3D NAND, ONON 또는 OPOP 응용에 대해 가장 유망한 결과를 나타내는 조건이 선택되었다: CF4 + CHF3 + NH3로 에칭하는 경우 O2=0 sccm이 선택되었다; 반면, C3H4F5N의 경우, O2 = 20 sccm이 선택되었다. 결과는 표 4에 나타내었다.
[표 4]
Figure pct00004
미처리 기판의 거칠기 값을 CF4+CHF3+NH3 이후의 거칠기 값과 비교하면, SiO2의 표면 거칠기의 높은 증가 및 a-C 표면에서 약간의 증가가 관찰되었다. C3H4F5N으로 에칭한 후, SiO2, SiN 및 a-C에 대해 미처리 물질과 비교하여 더 낮거나 필적할 만한 결과가 나타난 반면에, p-Si의 표면에서 표면 거칠기의 감소가 관찰된다.
실시예 6
도 21은 평면 웨이퍼 상에 다양한 O2 유량으로 C3H3F6N 및 Ar로 SiO2, p-Si 및 a-C의 에칭 속도를 도시한 그래프이다. 양의 y-축은 에칭 속도를 나타낸 반면에, 음의 y-축은 증착 속도를 나타내며; x-축은 sccm 단위의 O2 유량이며; C3H3F6N 유량은 15 sccm에서 고정된 반면에 O2의 유량은 5 내지 15 sccm에서 변한다; Ar의 유량: 250 sccm; 압력: 30 mTorr; 에칭 시간: 30초; RF소스/바이어스: 7500/150 0W; 온도: 20℃. 표 5는 상이한 O2 유량을 갖는 SiO2 대 SiN, SiN 대 SiO2, SiO2 대 a-C 및 SiO2 대 p-Si의 C3H3F6N 선택성을 열거한다. 기재된 바와 같이, C3H3F6N을 사용한 SiN 및 SiO의 에칭 속도는 거의 동일하고 1:2 내지 2:1의 범위이고, 1:1에 가까운 반면에, 증착은 a-C 층 및 p-Si 층에서 발생한다. 따라서, SiO2/a-C 및 SiO2/a-C 선택성은 무한하다. 따라서, C3H3F6N는 비정질 탄소 하드 마스크를 보존하면서 O2를 첨가하여 SiO2 및 SiN 층을 선택적으로 에칭하지 않는다; 그러므로, 3D NAND 응용에서 ONON 에칭을 위해 SiO2 및 SiN 층과 같은 a-C 하드 마스크 층에 대해 규소-함유 층을 선택적으로 에칭하기 위해 에칭 화합물로서 사용하기에 적합하다.
[표 5]
Figure pct00005
요약하면, PR 변형이 적거나 없으면서, SiON/PR의 높은 선택성을 가지며, 1:1에 가까운 SiO2/SiN 또는 SiO2/p-Si 선택성 및 무한에 이르는 SiO2/a-C 선택성을 갖는, C2H4F3N, C3H3F6N, C3H4F5N과 같은 -NH2 작용기를 갖는 개시된 수소화불화탄소 에칭 화합물 및 이의 이성질체는 3D NAND 및 DRAM 응용에 대단히 바람직하다. -NH2 작용기를 갖는 개시된 수소화불화탄소 에칭 화합물은 종래의 에칭 가스 CHF3 + CF4 + NH3와 비교하여 에칭 후 표면의 손상이 적거나 손상이 없음을 보여주고 에칭 후 표면 거칠기가 증가하지 않는다. 실제로, 미처리 표면에 비해 더 낮거나 유사한 표면 거칠기가 관찰되었다. -NH2 작용기를 갖는 개시된 수소화불화탄소 에칭 화합물은 평활한 SiON 표면을 유지함으로써 CHF3 및 CHF3 + CF4 + NH3와 같은 종래 에칭 가스보다 더 높은 (패턴 웨이퍼 상에서 무한) SiON/PR 선택성을 보인다. SiON/PR을 선택적으로 에칭하는 것 외에, -NH2 작용기를 갖는 개시된 수소화불화탄소 에칭 화합물은 또한 3D NANA 및 DRAM 응용에서 사용된, PR 및 비정질 탄소, 붕소, 질소, 황, 염소, 불소 또는 금속(Al, Zr, Ti, W)으로 도핑된 비정질 탄소와 같은 도핑된 비정질 탄소에 대하여 SiO, SiN, p-Si, 비정질 탄소, 결정성 규소, 낮은-k SiCOH, SiOCN, SiaObHcCdNe(여기서, a>0; b, c, d 및 e ≥ 0), 또는 이들의 조합, SiO/SiN, SiO/p-Si 등과 같은 다른 규소-함유 필름을 선택적으로 에칭하지 않는다. 또한, -NH2 작용기를 갖는 수소화불화탄소 에칭 화합물은 종래의 에칭 가스의 다중 혼합물보다 더 양호한 에칭 결과를 얻을 수 있기 때문에, 프로세스 관점에서의 개선이 고려되어야 한다.
본 발명의 구현예가 도시되고 기술되었지만, 이의 변형은 본 발명의 사상 또는 교시를 벗어나지 않고 당업자에 의해 이루어질 수 있다. 본원에 기술된 구현예는 단지 예시적인 것이며 제한적이지 않다. 조성물 및 방법의 많은 변형 및 수정이 가능하며 이는 본 발명의 범위 내이다. 이에 따라, 보호 범위는 본원에 기술된 구현예로 제한되지 않고, 단지 하기 청구범위에 의해서만 제한되며, 청구범위는 청구항의 주제의 모든 균등물을 포함할 것이다.

Claims (15)

  1. 기판 위에 배치된 규소-함유 층들의 구조를 에칭하는 방법으로서, 상기 구조는 제1 규소-함유 층 및 제2 규소-함유 층의 교호층들 위에 증착된 유전체 반사 방지 코팅(DARC) 층, 상기 DARC 층 위에 형성된 패턴화된 포토레지스트 층, 및 상기 DARC 층 및 상기 교호층들 사이에 형성된 하드마스크 층을 가지며, 상기 방법은,
    2,2,2-트리플루오로에탄아민(C2H4F3N), 1,1,2-트리플루오로에탄-1-아민(이소-C2H4F3N), 2,2,3,3,3-펜타플루오로프로필아민(C3H4F5N), 1,1,1,3,3-펜타플루오로-2-프로판아민(이소-C3H4F5N), 1,1,1,3,3-펜타플루오로-(2R)-2-프로판아민(이소-2R-C3H4F5N) 1,1,1,3,3-펜타플루오로-(2S)-2-프로판아민(이소-2S-C3H4F5N)으로 이루어진 군으로 선택된 수소화불화탄소 에칭 화합물을 사용하여 상기 패턴화된 포토레지스트 층에 대하여 상기 DARC 층을 선택적으로 플라즈마 에칭하여 상기 DARC 층 내에 애퍼처(aperture)를 생성하는 단계;
    상기 패턴화된 포토레지스트 층 및 상기 DARC 층에 대하여 상기 DARC 층 내에서 애퍼처에 의해 노출된 하드마스크 층을 상기 하드마스크 층을 에칭하는 데 적합한 에칭 가스로 선택적으로 플라즈마 에칭하여 상기 하드마스크 층을 통해 상기 애퍼처를 확장하는 단계; 및
    상기 수소화불화탄소 에칭 화합물을 사용하여 상기 하드마스크 층에 대하여 상기 하드마스크 층 내의 애퍼처에 의해 노출된 교호층들을 선택적으로 플라즈마 에칭하여 상기 교호층들을 통해 상기 애퍼처를 확장하는 단계를 포함하고, 상기 제1 규소-함유 층 및 상기 제2 규소-함유 층은 비선택적으로 에칭되는, 방법.
  2. 제1항에 있어서,
    상기 수소화불화탄소 에칭 화합물이 플라즈마 활성화되는 경우 상기 패턴화된 포토레지스트 층 상, 상기 하드마스크 상 및 상기 애퍼처의 측벽에 폴리머 층을 증착하는 단계를 더 포함하는 방법.
  3. 제2항에 있어서,
    상기 수소화불화탄소 에칭 화합물과 함께 산소-함유 가스를 도입하는 단계를 더 포함하는 방법.
  4. 제3항에 있어서,
    상기 산소-함유 가스는 O2, O3, CO, CO2, NO, NO2, N2O, SO2, COS, H2O 및 이들의 조합으로 이루어진 군으로부터 선택되는 방법.
  5. 제1항에 있어서,
    상기 수소화불화탄소 에칭 화합물은 2,2,2-트리플루오로에탄아민(C2H4F3N)인 방법.
  6. 제1항에 있어서,
    상기 수소화불화탄소 에칭 화합물은 2,2,3,3,3-펜타플루오로프로필아민(C3H4F5N)인 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 DARC 층은 SiOxNyHzCk(여기서, x는 0 내지 2의 범위이고, y는 0 내지 1의 범위이고, z는 0 내지 약 1의 범위이며, k는 0 내지 1의 범위임)의 화학식을 갖는 규소-함유 필름인 방법.
  8. 제7항에 있어서,
    상기 DARC 층은 SiON 층인 방법.
  9. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 제1 규소-함유 층은 산화규소 층이고 상기 제2 규소-함유층은 질화규소층이고, 또는 그 반대인 방법.
  10. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 제1 규소-함유 층은 산화규소 층이고, 상기 제2 규소-함유 층은 폴리실리콘 층이고, 또는 그 반대인 방법.
  11. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 하드마스크 층은 비정질 탄소 또는 도핑된 비정질 탄소의 열 CVD, PECVD 또는 스프레이 온/스핀 온 증착 층인 방법.
  12. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 하드마스크 층을 에칭하는 데 적합한 상기 에칭 가스는 cC4F8, C4F8, C4F6, C5F8, CF4, CH3F, CF3H, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I, CFN, FNO, SO2 및 이들의 조합으로 이루어진 군으로부터 선택되는 방법.
  13. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 교호층을 통해 확장된 상기 애퍼처는 대략 1:1 내지 대략 200:1의 종횡비를 갖는 방법.
  14. 기판 위에 배치된 규소-함유 층들의 구조를 에칭하는 방법으로서, 상기 구조는 산화규소 및 질화규소의 교호층들 위에 증착된 DARC 층, 상기 DARC 층 위에 형성된 패턴화된 포토레지스트 층, 및 상기 DARC 층 및 상기 교호층들 사이에 형성된 하드마스크 층을 가지며, 상기 방법은,
    1,1,1,3,3,3-헥사플루오로이소프로필아민(C3H3F6N) 및 1,1,2,3,3,3-헥사플루오로-1-프로판아민(이소-C3H3F6N)으로 이루어진 군으로부터 선택된 수소화불화탄소 에칭 화합물을 사용하여 상기 패턴화된 포토레지스트 층에 대하여 상기 DARC 층을 선택적으로 플라즈마 에칭하여 상기 DARC 층 내에 애퍼처를 생성하는 단계;
    상기 패턴화된 포토레지스트 층 및 상기 DARC 층에 대하여 상기 DARC 층 내에서 애퍼처에 의해 노출된 하드마스크 층을 상기 하드마스크 층을 에칭하는 데 적합한 에칭 가스로 선택적으로 플라즈마 에칭하여 상기 하드마스크 층을 통해 상기 애퍼처를 확장하는 단계; 및
    상기 수소화불화탄소 에칭 화합물을 사용하여 상기 하드마스크 층에 대하여 상기 하드마스크 층 내의 애퍼처에 의해 노출된 산화규소 및 질화규소의 교호층들을 선택적으로 플라즈마 에칭하여 상기 산화규소 및 질화규소의 교호층들 내의 에퍼처를 확장하는 단계를 포함하고, 상기 산화규소 층 및 상기 질화규소 층은 비선택적으로 에칭되는, 방법.
  15. 제14항에 있어서,
    상기 DARC 층은 SiOxNyHzCk(여기서, x는 0 내지 2의 범위이고, y는 0 내지 1의 범위이고, z는 0 내지 약 1의 범위이며, k는 0 내지 1의 범위임)의 화학식을 갖는 규소-함유 필름인 방법.
KR1020207014022A 2017-10-31 2018-10-31 3d nand 및 dram 응용을 위한 -nh2 작용기를 함유하는 수소화불화탄소 KR102398458B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/798,476 2017-10-31
US15/798,476 US10410878B2 (en) 2017-10-31 2017-10-31 Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
PCT/US2018/058472 WO2019089766A1 (en) 2017-10-31 2018-10-31 Hydrofluorocarbons containing -nh2 functional group for 3d nand and dram applications

Publications (2)

Publication Number Publication Date
KR20200064145A true KR20200064145A (ko) 2020-06-05
KR102398458B1 KR102398458B1 (ko) 2022-05-13

Family

ID=66244193

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207014022A KR102398458B1 (ko) 2017-10-31 2018-10-31 3d nand 및 dram 응용을 위한 -nh2 작용기를 함유하는 수소화불화탄소

Country Status (6)

Country Link
US (1) US10410878B2 (ko)
JP (1) JP6906107B2 (ko)
KR (1) KR102398458B1 (ko)
CN (1) CN111316405B (ko)
TW (1) TWI744559B (ko)
WO (1) WO2019089766A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022182006A1 (ko) * 2021-02-26 2022-09-01 에스케이 머티리얼즈 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
WO2022191429A1 (ko) * 2021-03-08 2022-09-15 에스케이 머티리얼즈 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018186364A1 (ja) * 2017-04-06 2018-10-11 関東電化工業株式会社 ドライエッチングガス組成物及びドライエッチング方法
JP2019179889A (ja) * 2018-03-30 2019-10-17 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
CN111627916B (zh) * 2018-04-18 2021-03-30 长江存储科技有限责任公司 用于形成三维存储器设备的沟道插塞的方法
US20200203127A1 (en) * 2018-12-20 2020-06-25 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes
US11145504B2 (en) * 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
CN114270476A (zh) * 2019-06-24 2022-04-01 朗姆研究公司 选择性碳沉积
CN110349967B (zh) * 2019-06-28 2020-09-11 长江存储科技有限责任公司 一种三维存储器的形成方法及三维存储器
US11384428B2 (en) * 2019-07-19 2022-07-12 Applied Materials, Inc. Carbon layer covered mask in 3D applications
JP2021040008A (ja) * 2019-09-02 2021-03-11 キオクシア株式会社 半導体装置の製造方法
CN114616373A (zh) * 2019-09-23 2022-06-10 旭硝子欧洲玻璃公司 带有碳基涂层的织物基材及其制造方法
KR102338512B1 (ko) * 2019-10-30 2021-12-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN110993499B (zh) * 2019-11-05 2022-08-16 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
CN112786441A (zh) 2019-11-08 2021-05-11 东京毅力科创株式会社 蚀刻方法及等离子体处理装置
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
KR102401025B1 (ko) 2019-11-08 2022-05-24 도쿄엘렉트론가부시키가이샤 에칭 방법
SG10202010798QA (en) * 2019-11-08 2021-06-29 Tokyo Electron Ltd Etching method and plasma processing apparatus
CN111154490A (zh) * 2020-01-02 2020-05-15 长江存储科技有限责任公司 刻蚀气体、刻蚀方法及3d存储器件制造方法
US11177137B2 (en) * 2020-01-17 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer etching process and methods thereof
US11171012B1 (en) * 2020-05-27 2021-11-09 Tokyo Electron Limited Method and apparatus for formation of protective sidewall layer for bow reduction
CN113808929A (zh) * 2020-06-12 2021-12-17 中微半导体设备(上海)股份有限公司 一种半导体结构的形成方法
JP2022101060A (ja) * 2020-12-24 2022-07-06 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
CN113078043A (zh) * 2021-03-24 2021-07-06 长鑫存储技术有限公司 非晶碳膜的形成方法及半导体结构
KR20220146239A (ko) * 2021-04-23 2022-11-01 삼성전자주식회사 하드 마스크 구조체를 포함하는 반도체 소자

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6495469B1 (en) * 2001-12-03 2002-12-17 Taiwan Semiconductor Manufacturing Company High selectivity, low etch depth micro-loading process for non stop layer damascene etch
KR20140090241A (ko) * 2012-10-30 2014-07-16 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 높은 종횡비의 산화물 에칭을 위한 플루오로탄소 분자
US20150371869A1 (en) * 2015-08-31 2015-12-24 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
KR20160054558A (ko) * 2013-09-09 2016-05-16 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 에칭 기체로 반도체 구조를 에칭하는 방법
US20170110336A1 (en) * 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10303181A (ja) 1997-04-28 1998-11-13 Mitsui Chem Inc 乾式プロセスガス
US6013582A (en) 1997-12-08 2000-01-11 Applied Materials, Inc. Method for etching silicon oxynitride and inorganic antireflection coatings
KR20000004363A (ko) 1998-06-30 2000-01-25 김영환 반도체 소자의 세정방법
KR20000027930A (ko) 1998-10-29 2000-05-15 김영환 반도체 소자에서 산화방지를 위한 도전층 패턴의 표면처리 방법
JP2001077085A (ja) 1999-09-03 2001-03-23 Hitachi Ltd 試料の表面処理方法
US6569774B1 (en) 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
EP1235265A1 (en) 2001-02-23 2002-08-28 Infineon Technologies AG Method for etching a hardmask layer and a metal layer
US6812043B2 (en) * 2002-04-25 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a carbon doped oxide low-k insulating layer
KR100919596B1 (ko) 2008-02-21 2009-09-29 (주) 휴브글로벌 에칭 첨가제 및 이를 함유하는 에칭용 조성물
KR20100070231A (ko) 2008-12-17 2010-06-25 엘지디스플레이 주식회사 유기박막트랜지스터, 패턴형성방법, 이를 이용한 유기박막트랜지스터 및 액정표시소자 제조방법
TWI449084B (zh) 2009-06-26 2014-08-11 羅門哈斯電子材料有限公司 形成電子裝置之方法
US20110253670A1 (en) 2010-04-19 2011-10-20 Applied Materials, Inc. Methods for etching silicon-based antireflective layers

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6495469B1 (en) * 2001-12-03 2002-12-17 Taiwan Semiconductor Manufacturing Company High selectivity, low etch depth micro-loading process for non stop layer damascene etch
KR20140090241A (ko) * 2012-10-30 2014-07-16 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 높은 종횡비의 산화물 에칭을 위한 플루오로탄소 분자
KR20160054558A (ko) * 2013-09-09 2016-05-16 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 에칭 기체로 반도체 구조를 에칭하는 방법
US20150371869A1 (en) * 2015-08-31 2015-12-24 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
US20170110336A1 (en) * 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022182006A1 (ko) * 2021-02-26 2022-09-01 에스케이 머티리얼즈 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
WO2022191429A1 (ko) * 2021-03-08 2022-09-15 에스케이 머티리얼즈 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법

Also Published As

Publication number Publication date
TW201918470A (zh) 2019-05-16
CN111316405A (zh) 2020-06-19
TWI744559B (zh) 2021-11-01
CN111316405B (zh) 2023-04-04
US20190131140A1 (en) 2019-05-02
US10410878B2 (en) 2019-09-10
KR102398458B1 (ko) 2022-05-13
JP2021503172A (ja) 2021-02-04
JP6906107B2 (ja) 2021-07-21
WO2019089766A1 (en) 2019-05-09

Similar Documents

Publication Publication Date Title
KR102398458B1 (ko) 3d nand 및 dram 응용을 위한 -nh2 작용기를 함유하는 수소화불화탄소
JP7470834B2 (ja) 半導体構造エッチング用ヨウ素含有化合物
TWI781210B (zh) 用於蝕刻多個堆疊層之化學過程
US10256109B2 (en) Nitrogen-containing compounds for etching semiconductor structures
KR102546860B1 (ko) 저-k 에치 공정 동안 측벽 손상을 최소화하는 방법
US20070224829A1 (en) Use Of Hypofluorites, Fluoroperoxides, And/Or Fluorotrioxides As Oxidizing Agent In Fluorocarbon Etch Plasmas
KR20150131165A (ko) 초-콘포말한 탄소 막 증착
US10347498B2 (en) Methods of minimizing plasma-induced sidewall damage during low K etch processes
TWI838915B (zh) 使用含矽氫氟烴之蝕刻方法
WO2023069410A1 (en) Etching methods using silicon-containing hydrofluorocarbons
KR20240074888A (ko) 규소-함유 히드로플루오로카본을 사용한 에칭 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant