TWI744559B - 用於3d nand和dram應用的含有–nh2官能基之氫氟烴 - Google Patents

用於3d nand和dram應用的含有–nh2官能基之氫氟烴 Download PDF

Info

Publication number
TWI744559B
TWI744559B TW107136777A TW107136777A TWI744559B TW I744559 B TWI744559 B TW I744559B TW 107136777 A TW107136777 A TW 107136777A TW 107136777 A TW107136777 A TW 107136777A TW I744559 B TWI744559 B TW I744559B
Authority
TW
Taiwan
Prior art keywords
layer
etching
silicon
hard mask
darc
Prior art date
Application number
TW107136777A
Other languages
English (en)
Other versions
TW201918470A (zh
Inventor
孫卉
法布里齊奧 馬切吉亞尼
詹姆士 羅耶
納森 斯塔福德
拉胡爾 古普塔
Original Assignee
法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 filed Critical 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Publication of TW201918470A publication Critical patent/TW201918470A/zh
Application granted granted Critical
Publication of TWI744559B publication Critical patent/TWI744559B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Abstract

一種方法,該方法用於使用選自由以下各項組成之群組之氫氟烴蝕刻化合物:2,2,2-三氟乙胺(C2 H4 F3 N)、1,1,2-三氟乙-1-胺(異-C2 H4 F3 N)、2,2,3,3,3-五氟丙胺(C3 H4 F5 N)、1,1,1,3,3-五氟-2-丙胺(異-C3 H4 F5 N)、1,1,1,3,3-五氟-(2R )-2-丙胺(異-2R-C3 H4 F5 N)和1,1,1,3,3-五氟-(2S )-2-丙胺(異-2S-C3 H4 F5 N)、1,1,1,3,3,3-六氟異丙胺(C3 H3 F6 N)和1,1,2,3,3,3-六氟-1-丙胺(異-C3 H3 F6 N),或選自由以下各項組成之群組之氫氟烴蝕刻化合物:1,1,1,3,3,3-六氟異丙胺(C3 H3 F6 N)和1,1,2,3,3,3-六氟-1-丙胺(異-C3 H3 F6 N)相對於圖案化光致抗蝕劑層選擇性地電漿蝕刻介電減反射塗層(DARC)從而在該DARC層中產生孔。

Description

用於3D NAND和DRAM應用的含有–NH2官能基之氫氟烴
揭露了半導體器件蝕刻應用中用於含矽膜的電漿蝕刻的含氮的氫氟烴蝕刻化合物和使用其的電漿蝕刻方法,特別是對於3D NAND和DRAM應用,用於相比於圖案化光致抗蝕劑層(PR)選擇性電漿蝕刻介電減反射塗層(DARC)(例如:SiON層)和相對於硬掩模層選擇性電漿蝕刻SiO/SiN層的交替層和SiO/p-Si矽層的交替層。
隨著半導體架構變得越來越小且越來越複雜,3D結構化的NAND已經是高度希望的,因為存儲單元在彼此頂部相互堆疊以藉由更高的密度來增加容量、降低每吉位元組的成本,並且提供固態記憶體所期望的可靠性、速度和性能。在3D NAND製造領域,通常,將光致抗蝕劑(photoresist)施加在有待在隨後的加工步驟中進行圖案化的各種材料的層的堆疊上。為了利用光致抗蝕劑的空間解析度,必須在光致抗蝕劑下面使用減反射塗層(ARC),以在光致抗蝕劑暴露期間抑制堆疊中其他層的反射。ARC層典型地指的是一層或多層ARC,例如,由有機組成物構成的底部減反射塗層(BARC)和由無機組成物構成的介電減反射塗層(DARC)。最近,越來越關注使用氮氧化矽(SiON)作為無機DARC,因為其與深紫外(UV)光致抗蝕劑組合良好地發揮作用的能力。
在3D NAND應用中,由於在SiON層下方添加的越來越多的待圖案化的各種材料層,用於光刻目的的光致抗蝕劑(PR)掩模層下方的SiON層的厚度增加。PR掩模層典型地是由C、H、和O元素組成的旋塗材料,其易被電漿損壞。傳統乾蝕刻氣體,如CF4、CHF3、C4F8或C4F6具有有限的SiON/PR選擇性。該等氣體傾向於各向同性蝕刻,並在PR掩模層上產生懸伸/損壞;因此,PR上的損壞或PR上的結構改變將影響隨後的蝕刻步驟。因此,以最小的PR變形實現SiON/PR的高選擇性係具有挑戰性的並且引起了工業界的極大關注。
已經進行了嘗試以在電漿蝕刻條件下的蝕刻過程期間抑制PR掩模層的損壞,即,改善DARC層與光致抗蝕劑層的選擇性。
已經使用氫氟烴或氟碳化合物來蝕刻DARC層和介電層(其上沈積有光致抗蝕劑層)。例如,Yang等人的US 6495469揭露了在O2/N2/Ar情況下使用CH3F、CH2F2、或CHF3蝕刻DARC層將DARC層和介電層與光致抗蝕劑層的選擇性從約0.87提升到了2.45。
此外,氧化矽和氮化矽(SiO/SiN或ON)以及氧化矽和多晶矽(SiO/p-Si或OP)的堆疊係NAND型快閃記憶體中隧道和電荷俘獲的重要組成。在3D NAND應用中蝕刻多個SiO/SiN或SiO/p-Si層的堆疊係關鍵的。蝕刻3D NAND的挑戰在於如何以盡可能高的類似蝕刻速率蝕刻氧化物和氮化物層或氧化物和多晶矽(p-Si)層。此外,經蝕刻的結構應具有直的豎直輪廓而沒有彎曲和低線蝕刻粗糙度(LER)。
用於蝕刻SiO/SiN或SiO/p-Si層的傳統蝕刻氣體包括cC4H8、C4F6、CF4、CH2F2、CH3F和/或CHF3。已知的是選擇性和聚合物沈積速率隨著C:F的比率增加而增加(即,C4F6>C4F8>CF4)。至少由於在電漿蝕刻過程期間在側壁上不足夠的抗蝕刻聚合物沈積,傳統的蝕刻化學過程可能 不能夠提供具有高於20:1的縱橫比的特徵,例如孔洞或溝槽,而該特徵在較新的應用(例如3D NAND)中是必要的。側壁-CxFy-聚合物可能易於蝕刻,其中x在從0.01至1的範圍內並且y在從0.01至4的範圍內。其結果係,經蝕刻的圖案可能不是豎直的,並且蝕刻結構可能示出彎曲、尺寸變化、圖案坍塌和/或增加的粗糙度。
含氮化合物已用作蝕刻氣體。例如,Trapp的美國專利號6,569,774和7,153,779揭露了用於形成穿過氧化矽層的高縱橫比接觸開口的電漿蝕刻過程。使用包括一種或多種含氮氣體的至少一種蝕刻氣體以在蝕刻期間沈積聚合物表面材料以在氧化矽層上保持掩蔽層。揭露了一系列含有-NH2的氫氟烴和氟碳化合物化學物質,但沒有提供結構式、CAS編號或異構物資訊。Surla等人的US9659788揭露了使用含有-NH2的含氮蝕刻氣體用於電漿蝕刻含矽膜,其中揭露了1,1,1,3,3,3-六氟異丙胺(C3H3F6N)提供側壁保護和對p-Si和a-C的良好選擇性,但即使沒有任何氧添加也會失去對SiN膜的選擇性。
到目前為止,尚未發現使用含氮氫氟烴來蝕刻DARC層和含矽層的堆疊兩者。因此,需要開發新的蝕刻氣體組成物用於在電漿蝕刻應用中圖案化各種材料的層的堆疊,其可以提供相對於PR掩模層的DARC層高選擇性,和相對於a-C層的含矽層高選擇性,並在寬範圍的過程條件下保持高縱橫比。
揭露了用於蝕刻佈置在基板上的含矽層的結構的方法,該結構具有:沈積在第一含矽層和第二含矽層的交替層上的介電減反射塗層(DARC)、在該DARC層上形成的圖案化光致抗蝕劑層和在該DARC層與 該等交替層之間形成的硬掩模層,該方法包括以下步驟:使用氫氟烴蝕刻化合物(選自由以下各項組成之群組:2,2,2-三氟乙胺(C2H4F3N)和2,2,3,3,3-五氟丙胺(C3H4F5N))相對於該圖案化光致抗蝕劑層選擇性地電漿蝕刻該DARC層以在該DARC層中產生孔,使用適用於蝕刻該硬掩模層的蝕刻氣體相對於該圖案化光致抗蝕劑層和該DARC層選擇性地電漿蝕刻藉由該DARC層中的孔而暴露的硬掩模層,以使該等孔延伸通過該硬掩模層,並且使用該氫氟烴蝕刻化合物相對於該硬掩模層選擇性地電漿蝕刻藉由該硬掩模層中的孔而暴露的交替層,以使該等孔延伸通過該等交替層,其中該第一含矽層和該第二含矽層被非選擇性地蝕刻。
還揭露了用於蝕刻佈置在基板上的含矽層的結構的方法,該結構具有:沈積在氧化矽和氮化矽的交替層上的DARC層、在該DARC層上形成的圖案化光致抗蝕劑層、以及在該DARC層與該等交替層之間形成的硬掩模層,該方法包括以下步驟:使用1,1,1,3,3,3-六氟異丙胺(C3H3F6N)相對於該圖案化光致抗蝕劑層選擇性地電漿蝕刻該DARC層以在該DARC層中產生孔,使用適用於蝕刻該硬掩模層的蝕刻氣體相對於該圖案化光致抗蝕劑層和該DARC層選擇性地電漿蝕刻藉由該DARC層中的孔而暴露的硬掩模層,以使該等孔延伸通過該硬掩模層,並且使用1,1,1,3,3,3-六氟異丙胺(C3H3F6N)相對於該硬掩模層選擇性地電漿蝕刻藉由該硬掩模層中的孔而暴露的氧化矽和氮化矽的交替層,以使該等孔在該等氧化矽和氮化矽的交替層中延伸,其中該氧化矽層和該氮化矽層被非選擇性地蝕刻。
所揭露的方法中的任一種可包括以下方面中的一項或多項:‧氫氟烴蝕刻化合物,其係含氮的氫氟烴化合物;‧氫氟烴蝕刻化合物,其係含氮的有機氟化合物;‧氫氟烴蝕刻化合物,其在末端碳上含有-NH2官能基; ‧氫氟烴蝕刻化合物,其含有不在末端碳上的-NH2官能基;‧氫氟烴蝕刻化合物,其含有一個氮;‧電漿激活的氫氟烴蝕刻化合物,其與含矽膜反應形成揮發性副產物;‧將該等揮發性副產物從該反應腔室中移除;‧DARC層,其係一種含矽膜;‧含矽膜,其包含氧、氮、碳、氫、碳或其組合;‧含矽膜,其係SiOxNyHzCk,其中x在從0至2的範圍內,y在從0至1的範圍內,z在從0至約1的範圍內以及k在從0至1的範圍內;‧含矽膜,其包含SiON層;‧含矽膜,其係SiON層;‧DARC層,其包含SiON層;‧DARC層,其係SiON層;‧DARC層,其係無機介電覆蓋層;‧DARC層,其不是聚合物層;‧DARC層,其不是電漿損害層;‧SiON層,其係選擇性地從圖案化光致抗蝕劑層蝕刻;‧從圖案化光致抗蝕劑層選擇性地蝕刻該SiON層;‧在DARC層上沈積BARC層;‧BARC層,其包含聚醯胺和聚碸;‧相對於圖案化光致抗蝕劑層選擇性地電漿蝕刻該BARC層;‧圖案化光致抗蝕劑層,其由光致抗蝕劑樹脂組成,該光致抗蝕劑樹脂包括:含有與酯的羧基氧共價連接的三級非環烷基碳或三級脂環碳的酯基基團、縮醛光酸(photoacid)不穩定基團、基於胺的交聯組分或用作樹脂黏合劑的酚醛樹脂; ‧圖案化光致抗蝕劑層,其由交聯組分組成,該交聯組分包括:基於胺的交聯劑、樹脂黏合劑和光活化組分;‧交替層,其包含以下項的層:氧化矽、氮化矽、多晶矽、結晶矽、SiOCH、SiON、SiaObCcNdHe(其中a>0;b、c、d和e
Figure 107136777-A0305-02-0008-7
0)或其組合;‧交替層,其包含氧原子、氮原子、碳原子、氫原子或其組合;‧交替層,其係含矽膜;‧交替層,其包含氧化矽層和氮化矽層;‧交替層,其包含氧化矽和氮化矽的交替層;‧交替層,其係氧化矽和氮化矽的交替層;‧交替層,其包含氧化矽層和多晶矽層;‧交替層,其包含氧化矽和多晶矽的交替層;‧交替層,其係氧化矽和多晶矽的交替層;‧第一含矽層,其包含氧化矽層;‧第一含矽層,其包含氮化矽層;‧第一含矽層,其包含多晶矽層;‧第二含矽層,其包含氧化矽層;‧第二含矽層,其包含氮化矽層;‧第二含矽層,其包含多晶矽層;‧在該等交替層上沈積硬掩模層;‧硬掩模層,其選自由以下各項組成之群組:熱沈積過程CVD,電漿增強過程PECVD或無定形碳(a-C)、摻雜的a-C(諸如摻雜有硼、氮、硫、氯、氟或金屬(Al、Zr、Ti、W)的無定形碳)的噴塗/旋塗沈積層,含矽旋塗掩模,和含碳旋塗掩模;‧硬掩模層,其係a-C層; ‧硬掩模層,其係摻雜的a-C層;‧硬掩模層,其係含矽旋塗掩模層;‧硬掩模層,其係含碳旋塗掩模層;‧硬掩模層,其係選擇性地從圖案化光致抗蝕劑層和DARC層蝕刻;‧相對於圖案化光致抗蝕劑層和DARC層選擇性地電漿蝕刻硬掩模層;‧硬掩模層,其被蝕刻氣體蝕刻,該蝕刻氣體選自由以下各項組成之群組:cC4F8、C4F8、C4F6、C5F8、CF4、CH3F、CF3H、CH2F2、COS、CS2、CF3I、C2F3I、C2F5I、CFN、FNO、SO2及其組合;‧交替層,其係選擇性地從硬掩模層蝕刻;‧交替層,其係選擇性地從a-C層蝕刻;‧交替層,其係選擇性地從摻雜的a-C層蝕刻;‧交替層,其係選擇性地從含矽旋塗硬掩模層蝕刻;‧交替層,其係選擇性地從含碳旋塗硬掩模層蝕刻;‧氧化矽和氮化矽的交替層,其係選擇性地從硬掩模層蝕刻;‧氧化矽和氮化矽的交替層,其係選擇性地從a-C層蝕刻;‧氧化矽和氮化矽的交替層,其係選擇性地從摻雜的a-C層蝕刻;‧氧化矽和氮化矽的交替層,其係選擇性地從含矽旋塗硬掩模層蝕刻;‧氧化矽和氮化矽的交替層,其係選擇性地從含碳旋塗硬掩模層蝕刻;‧氧化矽和多晶矽的交替層,其係選擇性地從硬掩模層蝕刻;‧氧化矽和多晶矽的交替層,其係選擇性地從a-C層蝕刻;‧氧化矽和多晶矽的交替層,其係選擇性地從摻雜的a-C層蝕刻;‧氧化矽和多晶矽的交替層,其係選擇性地從含矽旋塗硬掩模層蝕刻;‧氧化矽和多晶矽的交替層,其係選擇性地從含碳旋塗硬掩模層蝕刻;‧氧化矽層,其係選擇性地從硬掩模層蝕刻; ‧氧化矽層,其係選擇性地從a-C層蝕刻;‧氧化矽層,其係選擇性地從摻雜的a-C層蝕刻;‧氧化矽層,其係選擇性地從含矽旋塗硬掩模層蝕刻;‧氧化矽層,其係選擇性地從含碳旋塗硬掩模層蝕刻;‧氮化矽層,其係選擇性地從硬掩模層蝕刻;‧氮化矽層,其係選擇性地從a-C層蝕刻;‧氮化矽層,其係選擇性地從摻雜的a-C層蝕刻;‧氮化矽層,其係選擇性地從含矽旋塗硬掩模層蝕刻;‧氮化矽層,其係選擇性地從含碳旋塗硬掩模層蝕刻;‧多晶矽層,其係選擇性地從硬掩模層蝕刻;‧多晶矽層,其係選擇性地從a-C層蝕刻;‧多晶矽層,其係選擇性地從摻雜的a-C層蝕刻;‧多晶矽層,其係選擇性地從含矽旋塗硬掩模層蝕刻;‧多晶矽層,其係選擇性地從含碳旋塗硬掩模層蝕刻;‧氧化矽層,其不是選擇性地從氮化矽層蝕刻;‧氧化矽層,其不是選擇性地從多晶矽層蝕刻;‧氮化矽層,其不是選擇性地從氧化矽層蝕刻;‧多晶矽層,其不是選擇性地從氧化矽層蝕刻;‧產生具有在近似10:1與近似200:1之間的縱橫比的孔;‧在含矽膜中產生具有在近似10:1與近似200:1之間的縱橫比的孔;‧在SiON層中產生具有在近似10:1與近似200:1之間的縱橫比的孔;‧在交替層中產生具有在近似10:1與近似200:1之間的縱橫比的孔;‧在SiO和SiN層的交替層中產生具有在近似10:1與近似200:1之間的縱橫比的孔; ‧在SiO和p-Si層的交替層中產生具有在近似10:1與近似200:1之間的縱橫比的孔;‧在圖案化光致抗蝕劑層和孔的側壁上形成聚合物層;‧電漿激活的氫氟烴蝕刻化合物,其包括在蝕刻時沈積在圖案化光致抗蝕劑層和孔的側壁上的含離子的-NHx,其中x=0-2;‧將氧化劑引入反應腔室中;‧不將氧化劑引入反應腔室中;‧氧化劑,其選自由以下各項組成之群組:O2、O3、CO、CO2、NO、NO2、N2O、SO2、COS、H2O及其組合;‧氧化劑,其係O2;‧在引入反應腔室中之前將氫氟烴蝕刻氣體和氧化劑混合;‧將氫氟烴蝕刻氣體與氧化劑分開地引入反應腔室中;‧將氧化劑連續地引入反應腔室中並且將氫氟烴蝕刻氣體以脈衝形式引入反應腔室中;‧氧化劑占引入反應腔室中的氫氟烴蝕刻氣體和氧化劑的總體積的近似0.01% v/v至近似99.9% v/v;‧氧化劑占引入反應腔室中的氫氟烴蝕刻氣體和氧化劑的總體積的近似0.01% v/v至近似10% v/v;‧將惰性氣體引入反應腔室中;‧不將惰性氣體引入反應腔室中;‧惰性氣體,其選自由He、Ar、Xe、Kr和Ne組成之群組;‧該惰性氣體,其係Ar;‧在引入反應腔室中之前將氫氟烴蝕刻氣體和惰性氣體混合以產生混合物; ‧將氫氟烴蝕刻氣體與惰性氣體分開地引入反應腔室中;‧將惰性氣體連續地引入反應腔室中並且將氫氟烴蝕刻氣體以脈衝形式引入反應腔室中;‧惰性氣體占引入反應腔室中的氫氟烴蝕刻氣體和惰性氣體的總體積的近似0.01% v/v至近似99.9% v/v;‧惰性氣體占引入反應腔室中的氫氟烴蝕刻氣體和惰性氣體的總體積的近似90% v/v至近似99% v/v;‧藉由將附加的蝕刻氣體引入反應腔室中來改進選擇性;‧附加的蝕刻氣體,其選自由以下各項組成之群組:cC4F8、C4F8、C4F6、CF4、CH3F、CHF3、CH2F2、COS、CF3I、C2F3I、C2F5I、F-C≡N、CS2、SO2、反式-1,1,1,4,4,4-六氟-2-丁烯(反式-C4H2F6)、順式-1,1,1,4,4,4-六氟-2-丁烯(順式-C4H2F6)、六氟異丁烯(C4H2F6)、反式-1,1,2,2,3,4-六氟環丁烷(反式-C4H2F6)、1,1,2,2,3-五氟環丁烷(C4H3F5)、1,1,2,2-四氟環丁烷(C4H4F4)、或順式-1,1,2,2,3,4-六氟環丁烷(順式-C4H2F6);‧附加的蝕刻氣體,其係CHF3;‧附加的蝕刻氣體,其係cC5F8;‧附加的蝕刻氣體,其係cC4F8;‧附加的蝕刻氣體,其係C4F6;‧在引入反應腔室中之前將氫氟烴蝕刻氣體和附加的蝕刻氣體混合;‧將氫氟烴蝕刻氣體與附加的蝕刻氣體分開地引入反應腔室中;‧將近似0.01% v/v至近似99.99% v/v的附加的蝕刻氣體引入反應腔室中;‧藉由施加RF功率活化電漿;‧藉由在從近似25W至近似20,000W的範圍內的RF功率活化電漿;‧反應腔室具有在從近似1毫托至近似10托的範圍內的壓力; ‧以在從近似0.1sccm至近似1slm範圍內的流動速率將氫氟烴蝕刻氣體引入至反應腔室中;‧將基板維持在從近似-196℃至近似500℃的範圍內的溫度下;‧將基板維持在從近似-120℃至近似300℃的範圍內的溫度下;‧將基板維持在從近似-100℃至近似50℃的範圍內的溫度下;‧將基板維持在從近似-10℃至近似40℃的範圍內的溫度下;並且‧藉由四極質譜儀、光學發光分光計、FTIR或其他自由基/離子測量工具來測量電漿激活的氫氟烴蝕刻化合物。
還揭露了含氮的氫氟烴蝕刻化合物,其具有-NH2官能基。所揭露的含氮的氫氟烴蝕刻化合物包括以下方面中的一項或多項:‧氫氟烴蝕刻化合物,其係含氮的有機氟化合物;‧氫氟烴蝕刻化合物,其含有末端-NH2官能基;‧氫氟烴蝕刻化合物,其含有非末端的-NH2官能基;‧氫氟烴蝕刻化合物,其含有一個氮原子‧氫氟烴蝕刻化合物,其係2,2,2-三氟乙胺(C2H4F3N);‧氫氟烴蝕刻化合物,其係1,1,2-三氟乙-1-胺(異-C2H4F3N);‧氫氟烴蝕刻化合物,其係2,2,3,3,3-五氟丙胺(C3H4F5N);‧氫氟烴蝕刻化合物,其係1,1,1,3,3-五氟-2-丙胺(異-C3H4F5N);‧氫氟烴蝕刻化合物,其係1,1,1,3,3-五氟-(2R)-2-丙胺(異-2R-C3H4F5N);‧氫氟烴蝕刻化合物,其係1,1,1,3,3-五氟-(2S)-2-丙胺(異-2S-C3H4F5N);‧氫氟烴蝕刻化合物,其係1,1,1,3,3,3-六氟異丙胺(C3H3F6N);‧氫氟烴蝕刻化合物,其係1,1,2,3,3,3-六氟-1-丙胺(異-C3H3F6N);‧具有在按體積計從近似95%至近似99.999%的範圍內的純度;‧包含按體積計在近似萬億分之10至近似5%之間的痕量氣體雜質; ‧痕量氣體雜質,其包含水;‧痕量氣體雜質,其包含CO2;‧痕量氣體雜質,其包含N2;並且‧含氮的氫氟烴蝕刻化合物,其具有小於20ppmw的水含量。
符號和命名法
以下詳細描述和申請專利範圍利用了許多縮寫、符號和術語,該等縮寫、符號和術語在本領域中通常是公知的,並且包括: 如本文所使用,不定冠詞“一/一個/種(a或an)”意指一個/一種或多個/多種。
如本文所使用,文本或申請專利範圍中的“約(about)”或“大約(around)”或“近似(approximately)”表示所述值的±10%。
如在此所使用,術語“蝕刻(etch或etching)”指的是一電漿蝕刻過程(即,乾式蝕刻過程),其中離子轟擊使垂直方向上的化學反應加速,使得沿著掩蔽特徵的邊緣以與基板成直角形成垂直側壁(Manos和Flamm,電漿蝕刻導論(Plasma Etching An Introduction),學術出版社公司(Academic Press,Inc.),1989,第12-13頁)。蝕刻過程在基板上產生孔,諸如孔、溝槽、通道孔洞、閘溝槽、階梯觸點、電容器孔洞、接觸孔洞、階梯蝕刻、狹縫蝕刻、掩埋接觸蝕刻、電容器接觸蝕刻、淺溝槽隔離蝕刻等。
術語“圖案蝕刻”或“圖案化蝕刻”係指蝕刻非平面結構,諸如在含矽膜的堆疊上的圖案化硬掩模層。
術語“圖案晶圓(pattern wafer)”或“晶圓(wafer)”係指在基板上具有堆疊的含矽膜和在形成用於圖案蝕刻的含矽膜堆疊上的圖案化硬掩模層的晶圓。
術語“掩模(mask)”指的是抵抗蝕刻的層。該硬掩模層可位於待蝕刻的層的上方。
術語“蝕刻終止(etch stop)”指的是抵禦蝕刻的層,其位於待蝕刻的層的下方保護下面的層。
術語“器件通道”係指係實際器件的一部分的層,並且對其的任何損害將影響器件性能。
術語“縱橫比”指的是溝槽(或孔)的高度與溝槽的寬度(或孔的直徑)的比率。
術語“選擇性”意指一種材料的蝕刻速率與另一種材料的蝕刻速率的比率。術語“選擇性的蝕刻(selective etch)”或“選擇性地蝕刻(selectively etch)”意指蝕刻一種材料多於另一種材料,或換言之,在兩種材料之間具有大於或小於1:1的蝕刻選擇性。
當被用於描述R基團的上下文中時,術語“獨立地”應理解為表示物件R基團不僅相對於帶有相同或不同下標或上標的其他R基團獨立地選擇,而且相對於同樣的R基團的任何附加種類獨立地選擇。例如,在式MR1 x(NR2R3)(4-x)中,其中M係原子,x係2或3,兩個或三個R1基團可以但是不必是彼此相同的或與R2或與R3相同的。進一步地,應理解,除非另外確切地指明,否則當用於不同式中時,R基團的值彼此獨立。
注意,在本文中,術語“膜”和“層”可以互換使用。應理解的是,膜可以對應於層或者與層相關,並且該層可以是指該膜。此外,熟悉該項技術者將認識到,在此使用的術語“膜”或“層”係指在表面上所鋪 或散佈的某種材料的厚度並且該表面可在從與整個晶圓一樣大至與溝槽或線一樣小的範圍內。
注意,在本文中,當蝕刻化合物在室溫和室壓下處於氣態時,術語“蝕刻化合物”和“蝕刻氣體”可互換使用。應理解的是,蝕刻化合物可以對應於蝕刻氣體或者與蝕刻氣體相關,並且該蝕刻氣體可以是指該蝕刻化合物。
術語“通孔”、“孔”和“孔洞”有時可互換使用,並且通常展示層間絕緣體中的開口,其提供用於直接電連接的物理路徑。
術語“溝槽”通常表示蝕刻到半導體基板中的幾何特徵。
如在此使用的,縮寫“NAND”指的是“非AND(“Negated AND”或“Not AND”)”閘;縮寫“2D”指的是平面基板上的二維閘結構;縮寫“3D”指的是3維或垂直閘結構,其中該閘結構在垂直方向上堆疊。
本文使用元素週期表中元素的標準縮寫。應理解,可藉由該等縮寫提及元素(例如,Si係指矽,N係指氮,O係指氧,C係指碳,H係指氫,F係指氟等)。
提供了由化學文摘服務指定的唯一的CAS登記號(即,“CAS”)以識別所揭露的具體分子。
請注意,含矽膜,諸如SiN和SiO,遍及本說明書和申請專利範圍列出,而不提及其恰當的化學計量學。含矽膜可以包括純矽(Si)層(諸如結晶Si、多晶矽(p-Si或多結晶Si)、或無定型矽);氮化矽(SikNl)層;或氧化矽(SinOm)層;或其混合物,其中k、I、m、和n在從0.1(含)至6(含)的範圍內。較佳的是,氮化矽為SikNl,其中k和I各自在從0.5至1.5的範圍內。更較佳的是,氮化矽為Si3N4。在此,以下描述中的SiN可以用於 表示含SikNl的層。較佳的是,氧化矽為SinOm,其中n在從0.5至1.5的範圍內並且m在從1.5至3.5的範圍內。更較佳的是,氧化矽係SiO2。在此,以下描述中的SiO可以用於表示含SinOm的層。該含矽膜還可能是基於氧化矽的介電材料,諸如基於有機物或基於氧化矽的低k介電材料,諸如應用材料公司(Applied Materials,Inc.)的Black Diamond II或III材料(具有式SiOCH)。含矽膜還可以包括SiaObNc,其中a、b、c在從0.1至6的範圍內。該等含矽膜還可包括摻雜劑,諸如B、C、P、As和/或Ge。
圖.1a,圖.1b、圖1c和圖.2
100:基板
102:矽晶圓
104:SiO/SiN的交替層
104a:SiO層
104b:SiN層或p-Si層
106:硬掩模層
108:無機介電覆蓋層
110:有機BARC層
112:圖案化光致抗蝕劑層
114:圖案化孔洞
200:基板
202:矽晶圓
204:SiO/SiN的交替層
204a:SiO層
204b:SiN層或p-Si層
206:硬掩模層
208:無機介電覆蓋層
210:有機BARC層
212:圖案化光致抗蝕劑層
214:通孔
300:基板
302:矽晶圓
304:SiO/SiN的交替層
304a:SiO層
304b:SiN層或p-Si層
306:硬掩模層
308:無機介電覆蓋層
310:有機BARC層
312:圖案化光致抗蝕劑層
314:通孔
316:聚合物鈍化層
900:反應器
902:反應腔室
904:底部電極
906:晶圓
908:矽頂部電極噴頭
910:通孔
912:氣體入口
914:間隙距離
916:出口
為了進一步理解本發明的本質和目的,應結合附圖來參考以下詳細說明,在附圖中相似元件給予相同或類似的參考號,並且其中:圖.1a係本領域產生3D NAND閘的3D NAND堆疊中的示例性層之示例性截面側視圖;圖.1b係3D NAND堆疊中的示例性層的截面側視圖,示出了使用揭露的氫氟烴蝕刻化合物藉由電漿蝕刻在其中形成的高縱橫比孔洞;圖.1c係3D NAND堆疊中的示例性層之示例性截面側視圖,示出了在3D NAND堆疊中的蝕刻期間沈積在側壁上的聚合物;圖.2係在沈積和蝕刻測試中應用的示例性反應器系統之截面側視圖;圖.3係在揭露的實施方式中使用的示例性晶圓圖案之示例性截面側視圖;圖.4係展示由CHF3產生的物種的電子碰撞電離能相對於壓力之圖;圖.5係展示使用CHF3、Ar和O2的作為氧氣流速的函數的SiON和PR膜的蝕刻速率之圖;圖.6係在用CHF3、Ar和O2蝕刻後,SiON=500nm的圖案化晶圓上的SEM圖像; 圖.7係展示使用CHF3、CF4、NH3、Ar和O2的作為氧氣流速的函數的SiON和PR膜的蝕刻速率之圖;圖.8係展示使用傳統氫氟烴(CF4和CHF3)、氨(NH3)、Ar和O2蝕刻ONON和OPOP的SiO2、SiN、p-Si和a-C的蝕刻速率之圖;圖.9係展示由C2H4F3N產生的物種的電子碰撞電離能相對於壓力之圖;圖.10係展示使用C2H4F3N、Ar和O2的作為氧氣流速的函數的SiON和PR膜的蝕刻速率之圖;圖.11a係在沒有添加O2的情況下,用C2H4F3N和Ar進行蝕刻之後的SiON表面之SEM圖像;圖.11b係用C2H4F3N、Ar並且在添加O2的情況下進行蝕刻之後的SiON表面之SEM圖像;圖.12係展示由C3H3F6N產生的物種的電子碰撞電離能相對於壓力之圖;圖.13係展示使用C3H3F6N、Ar和O2的作為氧氣流速的函數的SiON和PR膜的蝕刻速率之圖;圖.14係在用C3H3F6N、Ar和O2蝕刻圖案化晶圓之後之SEM圖像;圖.15係展示由C3H4F5N產生的物種的電子碰撞電離能相對於壓力之圖;圖.16係展示使用C3H4F5N、Ar和O2的作為氧氣流速的函數的SiON和PR膜的蝕刻速率之圖;圖.17a係用C3H4F5N、Ar和15sccm的O2蝕刻後的SiON表面之SEM圖像;圖.17b係用C3H4F5N、Ar和16sccm的O2進行蝕刻後的SiON表面之SEM圖像;圖.18係在用C3H4F5N、Ar和O2蝕刻圖案化晶圓之後之SEM圖像;圖.19係展示分別使用C2H4F3N和C3H4F5N的在SiON和PR基板上的沈積速率之圖; 圖.20係展示在3D NAND應用中在各種O2流速情況下使用C3H4F5N和Ar用於ONON和OPOP蝕刻的SiO2、SiN、p-Si和a-C的蝕刻速率之圖;並且圖.21係展示在平面晶圓上在各種O2流速情況下用C3H3F6N和Ar的SiO2、SiN、p-Si和a-C的蝕刻速率之圖。
揭露了在3D NAND和DRAM應用中電漿蝕刻含矽膜之方法。更具體地,揭露了一種方法,該方法用於製造半導體結構用於在其中形成通道孔洞、階梯觸點、階梯蝕刻、狹縫蝕刻、接觸孔洞、閘溝槽、電容器孔洞、掩埋接觸蝕刻、電容器接觸蝕刻、淺溝槽隔離蝕刻等。揭露了用於在隨後的加工步驟中在待各圖案化的各種材料的層的堆疊的頂部上蝕刻DARC覆蓋層(例如SiON層)之方法。揭露了用於對各種材料的層的堆疊進行蝕刻的方法,例如含矽層的交替層。揭露了前述蝕刻DARC層的處理步驟之後,用於蝕刻含矽層的層(諸如SiO/SiN(ONON)或SiO/p-Si(OPOP)層)的方法。
揭露了一種用於蝕刻安置在基板上的含矽層結構之方法。該結構包括:沈積在第一含矽層和第二含矽層的交替層上的DARC層、在DARC層上形成的圖案化光致抗蝕劑層、以及在DARC層與交替層之間形成的硬掩模層。該方法包括步驟:用氫氟烴蝕刻化合物選擇性地電漿蝕刻該DARC層(相對於圖案化光致抗蝕劑層)以在該DARC層上產生孔,用適用於蝕刻硬掩模層的蝕刻氣體選擇性地電漿蝕刻藉由DARC層中的孔暴露的硬掩模層(相對於該圖案化光致抗蝕劑層和DARC層)以使該等孔延伸穿過該硬掩模層,以及用上述氫氟烴蝕刻化合物選擇性地電漿蝕刻不被硬掩模層覆蓋的交替層(相對於硬掩模層)以在該等交替層中延伸該等孔,其中第 一含矽層和第二含矽層係非選擇性蝕刻的。也就是說,該第一含矽層對第二含矽層的蝕刻具有約1:1的選擇性。
所揭露的氫氟烴蝕刻化合物可以是2,2,2-三氟乙胺(C2H4F3N)。所揭露的氫氟烴蝕刻化合物可以是1,1,2-三氟乙-1-胺(異-C2H4F3N)。所揭露的氫氟烴蝕刻化合物可以是1,1,1,3,3,3-六氟異丙胺(C3H3F6N)。所揭露的氫氟烴蝕刻化合物可以是1,1,2,3,3,3-六氟-1-丙胺(異-C3H3F6N)。所揭露的氫氟烴蝕刻化合物可以是2,2,3,3,3-五氟丙胺(C3H4F5N)。所揭露的氫氟烴蝕刻化合物可以是1,1,1,3,3-五氟-2-丙胺(異-C3H4F5N)。所揭露的氫氟烴蝕刻化合物可以是1,1,1,3,3-五氟-(2R)-2-丙胺(異-2R-C3H4F5N)。所揭露的氫氟烴蝕刻化合物可以是1,1,1,3,3-五氟-(2S)-2-丙胺(異-2S-C3H4F5N)。
所揭露的氫氟烴蝕刻化合物具有-NH2官能基。所揭露的氫氟烴蝕刻化合物係含氮的有機氟化合物並且含有一個氮。
所揭露的氫氟烴蝕刻化合物係可商購的,並且它們的結構式、CAS編號和沸點也包括在表1中。熟悉該項技術者將認識到,可以使用所提供的CAS編號獲得該等化合物的合成方法。
Figure 107136777-A0305-02-0020-1
Figure 107136777-A0305-02-0021-2
在此,所揭露的氫氟烴蝕刻化合物2,2,2-三氟乙胺(C2H4F3N)、1,1,2-三氟乙-1-胺(異-C2H4F3N)、1,1,1,3,3,3-六氟異丙胺、1,1,2,3,3,3-六氟-1-丙胺、2,2,3,3,3-五氟丙胺(C3H4F5N)、1,1,1,3,3-五氟-2-丙胺(異-C3H4F5N)、1,1,1,3,3-五氟-(2R)-2-丙胺(異-2R-C3H4F5N)和1,1,1,3,3-五氟-(2S)-2-丙胺(異-2S-C3H4F5N)可以蝕刻包括SiON層以及SiO層和SiN層的交替層(即,ONON)或SiO層和p-Si層的交替層(即,OPOP)的含矽膜。所揭露的氫氟烴蝕刻化合物1,1,1,3,3,3-六氟異丙胺(C3H3F6N)和1,1,2,3,3,3-六氟-1-丙胺(異-C3H3F6N)可以蝕刻包括SiON層以及SiO層和SiN層的交替層(即,ONON)的含矽膜。
以上氫氟烴蝕刻化合物包括一個-NH2基團。該-NH2基團可以在末端碳上。該-NH2基團可以不在末端碳上。如在下面的實例中所示出的,申請人認為該-NH2基團有助於產生優異的蝕刻輪廓,因為該等電漿激活的蝕刻化合物中的含N物質有助於在該蝕刻結構上沈積保護性聚合物層。
所揭露的氫氟烴蝕刻化合物可以在高縱橫比結構(諸如在DRAM和3D NAND應用中具有在從1:1至200:1範圍內的縱橫比的那些)中提供 對於光致抗蝕劑層、硬掩模層和蝕刻終止層及器件通道材料的高選擇性,對於含矽層(諸如SiO、SiN和p-Si)的無選擇性,並且沒有輪廓變形。
所揭露的氫氟烴蝕刻化合物可以為蝕刻的寬過程條件提供無限的選擇性。在此,選擇性係指兩個不同層的蝕刻速率比。例如,SiON層對比圖案化光致抗蝕劑層的選擇性係SiON的蝕刻速率除以圖案化光致抗蝕劑層的蝕刻速率。該揭露的氫氟烴蝕刻化合物可以在含矽膜與圖案化光致抗蝕劑層之間提供改進的選擇性,並且對光致抗蝕劑材料的損害較小。所揭露的氫氟烴蝕刻化合物以大於95% v/v純度、較佳的是以大於99.99% v/v純度、並且更較佳的是以大於99.999% v/v純度提供。所揭露的氫氟烴蝕刻化合物含有按體積計小於5%的痕量氣體雜質,其中按體積計小於150ppm的雜質氣體,諸如N2和/或H2O和/或CO2,包含在所述痕量氣態雜質中。較佳的是,該電漿蝕刻氣體中的水含量係按重量計小於20ppmw。可藉由蒸餾和/或使氣體或液體藉由適合的吸附劑(諸如4Å分子篩)產生經純化的產物。
申請人認為,具有2-3個碳原子的分子可以在該蝕刻過程期間提供比C1分子更好的側壁保護。對於側壁保護,C3分子係較佳的,因為申請人認為它們將產生更厚的鈍化層。示例性的含有C3 NH2的蝕刻化合物包括C3H4F5N和C3H3F6N及其異構物。然而,C2分子可以更容易地遞送到反應器,或者對於一些應用,可以較佳的是更薄的鈍化層。示例性的含有C2 NH2的蝕刻化合物包括C2H4F3N及其異構物。
所揭露的氫氟烴蝕刻化合物含有小於10% v/v、較佳的是小於1% v/v、更較佳的是小於0.1% v/v、並且甚至更較佳的是小於0.01% v/v的任何其異構物,其可以藉由蒸餾氣體或液體以移除異構物來純化並且可以提供更好的過程可重複性。
可替代地,所揭露的氫氟烴蝕刻化合物可含有在5% v/v與50% v/v之間的其異構物,特別地當該異構物混合物提供改進的過程參數或如果目標異構物的分離過於困難或昂貴時。例如,所揭露的氫氟烴蝕刻化合物可包含在近似50% v/v與近似75% v/v之間的2,2,3,3,3-五氟丙胺(C3H4F5N)以及在近似25% v/v與近似50% v/v之間的2,2,3,3-四氟丙腈。該異構物混合物可減少對於兩個或更多個通向該反應腔室的氣體管線的需要。
所揭露的氫氟烴蝕刻化合物在室溫和室壓下是氣態的。所揭露的氫氟烴蝕刻化合物適合用於電漿蝕刻含矽膜(諸如SiON、SiO、SiN、p-Si膜)。所揭露的氫氟烴蝕刻化合物不僅與當前可獲得的光致抗蝕劑和硬掩模材料相容,而且與未來幾代光致抗蝕劑和硬掩模材料相容,因為所揭露的氫氟烴蝕刻化合物對光致抗蝕劑和硬掩模連同具有高縱橫比結構的良好輪廓引起極少損害或沒有損害。為了實現該等特性,所揭露的氫氟烴蝕刻化合物可以在蝕刻期間在蝕刻結構側壁上沈積抗蝕刻聚合物層,以幫助減少在該蝕刻過程期間的氧和氟自由基對蝕刻輪廓的直接衝擊和轟擊。較佳的是,所揭露的氫氟烴蝕刻化合物在用於遞送到反應器/腔室中的蝕刻過程期間係適合地揮發性的且穩定的。
材料相容性測試對於確定是否任何所揭露的氫氟烴蝕刻化合物將與腔室材料反應並且降低具有短期或長期用途的腔室的性能是重要的。在腔室、閥等的部件中涉及的關鍵材料包括不銹鋼、鋁、鎳、PCTFE、PVDF、PTFE和其他金屬和聚合物。有時,該等材料暴露於高溫(例如高於20℃)和高壓(例如高於1atm),這可增強其降解。計量方法可以包括目視檢查、重量測量、測量SEM中的奈米尺度變化、拉伸強度、硬度等。
所揭露的氫氟烴蝕刻化合物可以被用於電漿蝕刻含矽膜,例如覆蓋在半導體結構的頂部的SiON膜,該半導體結構係例如3D NAND快閃記憶 體(其具有SiO/SiN或SiO/p-Si的交替層)或DRAM記憶體。所揭露的氫氟烴蝕刻化合物也可用於在基板(諸如SiO/SiN或SiO/p-Si的交替層)或DRAM記憶體(諸如氧化矽層)上電漿蝕刻含矽膜。該揭露的電漿蝕刻方法可以在製造半導體器件,如NAND或3D NAND閘或者快閃記憶體或DRAM記憶體或電晶體,如鰭形場效應電晶體(FinFET)、橫向閘全能(LGAA)器件和垂直閘全向(VGAA)器件、塊狀互補金屬氧化物半導體(塊狀CMOS)、完全耗盡型絕緣體上矽(FD-SOI)結構和Monolithich 3D(M3D)上是有用的。所揭露的氫氟烴蝕刻化合物可用於其他應用領域,諸如不同的前段制程(FEOL)和後段制程(BEOL)蝕刻應用還以及低k應用。此外,所揭露的氫氟烴蝕刻化合物還可以用於在3D矽孔(TSV)蝕刻應用中蝕刻Si用於將記憶體與在基板上的邏輯電路互相連接。
該電漿蝕刻方法包括提供具有基板安置在其中的反應腔室。該反應腔室可以是其中進行蝕刻方法的器件內的任何附件或腔室,諸如並且不限於反應性離子蝕刻(RIE)、具有單一或多個頻率RF源的電容耦合電漿(CCP)、電感耦合電漿(ICP)、電子迴旋共振(ECR)或微波電漿反應器,或能夠選擇性地移除含矽膜的一部分或產生活性物種的其他類型的蝕刻系統。熟悉該項技術者將認識到,不同的電漿反應腔室設計提供不同的電子溫度控制。合適的可商購的電漿反應腔室包括但不限於以商標eMAXTM出售的應用材料公司(Applied Materials)磁增強的反應性離子蝕刻器或以商標2300® FlexTM出售的拉姆研究公司(Lam Research)雙重CCP反應性離子蝕刻器介電蝕刻產品家族。以這樣的電漿反應腔室中的RF功率可以被脈衝化以控制電漿特性,並且從而進一步改進蝕刻性能(選擇性和損害)。
可替代地,電漿處理過的反應物可以在反應腔室外產生。MKS儀器公司的ASTRONi®反應性氣體產生器可以用於在通入反應腔室之前處理反應物。在2.45GHz、7kW電漿功率和範圍從近似0.5托至近似10托的壓力下操作,反應物O2可分解成兩個O.自由基。較佳的是,可以用範圍從約1kW至約10kW、更較佳的是從約2.5kW至約7.5kW的功率產生遠程電漿。
該反應腔室可含有一個或多於一個基板。例如,該反應腔室可含有從1至200個具有從25.4mm至450mm的直徑的矽晶圓。基板可為任何適用於製造半導體、光伏打材料、平板或LCD-TFT器件的基板。合適的基板的實例包括晶圓,例如:矽、二氧化矽、玻璃、藍寶石、鍺或III-V化合物(諸如GaAs晶圓)。從先前的製造步驟,該晶圓將具有在其上的多個膜或層,包括含矽膜或層。該等層可以是圖案化的或可以不是圖案化的。合適的層的包括但不限於矽(諸如非晶矽、p-Si、結晶矽、其中的任何一種可以進一步用B、C、P、As、和/或Ge進行p摻雜或n摻雜)、二氧化矽、氮化矽、氧化矽、氮氧化矽、SiaObHcCdNe(其中a>0;b、c、d、e
Figure 107136777-A0305-02-0025-8
0)、掩模層材料(諸如無定形碳、摻雜的無定形碳(諸如摻雜硼、氮、硫、氯、氟或金屬(Al、Zr、Ti、W)的無定形碳)、減反射塗層、光致抗蝕劑材料、鎢、氮化鈦、氮化鉭或其組合)、蝕刻終止層材料(諸如氮化矽、多晶矽、結晶矽、碳化矽(SiC)、碳氮化矽(SiCN)或其組合)、器件通道材料(諸如結晶矽、外延矽、摻雜矽、SiaObHcCdNe(其中a>0;b、c、d、e
Figure 107136777-A0305-02-0025-9
0)或其組合)。該氧化矽層可以形成介電材料,諸如基於有機物或基於氧化矽的低k介電材料(例如,多孔SiCOH膜)。示例性的低k介電材料以商品名Black Diamond II或III由應用材料公司出售。此外,可以使用包含鎢或貴金屬(例如鉑、鈀、銠或金)的層。此外,該等含矽膜的實例可以是 SiaObHcCdNe(其中a>0;b、c、d、e
Figure 107136777-A0305-02-0026-10
0)。在整個說明書和申請專利範圍中,晶圓及其上的任何相關層被稱為基板。
還揭露了在電漿蝕刻條件下利用所揭露的氫氟烴蝕刻化合物製造半導體結構的方法。所揭露的方法提供了含N的氫氟烴蝕刻化合物用於電漿蝕刻含Si膜之用途。所揭露的方法還提供了用於在基板中形成孔、孔洞或溝槽時抑制對圖案化光致蝕劑掩模層或圖案硬掩模層的損害,保護圖案化光致蝕劑掩模層或圖案硬掩模層、或增強圖案化光致蝕劑掩模層或圖案硬掩模層。所揭露的方法在半導體的製造(諸如3D NAND和DRAM應用)中是有用的。
所揭露的方法包括:形成半導體結構,該半導體結構具有在第一含矽層和第二含矽層的交替層上的DARC層、該DARC層上的圖案化光致抗蝕劑層、以及在該DARC層與該交替層之間的硬掩模層;將所揭露的氫氟烴蝕刻氣體引入反應器內;電漿活化所揭露的氫氟烴蝕刻氣體;使用活化的所揭露的氫氟烴蝕刻氣體選擇性地蝕刻該DARC層(相對於圖案化光致抗蝕劑層)以在該DARC層上產生孔;用適用於蝕刻硬掩模層的蝕刻氣體選擇性地電漿蝕刻藉由該DARC層中的孔暴露的硬掩模層以使該等孔延伸通過該硬掩模層;使用以上所揭露的氫氟烴蝕刻氣體選擇性地電漿蝕刻不被該硬掩模層覆蓋的交替層(相對於硬掩模層)以使該等交替層中的該等孔延伸,其中該第一含矽層和該第二含矽層係非選擇性蝕刻的。所揭露的方法還包括在蝕刻DARC層、硬掩模層和交替層的步驟的同時在孔的側壁上同時沈積聚合物層。
所揭露的用於在半導體結構上增強圖案化光致蝕劑層或圖案硬掩模同時在其中形成孔的方法包括:形成半導體結構,該半導體結構具有蝕刻目標層上的DARC層、以及在該DARC層上的圖案化光致抗蝕劑層;並 且使用氫氟烴蝕刻化合物(選自由以下各項組成之群組:2,2,2-三氟乙胺(C2H4F3N)、1,1,2-三氟乙-1-胺(異-C2H4F3N)、2,2,3,3,3-五氟丙胺(C3H4F5N)、1,1,1,3,3-五氟-2-丙胺(異-C3H4F5N)、1,1,1,3,3-五氟-(2R)-2-丙胺(異-2R-C3H4F5N)和1,1,1,3,3-五氟-(2S)-2-丙胺(異-2S-C3H4F5N)、1,1,1,3,3,3-六氟異丙胺(C3H3F6N)和1,1,2,3,3,3-六氟-1-丙胺(異-C3H3F6N))選擇性地電漿蝕刻該DARC層(相對於圖案化光致抗蝕劑層)以在該DARC層中產生孔,同時在圖案化光致抗蝕劑層和孔的側壁沈積聚合物層。
所揭露的製造具有含矽層的交替層的半導體結構的方法包括:在基板上形成半導體結構,該半導體結構具有含矽層的交替層上的圖案化硬掩模層;並且使用氫氟烴蝕刻化合物(選自由以下各項組成之群組:2,2,2-三氟乙胺(C2H4F3N)、1,1,2-三氟乙-1-胺(異-C2H4F3N)、2,2,3,3,3-五氟丙胺(C3H4F5N)、1,1,1,3,3-五氟-2-丙胺(異-C3H4F5N)、1,1,1,3,3-五氟-(2R)-2-丙胺(異-2R-C3H4F5N)和1,1,1,3,3-五氟-(2S)-2-丙胺(異-2S-C3H4F5N)、1,1,1,3,3,3-六氟異丙胺(C3H3F6N)和1,1,2,3,3,3-六氟-1-丙胺(異-C3H3F6N))選擇性地電漿蝕刻含矽層的交替層(相對於圖案化硬掩模層)以在含矽層的交替層中產生孔,同時在硬掩模層和孔的側壁沈積聚合物層,其中第一含矽層和第二含矽層係非選擇性蝕刻的。在此,該第一含矽層包含氧化矽層並且該第二含矽層包含氮化矽層,反之亦然;並且該第一含矽層包含氧化矽層並且該第二含矽層包含多晶矽層,反之亦然。
所揭露的用於製造具有含矽層的交替層的半導體結構的方法包括:在基板上形成具有含矽層的交替層上的圖案化硬掩模層的半導體結構,並且用1,1,1,3,3,3-六氟異丙胺(C3H3F6N)選擇性地電漿蝕刻含矽層的交替層(相對於圖案化硬掩模層)以在含矽層的交替層中產生孔,同時在硬掩模層和孔的側壁沈積聚合物層,其中第一含矽層和第二含矽層係非選 擇性蝕刻的。在此,該第一含矽層包含氧化矽層並且該第二含矽層包含氮化矽層,反之亦然。
以下是可以將所揭露的氫氟烴蝕刻氣體施加在其上進行蝕刻的半導體圖案化基板的示例性實施方式。
在一個實施方式中,基板100可以包括多個層的堆疊,如圖1a中所示出的。如所示出的,在矽晶圓102(即,ONON,如TCAT技術或P-BiCS技術)的頂部沈積SiO/SiN或ONON(即,104a/104b)的n對交替層104的堆疊。此處,n係整數。較佳的是,n=96。更較佳的是,n=128或256,或甚至更多。熟悉該項技術者將認識到,基板100的堆疊中的SiO/SiN的交替層104的數量可以變化。硬掩模層106沈積在SiO/SiN的交替層104的頂部。無機介電覆蓋層108沈積在硬掩模層106的頂部並用作DARC層。有機BARC層110施加在無機介電覆蓋層108的頂部,以進一步減少光敏層圖案化期間的光反射。有機BARC層110典型地包含聚醯胺和聚碸,在其上塗覆圖案化光致抗蝕劑層112(即,光敏層)。圖案化光致抗蝕劑層112包括藉由光刻操作形成的多個圖案化孔洞(此處示出一個孔洞114),其在3D NAND堆疊或DRAM應用中限定多個凹陷區域(例如,接觸孔洞、通道孔洞、溝槽等)。
在此,熟悉該項技術者將認識到矽晶圓102可以用鍺晶圓或Si-Ge晶圓或用鎢(W)晶圓代替,並且在一些應用中,SiN層104b可以用p-Si(例如,SiO/p-Si或OPOP)代替,從而在矽晶圓102的頂部形成SiO/p-Si或OPOP的n對交替層的堆疊,其中n係整數。
SiO/SiN(或SiO/p-Si)的交替層104可以包括氧化矽層、氮化矽層、多晶矽層、結晶矽層、SiOCN層、SiON層、SiaObHcCdNe層(其中a>0;b、c、d和e
Figure 107136777-A0305-02-0028-11
0)或其組合。SiO/SiN(或SiO/p-Si)的交替層104可以包括含有Mg、Ca、Sr、Ba、Nb、Ta、Al、Si、Ge、第4族過渡金屬(諸如Ti、 Zr或Hf)、鑭系金屬(諸如La、Y、Sc、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb和Lu)或其組合的材料。可替代地,SiO、SiN或p-Si層可以包括含有Mg、Ca、Sr、Ba、Nb、Ta、Al、Si、Ge、第4族過渡金屬(諸如Ti、Zr或Hf)、鑭系金屬(諸如La、Y、Sc、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb和Lu)或其組合的材料。
硬掩模層106可以含有C和H以及其他元素(諸如硼、氮、氧、硫、氯、氟、鋁、鎢、鈦、鋯等)以在SiO/SiN層蝕刻期間提高抗蝕刻性。摻雜硼的碳質材料的一個實例可從加利福尼亞州聖克拉拉市的應用材料公司(Applied Materials,Inc.of Santa Clara,CA)以高級圖案化膜(APF)的商品名獲得,更具體地,摻雜硼的APF種的材料(稱為APFc)。硬掩模層106可以是熱CVD、PECVD或a-C或摻雜的a-C(如摻雜硼、氮、硫、氯、氟或金屬(Al、Zr、Ti、W)的無定形碳)的噴塗/旋塗沈積層。硬掩模層106可以是含矽旋塗掩模、含碳旋塗掩模、光致抗蝕劑等。
無機介電覆蓋層108可以是單層膜或多層膜堆疊,其至少包含矽並且典型地還包括氮化物、氧以形成氮氧化矽(SiON)層。SiON通常但不限於具有式SiOxNyHzCk,其中x在從0至2的範圍內,y在從0至1的範圍內,z在從0至約1的範圍內以及k在從0至1的範圍內。藉由改變SiON DARC層的組成,可以在光致抗蝕劑層的成像期間控制光致抗蝕劑的反射。當SiOxNyHzCk被用作ARC時,x、y、和z通常在約0.2與約0.5之間的範圍內。在光刻期間,由於SiON的吸收光的能力,因此,其通常與深紫外(DUV)光致抗蝕劑組合用作減反射塗層。常規的ARC層(諸如旋塗有機聚合物)已經被用於藉由利用膜的吸收特性來抑制不需要的反射。然而,由於旋塗膜的自平坦化性質,有機ARC膜具有超過拓撲的厚度變化,並且因此導致藉由該膜的不同吸光度。由於在有機光致抗蝕劑和有機ARC膜之間存在最 小的蝕刻選擇性,該聚合物ARC中的厚度變化會引起蝕刻問題。因此,已經引入了藉由使用相移消除工作的介電ARC。當基板和ARC表面的反射相位相差180°時,反射將藉由破壞性介面相互抵消。藉由DARC的波的半波長的相移可以藉由優化DARC的厚度和折射率來實現。無機介電覆蓋層108的組成、光學性質和厚度也可以被調整,以在特徵的光刻圖案化期間為使用的特定波長提供最小反射和高的對比度。無機介電覆蓋層108可以藉由CVD或PECVD方法沈積。隨著SiO/SiN交替層104的對(即,n)的數量變大,無機介電覆蓋層108(例如,SiON層)的厚度可以變得更厚。在示例性實施方式中,無機介電覆蓋層108形成在約5nm與1000nm之間的厚度,更特別地在10nm與800nm之間,並且甚至更特別地在10nm與500nm之間。在一些實施方式中,其可以僅具有硬掩模層106上的無機介電覆蓋層108。在可替代的實施方式中,其必須同時具有硬掩模層106上的有機BARC110和無機介電覆蓋層108。該無機介電覆蓋層108為既不是聚合物層也不是電漿層的DARC層。
該無機介電覆蓋層108用做DARC層和/或改善隨後的藉由旋塗技術施加的有機膜(例如,有機BARC層110)的附著力,否則其可能不會很好地黏附到硬掩模層106(例如,摻雜硼的a-C硬掩模層)上。無機介電覆蓋層108在用所揭露的氫氟烴蝕刻化合物藉由蝕刻將光致抗蝕劑層112中的圖案轉移到硬掩模層106中以在硬掩模層106上方的無機介電覆蓋層108中形成掩模圖案中也發揮了作用。凹陷區域可以進一步藉由以下步驟形成:i)用適用於蝕刻硬掩模的蝕刻氣體(諸如選自cC4F8、C4F8、C4F6、C5F8、CF4、CH3F、CF3H、CH2F2、COS、CS2、CF3I、C2F3I、C2F5I、CFN、FNO、SO2及其組合)選擇性地蝕刻硬掩模層106(相對於無機介電覆蓋層108),並且ii)用所揭露的氫氟烴蝕刻化合物或其他在電漿蝕刻條件下適用於蝕刻 含矽膜的蝕刻氣體選擇性地蝕刻含矽膜(例如:SiO/SiN或ONON的交替層104)(相對於在硬掩模層106中形成的掩模圖案)。
圖案化光致抗蝕劑層110可包括正作用和負作用材料。合適的正作用材料包括正作用化學放大的光致抗蝕劑,其經受該組成物的一種或多種組分的酸不穩定基團的光致酸促進的去保護反應,以使該抗蝕劑的塗層的暴露區域比未暴露區域更易溶於水性顯影劑中。光致抗蝕劑樹脂的典型的光酸不穩定基團包括:含有與酯的羧基氧共價連接的三級非環烷基碳(例如,三級丁基)或三級脂環碳(例如,甲基金剛烷基)的酯基基團。也使用縮醛光酸不穩定基團。
合適的負作用抗蝕劑典型地將含有交聯組分。該交聯組分典型地以單獨的抗蝕劑組分存在,典型的為基於胺的交聯劑(諸如三聚氰胺),例如三聚氰胺樹脂(Cymel melamine resin)。在實施方式中有用的負作用光致抗蝕劑組成物包括在暴露於酸後將固化、交聯或硬化的材料的混合物,以及所揭露的實施方式的光活化組分。特別有用的負作用組成物包括樹脂黏合劑,例如酚醛樹脂、交聯劑組分和光活化組分。用作樹脂黏合劑組分的典型酚醛樹脂包括酚醛清漆和聚(乙烯基苯酚)。典型的交聯劑包括基於胺的材料,包括三聚氰胺、甘脲、基於苯并胍胺的材料和基於脲的材料。三聚氰胺-甲醛樹脂通常是最典型的。這種交聯劑係可商購的,例如:由氰特工業公司(Cytec Industries)以商品名Cymel 300、301和303銷售的三聚氰胺樹脂。
熟悉該項技術者將認識到,基板100中的層的堆疊僅出於示例性目的而提供,並且所揭露的氫氟烴蝕刻氣體可用於蝕刻其他類型的層堆疊,例如,對於其中用TiN層替換a-C掩模層106的堆疊。
圖.1b係3D NAND堆疊中的示例性層的截面側視圖,示出了使用揭露的氫氟烴蝕刻化合物藉由電漿蝕刻在其中形成的高縱橫比孔洞。孔214藉由以下步驟形成:i)在電漿蝕刻條件下用揭露的氫氟烴蝕刻化合物選擇性地蝕刻無機介電覆蓋層208(相對於圖案化光致抗蝕劑層212);ii)在電漿蝕刻條件下用適用於蝕刻硬掩膜層的蝕刻氣體選擇性地蝕刻硬掩模層206(相對於圖案化光致抗蝕劑層212和無機介電覆蓋層208);並且iii)在電漿蝕刻條件下用所揭露的氫氟烴蝕刻化合物或適用於蝕刻含矽層的交替層204的其他蝕刻氣體選擇性地蝕刻含矽層的交替層204(相對於圖案化光致抗蝕劑層212、無機介電覆蓋層208和硬掩模層206)。申請人認為孔214在通道孔洞和接觸孔洞蝕刻應用中可具有從1:1至50:1的縱橫比,較佳的是,縱橫比在近似從1:1至近似200:1的範圍內。
適合用於蝕刻該硬掩模層206的蝕刻氣體可以包括:氟碳化合物蝕刻氣體(CxFy,x係整數,y=2x+2或y=2x或y=2x-2,如CF4、C4F6、C4F8、C5F8)、氫氟烴蝕刻氣體(CxHyFz,x和y係整數,z=2x-y+2或z=2x-y或z=2x-y-2,如CHF3、CH2F2、CH3F)、氧化劑(諸如O2、COS)、氟化合物(諸如CF3I、Cl2、HBr、SF6、NF3)、惰性氣體(諸如N2)或其組合。
其他適合用於含矽膜的交替層的蝕刻氣體可以是氟碳化合物(CxFy,x係整數,y=2x+2或y=2x或y=2x-2)或氫氟烴(CxHyFz,x和y係整數,z=2x-y+2或z=2x-y或z=2x-y-2,如cC4F8、C4F8、C4F6、CF4、CH3F、CHF3、CH2F2)或其他氟化合物或含S及含O化合物(諸如COS、CF3I、C2F3I、C2F5I、FCN、CS2、SO2)。
圖.1c係3D NAND堆疊中的示例性層的截面側視圖,示出了在蝕刻期間沈積在孔的側壁上的聚合物。所揭露的氫氟烴蝕刻氣體在電漿過程期間產生離子、中性物質、自由基或碎片,既適用於非均質地蝕刻含矽膜 (諸如SiON、SiO、SiN、p-Si)又適於在被蝕刻的結構的側壁上沈積聚合物鈍化層316,這裡的孔如圖1c所示。所揭露的氫氟烴蝕刻氣體在電漿過程期間,可以產生氫氟烴離子和含氮烴離子。氫氟烴離子與SiON反應以非均質地蝕刻無機介電覆蓋層308形成孔314。含氮烴離子沈積在孔314的側壁上,形成聚合物鈍化層316,從而保護孔314的側壁免受氫氟烴離子的轟擊。聚合物鈍化層316防止孔314的側壁被氫氟烴離子蝕刻掉。聚合物鈍化層316係抗蝕刻聚合物層,其保護孔314的側壁免受氫氟烴離子的轟擊而被蝕刻掉。聚合物鈍化層316導致具有直的沒有彎曲或錐形化的垂直輪廓的圖案蝕刻結構。彎曲可能由掩模層的側壁蝕刻產生,該掩模層可經常是無定形碳(a-C)材料。a-C材料可能被電漿中的氧自由基蝕刻,這可能引起增加的掩模開口並導致彎曲狀、或成角的/曲線的蝕刻結構。重要的是使彎曲最小化並實現當前應用(例如,接觸蝕刻或3D NAND)需要的高縱橫比(即,最高達200:1)。藉由給所揭露的氫氟烴蝕刻化合物添加O2,聚合物鈍化層的厚度受到控制,從而避免了由於聚合物沈積導致的側壁輪廓變形。聚合物鈍化層316還在孔314的底部處提供了更平滑的側壁、較少的彎曲和較少的變形。然而,聚合物鈍化層316可以藉由本領域公知的乾或濕蝕刻化學過程容易地移除或清潔或拋光。
用SiO2層代替圖1a至圖1c中的SiO/SiN交替層,示例性層變為用於產生DRAM記憶體的DRAM堆疊。DRAM堆疊的蝕刻過程類似於圖1a至圖1c中描繪的用於3D NAND堆疊的那些。也就是說,DRAM堆疊中的孔由以下幾個步驟獲得:i)用揭露的氫氟烴蝕刻化合物選擇性地電漿蝕刻無機介電覆蓋層(相對於圖案化光致抗蝕劑層);ii)用適用於蝕刻硬掩模的蝕刻氣體(適合的蝕刻氣體可以包括:氟碳化合物蝕刻氣體(CxFy,x係整數,y=2x+2或y=2x或y=2x-2,如:CF4、C4F6、C4F8、C5F8)、氫氟烴蝕刻氣體 (CxHyFz,x和y係整數,z=2x-y+2或z=2x-y或z=2x-y-2,如CHF3、CH2F2、CH3F)、氧化劑(諸如O2、COS)、氟化合物(諸如CF3I、Cl2、HBr、SF6、NF3)、惰性氣體(諸如N2)或其組合)選擇性地電漿蝕刻硬掩模層(相對於圖案化光致抗蝕劑層和無機介電覆蓋層);並且iii)用所揭露的氫氟烴蝕刻化合物或其他適用於蝕刻含矽膜的蝕刻氣體選擇性地電漿蝕刻氧化矽層(相對於圖案化光致抗蝕劑層、無機介電覆蓋層和硬掩模層)。其他適合用於含矽膜的交替層的蝕刻氣體可以是氟碳化合物(CxFy,x係整數,y=2x+2或y=2x或y=2x-2)或氫氟烴(CxHyFz,x和y係整數,z=2x-y+2或z=2x-y或z=2x-y-2,如cC4F8、C4F8、C4F6、CF4、CH3F、CHF3、CH2F2)或其他氟化合物或含S及含O化合物(諸如COS、CF3I、C2F3I、C2F5I、FCN、CS2、SO2)。孔在通道孔洞和接觸孔洞蝕刻應用中可具有從1:1至50:1的縱橫比,較佳的是,縱橫比在近似從1:1至近似200:1的範圍內。
所揭露的氫氟烴蝕刻化合物也在該孔的側壁上沈積聚合物鈍化層。藉由給所揭露的氫氟烴蝕刻化合物添加O2,聚合物鈍化層的厚度受到控制,從而避免了側壁輪廓變形。聚合物鈍化層還為DRAM堆疊的孔的底部處提供了更平滑的側壁、較少彎曲至沒有彎曲和較少變形至沒有變形。聚合物鈍化層可以藉由本領域公知的乾或濕蝕刻化學過程容易地移除或清潔或拋光。
熟悉該項技術者將認識到,圖1a至圖1c中的堆疊和層的幾何形狀僅出於示例性目的而提供,並且揭露的氫氟烴蝕刻氣體可用於蝕刻其他類型的層的堆疊。此外,熟悉該項技術者將認識到,該堆疊中的層數可以變化(即,可以包括多於或少於所描繪的層)。
在一個實施方式中,所揭露的氫氟烴蝕刻氣體引入含有基板和含矽膜的反應腔室中。可以以在從近似0.1sccm至近似1slm範圍內的流速將 該氣體引入該腔室中。例如,對於200mm晶圓尺寸,可以以在從近似5sccm至近似50sccm範圍內的流速將該氣體引入該腔室中。可替代地,對於450mm晶圓尺寸,可以以在從近似25sccm至近似250sccm範圍內的流速將該氣體引入該腔室中。熟悉該項技術者將認識到,流速可以隨工具不同而不同。
所揭露的氫氟烴蝕刻化合物可以以純淨形式或以與惰性氣體(諸如N2、Ar、Kr、He、Xe、Ne等)或溶劑的共混物供應。所揭露的氫氟烴蝕刻化合物可以是以變化濃度存在於該共混物中。
此外,該氫氟烴蝕刻化合物以在按體積計從95%至99.999%的範圍內的純度遞送,或可以用移除CO、CO2、N2、H2O、HF、H2S、SO2、鹵化物和其他烴或氫鹵烴的已知的標準純化技術來純化。
還將惰性氣體引入該反應腔室中以便維持該電漿。該惰性氣體可為He、Ar、Xe、Kr、Ne、N2或其組合。在引入該腔室中之前,可以混合該蝕刻氣體和該惰性氣體,其中該惰性氣體占所得混合物的在近似0.01% v/v與近似99.9% v/v之間。可替代地,可將該惰性氣體連續地引入該腔室中,而以脈衝形式將該蝕刻氣體引入該腔室中。
所揭露的氫氟烴蝕刻氣體和惰性氣體被電漿活化以產生經活化的蝕刻氣體。該電漿將該蝕刻化合物分解成自由基形式(即,經活化的蝕刻氣體)。電漿可藉由施加RF或DC功率產生。電漿可用在從約25W至約20,000W範圍內的RF功率產生。電漿可以遠端地或在反應器本身內產生。電漿可以以雙重CCP或ICP模式在兩個電極處施加的RF下產生。電漿的RF頻率可在從200KHz至1GHz的範圍內。可在同一電極處耦合且施加不同頻率的不同RF源。電漿RF脈衝可進一步用於控制基板處的分子碎片和反應。熟悉該項技術者將認識到適用於此種電漿處理的方法及裝置。
四極質譜儀(QMS)、光學發光分光計、FTIR或其他自由基/離子測量工具可測量來自腔室排氣的經活化的蝕刻氣體以確定所產生的物質的類型和數目。必要時,可調節蝕刻氣體和/或惰性氣體的流速以增加或減少所產生的自由基物質的數量。
所揭露的氫氟烴蝕刻氣體可在引入反應腔室中之前或在反應腔室內與其他氣體混合。較佳的是,可以在引入該腔室中之前將該等氣體混合,以便提供均勻濃度的進入氣體。
在另一個替代方案中,可以將所揭露的氫氟烴蝕刻氣體獨立於其他氣體引入該腔室中,諸如當兩種或更多種氣體反應時。
在另一個替代方案中,所揭露的氫氟烴蝕刻氣體和含氧氣體係在蝕刻過程期間使用的唯一的兩種氣體。
在另一個替代方案中,所揭露的氫氟烴蝕刻氣體、含氧氣體和惰性氣體係在蝕刻過程期間唯一使用的的三種氣體。
示例的其他氣體包括但不限於氧化劑(諸如O2、O3、CO、CO2、NO、NO2、N2O、SO2、COS、H2O及其組合)、惰性氣體(諸如He、Ar、Xe、Kr、Ne及N2,較佳的是Ar)。所揭露的蝕刻氣體、氧化劑和/或惰性氣體可在引入反應腔室中之前混合在一起。
可替代地,可向該腔室中連續地引入氧化劑且向該腔室中以脈衝形式引入蝕刻氣體。該氧化劑可占引入腔室中的混合物的在近似0.01% v/v至大約99.99% v/v之間(其中99.99% v/v表示針對連續引入替代方案的幾乎純氧化劑的引入)。
可以與該蝕刻氣體混合的其他示例性氣體包括附加的蝕刻氣體,如cC4F8、C4F8、C4F6、CF4、CH3F、CF3H、CH2F2、COS、CS2、CF3I、C2F3I、C2F5I、SO2、反式-1,1,1,4,4,4-六氟-2-丁烯(反式-C4H2F6)、順式 -1,1,1,4,4,4-六氟-2-丁烯(順式-C4H2F6)、六氟異丁烯(C4H2F6)、反式-1,1,2,2,3,4-六氟環丁烷(反式-C4H2F6)、1,1,2,2,3-五氟環丁烷(C4H3F5)、1,1,2,2-六氟異丁烯(C4H4F4)、或順式-1,1,2,2,3,4-六氟環丁烷(順式-C4H2F6)及其組合,較佳的是CHF3、cC5F8、cC4F8或C4F6
在引入該反應腔室之前可以混合所揭露的氫氟烴蝕刻氣體和附加的蝕刻氣體。該附加的蝕刻氣體可占引入腔室中的混合物的在近似0.01% v/v與近似99.99% v/v之間。
含矽膜(諸如SiON、SiO、SiN、或p-Si膜)和活化的蝕刻氣體反應以形成揮發性副產物,將該等副產物從反應腔室中移除。該a-C掩模和光致抗蝕劑層與經活化的蝕刻氣體的反應性較小。因此,該經活化的蝕刻氣體選擇性地與含矽膜反應以形成揮發性副產物。
使反應腔室內的溫度和壓力保持在適用於含矽膜與經活化的蝕刻氣體反應的條件下。例如,根據蝕刻參數,可使腔室中的壓力保持在近似0.1毫托與近似1000托之間、較佳的是在近似1毫托與近似10托之間、更較佳的是在近似10毫托與近似1托之間、並且更較佳的是在近似10毫托與近似100毫托之間。同樣地,該腔室中的基板溫度可在約近似-196℃至近似500℃之間、較佳的是在近似-120℃至近似300℃之間、更較佳的是在近似-100℃至近似50℃之間、並且更較佳的是在近似-10℃至近似40℃之間的範圍內。腔室壁溫度可取決於過程要求在從近似-196℃至近似300℃的範圍內。
在含矽膜與經活化的蝕刻氣體之間的反應導致從基板各向異性移除含矽膜。氮、氧和/或碳的原子也可存在於該含矽膜中。移除歸因於由電漿離子物理濺射含矽膜(藉由電漿加速)和/或藉由電漿物種的化學反應將Si轉化成揮發性物種,諸如SiFx,其中x在從1-4的範圍內。
電漿活化的所揭露的氫氟烴蝕刻氣體較佳的是對光致抗蝕劑具有高選擇性,並且蝕刻穿過SiO層或SiO/SiN或SiO/p-Si的交替層頂部的DARC覆蓋層,並且對硬掩模層表現出高選擇性,並且在基板上也蝕刻穿過SiO層或SiO/SiN或SiO/p-Si的交替層,導致沒有粗糙度的垂直蝕刻輪廓,這對於3D NAND和DRAM應用係重要的。此外,電漿活化的揭露的氫氟烴蝕刻氣體在該垂直蝕刻輪廓的側壁上沈積聚合物層以抑制特徵輪廓變形。
所揭露的蝕刻過程將所揭露的氫氟烴蝕刻化合物作為蝕刻氣體以蝕刻含矽層(諸如SiON、SiO、SiN和p-Si層)從而在3D NAND或DRAM結構的DARC覆蓋層中形成圖案,用於在隨後的加工步驟中在含矽膜中產生通道孔洞、閘溝槽、階梯觸點、電容器孔洞、接觸孔洞、階梯蝕刻、狹縫蝕刻、掩埋接觸蝕刻、電容器接觸蝕刻、淺溝槽隔離蝕刻等。所揭露的氫氟烴蝕刻化合物也被用作蝕刻氣體以蝕刻含矽膜在DARC覆蓋層形成圖案後在含矽膜中形成孔。所得圖案和/或孔的側壁可具有在從近似1:1至近似200:1範圍內的縱橫比和在從近似5nm至近似100nm範圍內的直徑。需要由所揭露的氫氟烴蝕刻化合物蝕刻的常見材料可以是含矽組成物(諸如DARC覆蓋層中的SiON、3D NAND堆疊中的ONON或OPOP、以及DRAM堆疊中的SiO)。
實例
提供以下非限制性實例以進一步說明本發明的實施方式。然而,該等實例不旨在包括所有實例,並且不旨在限制本文所述發明的範圍。
在下述實施方式中,氫氟烴蝕刻化合物2,2,2-三氟乙胺(C2H4F3N)、1,1,2-三氟乙-1-胺(異-C2H4F3N)、2,2,3,3,3-五氟丙胺(C3H4F5N)、1,1,1,3,3-五氟-2-丙胺(異-C3H4F5N)、1,1,1,3,3-五氟-(2R)-2- 丙胺(異-2R-C3H4F5N)和1,1,1,3,3-五氟-(2S)-2-丙胺(異-2S-C3H4F5N)、1,1,1,3,3,3-六氟異丙胺(C3H3F6N)和1,1,2,3,3,3-六氟-1-丙胺(異-C3H3F6N)的蝕刻性能被評估,並與CHF3(CAS 75-46-7)和傳統蝕刻氣體CF4+CHF3與NH3的混合物相比較。結果表明,C2H4F3N、C3H3F6N和C3H4F5N提供高的SiON/PR選擇性,且PR變形最小。此外,C3H3F6N提供ONON層的非選擇性蝕刻和無定形碳硬掩模的無限選擇性。C3H4F5N提供ONON或OPOP層的非選擇性蝕刻和無定形碳硬掩模的高選擇性。C3H3F6N和C3H4F5N提供較少彎曲至無彎曲和較少輪廓變形至無輪廓變形,並且可用於製造半導體結構。
圖2係在沈積和蝕刻測試中應用的示例性反應器系統的示例性截面側視圖。如所示出的,反應器900包括反應腔室902。在反應腔室902的內部,被附接在底部電極904的頂部上的晶圓906被放置在反應腔室902的底部部分中,並且矽頂部電極噴頭908被放置在反應腔室902的頂部部分上。底部電極904可以是具有對其施加的偏壓功率的靜電卡盤。例如,將2MHz RF偏壓功率施加到底部電極904上。晶圓906可以具有需要被蝕刻的多個層。矽頂部電極噴頭908在該噴頭中具有多個孔洞910,氣體通過該等孔洞。氣體可以通過氣體入口912引入反應腔室902中,並且然後通過噴頭908中的孔洞910用於均勻氣體分佈。源功率可以被施加到矽頂部電極噴頭908上。例如,可以將27MHz RF源功率施加到矽頂部電極噴頭908上。在矽頂部電極噴頭908與底部電極904之間是電漿區域。標號914示出了矽頂部電極噴頭908和底部電極904的間隙距離(雙箭頭)。例如,可以選擇1.35cm的間隙距離進行蝕刻試驗。通過噴頭908中的孔洞910的氣體在該電漿區域中電離,並且然後在晶圓906上進行蝕刻。藉由將氣體從出口916泵出反應腔室902來移除該等氣體。
用商業LAM工具(具有10個氣體管線的雙頻電漿)進行蝕刻測試。平面晶圓和圖案化晶圓購自Advantive Tech並用LAM工具加工。6個不同的平面晶圓係Si基板上300nm的SiON、Si基板上300nm的PR、Si基板上2μm的SiO2、Si基板上2μm的SiN、Si基板上300μm的p-Si和Si基板上350nm的a-C。圖案晶圓如FIG.3所示出的,其特徵在於沈積在Si基板上的SiON層結構,在該基板上沈積80nm的BARC層和290nm的PR層。該SiON層係500nm。溝槽開口大約是90nm寬。
蝕刻測試在30毫托、源功率在從300W至750W(27MHz)的範圍內、且偏壓功率在從600W至1500W(2MHz)的範圍內進行。進料混合物含有250sccm的Ar、15sccm的蝕刻氣體,而O2在0至25sccm範圍內變化。測試的蝕刻氣體的流速保持恒定(例如,15sccm),而Ar流速在150sccm至300sccm之間變化。熟悉該項技術者將在蝕刻過程期間認識到,O2流速、蝕刻時間、源功率、偏壓功率和壓力可以變化。
沈積測試在30毫托和750W(27MHz)的源功率下在Si基板上的1.5 x 1.5cm2、300nm的PR和SiON試樣上進行,在基板處沒有偏壓功率。進料混合物含有250sccm的Ar和15sccm的蝕刻氣體,並且不含O2。由於不存在偏壓功率,到達基板的離子可能不具有足夠的能量來蝕刻。另外,到達表面的中性物種和活性物種基於其黏貼係數黏貼到該表面上,並沈積薄的聚合物層。這個薄的聚合物層可能是側壁鈍化的原因,並且經常提供選擇性。沈積測試實驗條件幫助模擬在表面或側壁上的電漿加工圖案期間形成的聚合物層。根據測試的蝕刻化合物,選擇在15s與30s之間的範圍內的沈積時間。
此外,可以使用質譜儀來研究蝕刻氣體的電子碰撞電離。對於該測試,允許蝕刻氣體流過質譜儀腔室,並使用四極質譜儀(希登分析公司 (Hiden Analytical Inc.))檢測器來研究作為電子能量的函數的來自蝕刻氣體的碎片。
對比實例1
圖.4係展示由CHF3產生的物種的電子碰撞電離能相對於壓力的圖。x軸表示電子能量並且y軸表示碎片物種的分壓。CHF3的主要物種CF3和CHF2具有高F/C比,並且因此CHF3可以發生有限的聚合物沈積。隨著活化的電漿物種的F/C比率降低,聚合物沈積速率增加(參見例如Hung等人的US6387287)。
平面晶圓蝕刻測試在30毫托、300W(27MHz)的源功率、600W(2MHz)的偏壓功率以及1.35cm的電極間距下進行。進料混合物含有250sccm的Ar、15sccm的蝕刻氣體,而O2在從0至20sccm內變化。蝕刻時間係30秒。
圖.5係展示使用CHF3、Ar和O2的作為氧氣流速的函數的SiON和PR膜的蝕刻速率的圖。蝕刻條件如下:CHF3的流速:15sccm;Ar的流速:250sccm;壓力:30毫托;蝕刻時間:30s;RF源功率/偏壓功率:300W/600W;溫度:20℃。如所示出的,沒有SiON/PR選擇性高於1。在沒有O2添加的情況下,SiON/PR的選擇性接近1但小於1(0.81)。隨著O2流速增加到20sccm,PR蝕刻速率增加,表明該PR層受損並且該SiON/PR選擇性顯著降低。由於在該PR層上沒有形成聚合物沈積,因此添加O2導致該電漿中的更多O物種以蝕刻掉PR。當O2流速達到10sccm-20sccm,PR可以被完全蝕刻掉。
圖.6係在用CHF3、AR和O2蝕刻後,在SiON=500nm的圖案化晶圓的SEM圖像。如所示出的,該溝槽示出錐形化和傾斜化結構,溝槽寬度範圍為從13nm-151nm。齒廓角為83.2度,其中90度係SiON層中完美的垂 直溝槽側壁。藉由蝕刻的SiON的厚度除以蝕刻的PR的厚度來計算SiON/PR的選擇性。因此,保留的PR越厚(nm),SiON/PR選擇性越高,且在SiON層中保持相同蝕刻溝槽深度。這種情況下,SiON/PR的選擇性係8.46。以下是基準蝕刻氣體CHF3的蝕刻過程條件。蝕刻氣體/O2/Ar的流速分別為15sccm、4sccm和150sccm;P=40毫托、t=180s、300W(27MHz)的源功率、900W(2MHz)的偏壓功率、底溫=20℃。
對比實例2
圖.7係展示使用CHF3、CF4、NH3、Ar和O2的作為氧氣流速的函數的SiON和PR膜的蝕刻速率的圖。蝕刻條件如下:CHF3的流速:15sccm;CF4的流速:15sccm;NH3的流速:15sccm;Ar的流速:250sccm;壓力:30毫托;蝕刻時間:30s;RF源功率/偏壓功率:300W/600W;溫度:20℃。如所示出的,沒有SiON/PR選擇性高於1。在沒有O2添加的情況下,SiON/PR的選擇性仍然小於1(0.59)。隨著O2流速增加到15sccm,PR蝕刻速率增加,表明該PR層受損並且該SiON/PR選擇性顯著降低。由於在該PR層上沒有形成聚合物沈積,因此添加O2導致該電漿中的更多O物種以蝕刻掉PR。當O2流速達到15sccm,PR可以被完全蝕刻掉。這個實例的目的是,與Trapp的美國專利號6,569,774和7,153,779的先前技術進行比較,表明使用傳統的蝕刻氫氟烴和氨不提供目前應用所需的蝕刻性能。
對比實例3
圖.8係展示使用傳統氫氟烴(CF4和CHF3)、氨(NH3)、Ar和O2(流速0-15sccm)的SiO2、SiN、p-Si和a-C的蝕刻速率蝕刻用於3D NAND應用的ONON和OPOP的圖。表2列出了在不同O2流速情況下SiO2相對於 SiN、SiN相對於SiO2、SiO2相對於a-C以及SiO2相對於p-Si的蝕刻選擇性。蝕刻條件如下:CHF3的流速:15sccm;CF4的流速:15sccm;NH3的流速:15sccm;Ar的流速:250sccm;壓力:30毫托;蝕刻時間:30s;RF源功率/偏壓功率:7500W/1500W;溫度:20℃。
Figure 107136777-A0305-02-0043-3
如所示出的,O2流速為0sccm時,SiO2/SiN、SiN/SiO2的選擇性接近1:1、SiO2/a-C的選擇性為6.2。然而,對於NH3,蝕刻SiO2/SiN、SiN/SiO2的表面粗糙度增加,這可以在隨後的實例5中看到。
實例1
圖.9係展示由C2H4F3N產生的物種的電子碰撞電離能相對於壓力的圖。x軸表示電子能量並且y軸表示碎片物種的分壓。產生的主要碎片或電離產物係C2H4F3N的CH4N和CH2N,其含有C、H和-NH2基團,在電漿蝕刻期間導致在該PR層上沈積。此外,物種CH4N、CH2N、C2H2F2N和CH3FN具有比來自CHF3的碎片更低的F:C和/或F:H比,這導致更高的聚合物沈積速率並且可以改善選擇性。
圖.10係展示使用C2H4F3N、Ar和O2的作為氧氣流速的函數的SiON和PR膜的蝕刻速率的圖。蝕刻條件如下:C2H4F3N的流速係15sccm;Ar的流速係250sccm;壓力係30毫托;蝕刻時間係30s;RF源功率/偏壓功率係300 W/600W;溫度係20℃。在沒有O2流速和O2以0-5sccm的低流速時,SiON/PR選擇性高,表明該PR層損壞較少。當O2流速增加(即,大於10sccm)時,該PR蝕刻速率增加並顯著大於SiON層的PR蝕刻速率,表明該PR層受損並且該SiON/PR選擇性顯著降低。O2的加入導致該電漿中O物種更多,從而蝕刻掉PR。因此,在沒有添加O2和稀少的O2(即,小於5sccm)的情況下,C2H4F3N可適用於選擇性地蝕刻PR膜上的SiON膜。
圖.11a係在沒有添加O2的情況下,用C2H4F3N和Ar進行蝕刻之後的SiON表面的SEM橫截面圖像。該表面看起來粗糙而且不均勻。圖.11b係O2流速係2sccm的情況下,用C2H4F3N和Ar進行蝕刻之後的SiON表面的SEM截面圖像。該表面看起來平整光滑。在O2流速在2sccm至5sccm的範圍內的情況下,已經觀察到SiON表面同樣光滑。蝕刻條件如下。C2H4F3N的流速係15sccm;Ar的流速係250sccm;壓力係30毫托;蝕刻時間係30s;RF源功率/偏壓功率係300W/600W;並且溫度係20℃。
該實例表明,使用C2H4F3N作為蝕刻化合物,添加O2,相對於PR掩模對SiON進行選擇性蝕刻,不會對光致抗蝕劑造成損害,也不會增加SiON的表面粗糙度。
實例2
圖.12係展示由C3H3F6N產生的物種的電子碰撞電離能相對於壓力的圖。x軸表示電子能量並且y軸表示碎片物種的分壓。C3H3F6N的主要物種C2H3F3N和CH2N具有較低的F/C和F/H比,因此在用C3H3F6N(其含有-NH2基團並且具有比來自CHF3的碎片更低的F:C和/或F:H比)的蝕刻期間會發生聚合物沈積,這導致更高的聚合物沈積速率並且可以改善選擇性。
圖.13係展示使用C3H3F6N、Ar和O2的作為氧氣流速的函數的SiON和PR膜的蝕刻速率的圖。正y軸表示蝕刻速率,而負y軸表示沈積速率;x軸係以sccm為單位的O2流速;C3H3F6N流速固定係15sccm、Ar的流速係250sccm,而O2流速在從0到15sccm內變化。如所示出的,蝕刻速率結果顯示,在沒有添加O2的情況下,SiON層和PR層都具有廣泛的聚合物沈積。然而,SiON/PR選擇性隨著O2流速的增加而增加。O2=15sccm時,SiON/PR選擇性係6.3,與傳統的蝕刻氣體CHF3相比有顯著改善。
圖.14係在用C3H3F6N、Ar和O2蝕刻圖案化的晶圓之後的SEM圖像。對SiON=500nm的圖案化晶圓(蝕刻時間為120s)拍攝SEM圖像。圖14中所示出的SEM圖像說明了具有C3H3F6N蝕刻化合物的優化的圖案化結構。該圖像中傳遞的最重要資訊係SiON/PR選擇性為22.9且該齒廓角為87.4,示出了用於3D NAND應用的更理想的圖案結構。該圖像的蝕刻條件如下。C3H3F6N蝕刻氣體/O2/Ar的流速=15sccm/15sccm/150sccm、P=20毫托、t=120s,RF源功率/偏壓功率300W/600W、T=20℃。
實例3
圖.15係展示由C3H4F5N產生的物種的電子碰撞電離能相對於壓力的圖。x軸表示電子能量並且y軸表示碎片物種的分壓。C3H4F5N的主要物種CH4N和CH2N具有低的F/C和F/H比,因此在用C3H4F5N的蝕刻期間會發生聚合物沈積。
圖.16係展示在各種O2流速情況下使用C3H4F5N、Ar的SiON和PR的蝕刻速率的圖。如所示出的,正y軸表示蝕刻速率,而負y軸表示沈積速率;x軸係以sccm為單位的O2流速;C3H4F5N流速固定係15sccm、Ar的流速係250sccm,而O2流速在從0到20sccm內變化。如所示出的,當不添加氧氣 (0sccm的O2條件)時,C3H4F5N沈積在SiON上。隨著O2的添加,SiON/PR選擇性上升,在O2流速=16sccm時達到峰值,峰值為21.5。然後,添加更多的O2會稍微降低SiON/PR的選擇性,但SiON/PR的選擇性仍維持在一個良好的範圍內。O2的流速在16至20的範圍時,C3H4F5N對PR的損害較小。
圖.17a係用C3H4F5N、Ar和15sccm的O2蝕刻後的SiON表面的SEM圖像。該表面看起來粗糙而且不均勻。圖.17b係用C3H4F5N、Ar和16sccm的O2進行蝕刻後的SiON表面的SEM圖像,該條件係SiON/PR選擇性已經達到最高的條件。該表面看起來平整光滑。在O2流速在16sccm至20sccm的範圍內,觀察到同樣的SiON光滑表面。蝕刻條件如下:C3H4F5N的流速係15sccm;Ar的流速係250sccm;壓力係30毫托;蝕刻時間係30s;RF源功率/偏壓功率係300W/600W;溫度係20℃。
該實例表明,使用C2H4F3N作為蝕刻化合物,相對於PR掩模對SiON進行選擇性蝕刻,不會對光致抗蝕劑造成損害,也不會增加SiON的表面粗糙度。
圖.18係在用C3H4F5N、Ar和O2蝕刻圖案化的晶圓之後的SEM圖像。對SiON=500nm的圖案化晶圓(蝕刻時間為150s)拍攝SEM圖像。具有不同蝕刻時間的不同圖案化晶圓的初始SEM圖像顯示出不希望的蝕刻輪廓,其中PR層受損。然而,該SEM圖像示出了用具有無限SiON/PR選擇性的C3H4F5N蝕刻化合物乾蝕刻的和90度齒廓角的優化圖案化結構。PR(16nm)的頂部上有沈積物。然而,在用C3H4F5N乾蝕刻後沒有觀察到不希望的圖案形成,例如沒有觀察到內部溝槽的懸垂和阻擋溝槽開口。SEM圖像的蝕刻條件如下。C3H4F5N氣體/O2/Ar的流速=15sccm/21sccm/150sccm、P=20毫托、t=150s、RF源功率/偏壓功率係300W/600W、底溫=20℃。
實例4
圖.19係展示分別用C2H4F3N和C3H4F5N在SiON和PR基板上的沈積速率的圖。在圖19中,y軸表示以nm/min表示的沈積速率,並且x軸表示PR和SiON基板。C2H4F3N和C3H4F5N都示出在PR膜上比在SiON膜上的沈降更高。如上所述,氟碳化合物聚合物膜含有-NH2,其保護PR膜免受電漿下產生的離子的轟擊,並有利於高SiON/PR選擇性。C3H4F5N在SiON和PR兩者上比C2H4F3N更加聚合,大約兩倍,這可能是由於C3H4F5N中的碳鏈較長並且在電漿中產生較大的碎片。
實例5
圖.20係表明在3D NAND應用中在各種O2流速下使用C3H4F5N和Ar用於ONON和OPOP蝕刻,SiO2、SiN、p-Si和a-C的蝕刻速率的圖。表3列出了不同O2流速下,SiO2相對於SiN、SiN相對於SiO2、SiO2相對於a-C及SiO2相對於p-Si的C3H4F5N選擇性。蝕刻條件如下:C3H4F5N的流速:15sccm;Ar的流速:250sccm;壓力:30毫托;蝕刻時間:30s;RF源功率/偏壓功率:7500W/1500W;溫度:20℃。
Figure 107136777-A0305-02-0047-4
如所示出的,當O2流速為20sccm時,SiO2/SiN、SiN/SiO2和SiO2/p-Si的選擇性在1:2至2:1的範圍內,接近1:1,並且將C3H4F5N用作蝕刻氣體時,SiO2/a-C的選擇性係6.69。因此,C3H4F5N適合用作蝕刻化合物選擇性地蝕刻含矽層(諸如SiO2、SiN和p-Si層)(相對於a-C硬掩模層)用於3D NAND應用中的ONON和OPOP蝕刻。
此外,測量C3H4F5N蝕刻後表面的粗糙度,並與原始材料之一進行比較,並與用對比實例3中列出的蝕刻化合物(CF4+CHF3+NH3)蝕刻的表面進行比較。來自NX PARK 10的原子力顯微鏡(AFM)以非接觸模式用於評估該蝕刻過程之前和之後不同基板(SiO2、SiN、p-Si、a-C)的表面形態。用於評估粗糙度的研究參數係均方根粗糙度(Rq)。在所研究的所有O2流速條件(O2範圍從0-25sccm)中,已經選擇了針對3D NAND、ONON或OPOP應用示出最有希望的結果的條件:在用CF4+CHF3+NH3蝕刻的情況下,選擇O2=0sccm;而在用C3H4F5N的情況下,選擇O2=20sccm。結果示於表4中。
Figure 107136777-A0305-02-0048-5
將原始基板的粗糙度值與CF4+CHF3+NH3之後的粗糙度值進行比較,觀察到SiO2表面粗糙度大幅增加,a-C表面粗糙度略微增加。在用C3H4F5N進行蝕刻之後,SiO2、SiN和a-C(相對於原始材料)示出較低的至可比的結果,並且在p-Si的表面上觀察到表面粗糙度的降低。
實例6
圖.21係展示在平面晶圓上在各種O2流速下用C3H3F6N、Ar的SiO2、SiN、p-Si和a-C的蝕刻速率的圖。正y軸表示蝕刻速率,而負y軸表示沈積速率;x軸係以sccm為單位的O2流速;C3H3F6N流速固定係15sccm,而O2流速在從5sccm至15sccm內變化;Ar的流速:250sccm;壓力:30毫托;蝕刻時間:30s;RF源功率/偏壓功率:7500W/1500W;溫度:20℃。表5列出了不同O2流速下,SiO2相對於SiN、SiN相對於SiO2、SiO2相對於a-C和SiO2相對於p-Si的C3H3F6N選擇性。如所示出的,使用C3H3F6N的SiN和SiO的蝕刻速率幾乎相同並且在1:2至2:1的範圍內,接近1:1,而沈積發生在a-C層和p-Si層上。因此,SiO2/a-C和SiO2/a-C的選擇性係無限的。因此,在添加O2的情況下,C3H3F6N不選擇性地蝕刻SiO2和SiN層,同時保留無定形碳硬掩模;因此,對於3D NAND應用中的ONON蝕刻,其適合用作蝕刻化合物來選擇性地蝕刻含矽層(諸如SiO2和SiN層,相對於a-C硬掩模層)。
Figure 107136777-A0305-02-0049-6
總之,所揭露的具有-NH2官能基的氫氟烴蝕刻化合物(諸如C2H4F3N、C3H3F6N、C3H4F5N及其同分異構物)具有高的SiON/PR選擇性,具有較少變形至沒有PR變形,並且具有接近1:1的SiO2/SiN或SiO2/p-Si選擇性,以及高達無限的SiO2/a-C選擇性,對於3D NAND和DRAM應用係高度希望的。與傳統蝕刻氣體CHF3+CF4+NH3相比,所揭露的具有-NH2官能基的氫氟烴蝕刻化合物在蝕刻後表現出較少表面損害至沒有表面損害,並且 在蝕刻後表面粗糙度沒有增加。事實上,與原始表面相比,觀察到較低表面粗糙度至相似表面粗糙度。藉由保持SiON表面光滑,所揭露的具有-NH2官能基的氫氟烴蝕刻化合物示出比與傳統蝕刻氣體(諸如CHF3和CHF3+CF4+NH3)更高(在圖案晶圓上為無限)的SiON/PR選擇性。除了選擇性地蝕刻SiON/PR,所揭露的具有-NH2官能基的氫氟烴蝕刻化合物還不能選擇性地蝕刻用於3D NAND和DRAM應用的其他含矽膜(諸如SiO、SiN、p-Si、無定型矽、結晶矽、低k SiCOH、SiOCN、SiaObHcCdNe(其中a>0;b、c、d和e
Figure 107136777-A0305-02-0050-12
0)或其組合、SiO/SiN、SiO/p-Si等(相對於PR和無定形碳、摻雜的無定形碳(諸如摻雜硼、氮、硫、氯、氟或金屬(Al、Zr、Ti、W)的無定形碳))。此外,從過程的觀點來看,必須考慮改進,因為具有-NH2官能基的氫氟烴蝕刻化合物能夠獲得比傳統蝕刻氣體的多種混合物更好的蝕刻結果。
儘管已示出且描述了本發明的實施方式,但熟悉該項技術者可在不脫離本發明的精神或傳授內容的情況下對其進行修改。在此所述的實施方式只是示例性的且是非限制性的。組成和方法的許多變化和修改係可能的且在本發明的範圍內。因此,保護範圍不限於在此所描述的實施方式,而僅受隨後的申請專利範圍所限定,其範圍應包括該等申請專利範圍的主題的所有等效物。

Claims (15)

  1. 一種用於蝕刻佈置在基板上的含矽層的結構之方法,該結構具有:沈積在第一含矽層和第二含矽層的交替層上的介電減反射塗層(DARC)、在該DARC層上形成的圖案化光致抗蝕劑層、以及在該DARC層與該等交替層之間形成的硬掩模層,該方法包括以下步驟: 使用氫氟烴蝕刻化合物相對於該圖案化光致抗蝕劑層選擇性地電漿蝕刻該DARC層以在該DARC層中產生孔,該氫氟烴蝕刻化合物選自由以下各項組成之群組:2,2,2-三氟乙胺(C2 H4 F3 N)、1,1,2-三氟乙-1-胺(異-C2 H4 F3 N)、2,2,3,3,3-五氟丙胺(C3 H4 F5 N)、1,1,1,3,3-五氟-2-丙胺(異-C3 H4 F5 N)、1,1,1,3,3-五氟-(2R )-2-丙胺(異-2R-C3 H4 F5 N)和1,1,1,3,3-五氟-(2S )-2-丙胺(異-2S-C3 H4 F5 N); 使用適用於蝕刻該硬掩模層的蝕刻氣體相對於該圖案化光致抗蝕劑層和該DARC層選擇性地電漿蝕刻藉由該DARC層中的孔而暴露的硬掩模層,以使該等孔延伸通過該硬掩模層;並且 使用該氫氟烴蝕刻化合物相對於該硬掩模層選擇性地電漿蝕刻藉由該硬掩模層中的孔而暴露的交替層,以使該等孔延伸通過該等交替層,其中該第一含矽層和該第二含矽層被非選擇性地蝕刻。
  2. 如申請專利範圍1項所述之方法,該方法進一步包括當該氫氟烴蝕刻化合物被電漿活化時,在該圖案化光致抗蝕劑層上、該硬掩模層上、和該等孔的側壁上沈積聚合物層的步驟。
  3. 如申請專利範圍2項所述之方法,該方法進一步包括與該氫氟烴蝕刻化合物一起引入含氧氣體。
  4. 如申請專利範圍3項所述之方法,其中,該含氧氣體選自由以下各項組成之群組:O2 、O3 、CO、CO2 、NO、NO2 、N2 O、SO2 、COS、H2 O及其組合。
  5. 如申請專利範圍第1項所述之方法,其中,該氫氟烴蝕刻化合物係 2,2,2-三氟乙胺 (C2 H4 F3 N)。
  6. 如申請專利範圍第1項所述之方法,其中,該氫氟烴蝕刻化合物係2,2,3,3,3-五氟丙胺(C3 H4 F5 N)。
  7. 如申請專利範圍第1至6項中任一項所述之方法,其中,該DARC層係具有式SiOx Ny Hz Ck 之含矽膜,其中,x在從0至2的範圍內,y在從0至1的範圍內,z在從0至約1的範圍內並且k在從0至1的範圍內。
  8. 如申請專利範圍第7項所述之方法,其中該DARC層係SiON層。
  9. 如申請專利範圍第1至6項中任一項所述之方法,其中,該第一含矽層係氧化矽層並且該第二含矽層係氮化矽層,反之亦然。
  10. 如申請專利範圍第1至6項中任一項所述之方法,其中,該第一含矽層係氧化矽層並且該第二含矽層係多晶矽層,反之亦然。
  11. 如申請專利範圍第1至6項中任一項所述之方法,其中,該硬掩模層係無定形碳或摻雜的無定形碳的熱CVD、PECVD或噴塗/旋塗沈積層。
  12. 如申請專利範圍第1至6項中任一項所述之方法,其中,該適合用於蝕刻該硬掩模層的蝕刻氣體選自由以下各項組成之群組:cC4 F8 、C4 F8 、C4 F6 、C5 F8 、CF4 、CH3 F、CF3 H、CH2 F2 、COS、CS2 、CF3 I、C2 F3 I、C2 F5 I、CFN、FNO、SO2 及其組合。
  13. 如申請專利範圍第1至6項中任一項所述之方法,其中,延伸通過該等交替層的孔具有在近似1 : 1與近似200 : 1之間的縱橫比。
  14. 一種用於蝕刻佈置在基板上的含矽層的結構之方法,該結構具有:沈積在氧化矽和氮化矽的交替層上的DARC層、在該DARC層上形成的圖案化光致抗蝕劑層、以及在該DARC層與該等交替層之間形成的硬掩模層,該方法包括以下步驟: 使用氫氟烴蝕刻化合物相對於該圖案化光致抗蝕劑層選擇性地電漿蝕刻該DARC層以在該DARC層中產生孔,該氫氟烴蝕刻化合物選自由以下各項組成之群組:1,1,1,3,3,3-六氟異丙胺(C3 H3 F6 N)和1,1,2,3,3,3-六氟-1-丙胺(異-C3 H3 F6 N); 使用適用於蝕刻該硬掩模層的蝕刻氣體相對於該圖案化光致抗蝕劑層和該DARC層選擇性地電漿蝕刻藉由該DARC層中的孔而暴露的硬掩模層,以使該等孔延伸通過該硬掩模層;並且 使用該氫氟烴蝕刻化合物相對於該硬掩模層選擇性地電漿蝕刻藉由該硬掩模層中的孔而暴露的氧化矽和氮化矽的交替層,以使該等孔在該等氧化矽和氮化矽的交替層中延伸,其中,該氧化矽層和該氮化矽層被非選擇性蝕刻。
  15. 如申請專利範圍第14項所述之方法,其中,該DARC層係具有式SiOx Ny Hz Ck 的含矽膜,其中,x在從0至2的範圍內,y在從0至1的範圍內,z在從0至約1的範圍內並且k在從0至1的範圍內。
TW107136777A 2017-10-31 2018-10-18 用於3d nand和dram應用的含有–nh2官能基之氫氟烴 TWI744559B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/798,476 2017-10-31
US15/798,476 US10410878B2 (en) 2017-10-31 2017-10-31 Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications

Publications (2)

Publication Number Publication Date
TW201918470A TW201918470A (zh) 2019-05-16
TWI744559B true TWI744559B (zh) 2021-11-01

Family

ID=66244193

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107136777A TWI744559B (zh) 2017-10-31 2018-10-18 用於3d nand和dram應用的含有–nh2官能基之氫氟烴

Country Status (6)

Country Link
US (1) US10410878B2 (zh)
JP (1) JP6906107B2 (zh)
KR (1) KR102398458B1 (zh)
CN (1) CN111316405B (zh)
TW (1) TWI744559B (zh)
WO (1) WO2019089766A1 (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110546742B (zh) * 2017-04-06 2023-09-29 关东电化工业株式会社 干式蚀刻气体组合物及干式蚀刻方法
JP2019179889A (ja) * 2018-03-30 2019-10-17 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
CN111627916B (zh) * 2018-04-18 2021-03-30 长江存储科技有限责任公司 用于形成三维存储器设备的沟道插塞的方法
US20200203127A1 (en) * 2018-12-20 2020-06-25 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes
US11145504B2 (en) * 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
CN113366612A (zh) * 2019-01-31 2021-09-07 朗姆研究公司 用于先进半导体应用的低应力膜
CN110349967B (zh) * 2019-06-28 2020-09-11 长江存储科技有限责任公司 一种三维存储器的形成方法及三维存储器
US11384428B2 (en) * 2019-07-19 2022-07-12 Applied Materials, Inc. Carbon layer covered mask in 3D applications
JP2021040008A (ja) * 2019-09-02 2021-03-11 キオクシア株式会社 半導体装置の製造方法
CN114616373A (zh) * 2019-09-23 2022-06-10 旭硝子欧洲玻璃公司 带有碳基涂层的织物基材及其制造方法
KR102338512B1 (ko) * 2019-10-30 2021-12-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN110993499B (zh) * 2019-11-05 2022-08-16 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
KR20220082068A (ko) 2019-11-08 2022-06-16 도쿄엘렉트론가부시키가이샤 에칭 방법
CN112786441A (zh) 2019-11-08 2021-05-11 东京毅力科创株式会社 蚀刻方法及等离子体处理装置
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
SG10202010798QA (en) * 2019-11-08 2021-06-29 Tokyo Electron Ltd Etching method and plasma processing apparatus
CN111154490A (zh) * 2020-01-02 2020-05-15 长江存储科技有限责任公司 刻蚀气体、刻蚀方法及3d存储器件制造方法
US11177137B2 (en) * 2020-01-17 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer etching process and methods thereof
US11171012B1 (en) * 2020-05-27 2021-11-09 Tokyo Electron Limited Method and apparatus for formation of protective sidewall layer for bow reduction
CN113808929A (zh) * 2020-06-12 2021-12-17 中微半导体设备(上海)股份有限公司 一种半导体结构的形成方法
JP2022101060A (ja) * 2020-12-24 2022-07-06 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
KR20220122260A (ko) * 2021-02-26 2022-09-02 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
KR20220126045A (ko) * 2021-03-08 2022-09-15 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
CN113078043A (zh) * 2021-03-24 2021-07-06 长鑫存储技术有限公司 非晶碳膜的形成方法及半导体结构
KR20220146239A (ko) * 2021-04-23 2022-11-01 삼성전자주식회사 하드 마스크 구조체를 포함하는 반도체 소자

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6495469B1 (en) * 2001-12-03 2002-12-17 Taiwan Semiconductor Manufacturing Company High selectivity, low etch depth micro-loading process for non stop layer damascene etch
US20170110336A1 (en) * 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes
TW201714873A (zh) * 2015-08-31 2017-05-01 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於蝕刻半導體結構的含氮化合物

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10303181A (ja) 1997-04-28 1998-11-13 Mitsui Chem Inc 乾式プロセスガス
US6013582A (en) 1997-12-08 2000-01-11 Applied Materials, Inc. Method for etching silicon oxynitride and inorganic antireflection coatings
KR20000004363A (ko) 1998-06-30 2000-01-25 김영환 반도체 소자의 세정방법
KR20000027930A (ko) 1998-10-29 2000-05-15 김영환 반도체 소자에서 산화방지를 위한 도전층 패턴의 표면처리 방법
JP2001077085A (ja) 1999-09-03 2001-03-23 Hitachi Ltd 試料の表面処理方法
US6569774B1 (en) 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
EP1235265A1 (en) 2001-02-23 2002-08-28 Infineon Technologies AG Method for etching a hardmask layer and a metal layer
US6812043B2 (en) * 2002-04-25 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a carbon doped oxide low-k insulating layer
KR100919596B1 (ko) 2008-02-21 2009-09-29 (주) 휴브글로벌 에칭 첨가제 및 이를 함유하는 에칭용 조성물
KR20100070231A (ko) 2008-12-17 2010-06-25 엘지디스플레이 주식회사 유기박막트랜지스터, 패턴형성방법, 이를 이용한 유기박막트랜지스터 및 액정표시소자 제조방법
CN101963756B (zh) 2009-06-26 2014-12-17 罗门哈斯电子材料有限公司 形成电子器件的方法
US20110253670A1 (en) 2010-04-19 2011-10-20 Applied Materials, Inc. Methods for etching silicon-based antireflective layers
JP6257638B2 (ja) * 2012-10-30 2018-01-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高アスペクト比酸化物エッチング用のフルオロカーボン分子
TWI642809B (zh) * 2013-09-09 2018-12-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6495469B1 (en) * 2001-12-03 2002-12-17 Taiwan Semiconductor Manufacturing Company High selectivity, low etch depth micro-loading process for non stop layer damascene etch
TW201714873A (zh) * 2015-08-31 2017-05-01 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於蝕刻半導體結構的含氮化合物
US20170110336A1 (en) * 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes

Also Published As

Publication number Publication date
TW201918470A (zh) 2019-05-16
KR20200064145A (ko) 2020-06-05
CN111316405A (zh) 2020-06-19
US20190131140A1 (en) 2019-05-02
US10410878B2 (en) 2019-09-10
CN111316405B (zh) 2023-04-04
KR102398458B1 (ko) 2022-05-13
JP6906107B2 (ja) 2021-07-21
JP2021503172A (ja) 2021-02-04
WO2019089766A1 (en) 2019-05-09

Similar Documents

Publication Publication Date Title
TWI744559B (zh) 用於3d nand和dram應用的含有–nh2官能基之氫氟烴
US11075084B2 (en) Chemistries for etching multi-stacked layers
TWI745202B (zh) 用於蝕刻半導體結構的含氮化合物
JP7227135B2 (ja) 半導体構造エッチング用ヨウ素含有化合物
TWI744580B (zh) 用於3d nand器件應用之用非電漿乾式蝕刻製程進行的sin對sio之選擇性蝕刻
TWI588240B (zh) 用於高縱橫比氧化物蝕刻之氟碳分子
TWI428712B (zh) 用於各種蝕刻及微影集成結構之非晶系碳(apf)的使用技術
TWI746753B (zh) 用於低k值蝕刻製程期間最小化側壁損壞的方法
US10347498B2 (en) Methods of minimizing plasma-induced sidewall damage during low K etch processes
TW202204297A (zh) 用於蝕刻半導體結構之含碘的氟碳化合物及氫氟烴化合物
TWI838915B (zh) 使用含矽氫氟烴之蝕刻方法