CN111316405B - 用于3d nand和dram应用的含有-nh2官能团的氢氟烃 - Google Patents
用于3d nand和dram应用的含有-nh2官能团的氢氟烃 Download PDFInfo
- Publication number
- CN111316405B CN111316405B CN201880070762.5A CN201880070762A CN111316405B CN 111316405 B CN111316405 B CN 111316405B CN 201880070762 A CN201880070762 A CN 201880070762A CN 111316405 B CN111316405 B CN 111316405B
- Authority
- CN
- China
- Prior art keywords
- layer
- etching
- silicon
- etch
- hydrofluorocarbon
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 125000000524 functional group Chemical group 0.000 title description 8
- 238000005530 etching Methods 0.000 claims abstract description 276
- 150000001875 compounds Chemical class 0.000 claims abstract description 120
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 75
- 238000000034 method Methods 0.000 claims abstract description 74
- 239000000758 substrate Substances 0.000 claims abstract description 52
- 238000001020 plasma etching Methods 0.000 claims abstract description 44
- 239000006117 anti-reflective coating Substances 0.000 claims abstract description 19
- 239000007789 gas Substances 0.000 claims description 142
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 136
- 229910052710 silicon Inorganic materials 0.000 claims description 136
- 239000010703 silicon Substances 0.000 claims description 136
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical group O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 62
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 claims description 59
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 57
- 229910052799 carbon Inorganic materials 0.000 claims description 44
- 229910052760 oxygen Inorganic materials 0.000 claims description 44
- 229920000642 polymer Polymers 0.000 claims description 41
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 36
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical group N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 36
- 238000000151 deposition Methods 0.000 claims description 30
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 26
- 229920005591 polysilicon Polymers 0.000 claims description 24
- 230000008021 deposition Effects 0.000 claims description 22
- 239000001301 oxygen Substances 0.000 claims description 22
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 21
- 229910003481 amorphous carbon Inorganic materials 0.000 claims description 15
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 5
- 239000011148 porous material Substances 0.000 claims description 5
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 4
- 239000007921 spray Substances 0.000 claims description 3
- 238000002230 thermal chemical vapour deposition Methods 0.000 claims description 2
- 239000010410 layer Substances 0.000 description 565
- 238000006243 chemical reaction Methods 0.000 description 53
- 239000000463 material Substances 0.000 description 44
- 229910052757 nitrogen Inorganic materials 0.000 description 41
- 235000012431 wafers Nutrition 0.000 description 38
- 239000000203 mixture Substances 0.000 description 25
- 239000011261 inert gas Substances 0.000 description 24
- 230000008569 process Effects 0.000 description 24
- 229910004298 SiO 2 Inorganic materials 0.000 description 22
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 20
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical group [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 20
- 239000004065 semiconductor Substances 0.000 description 20
- 239000007800 oxidant agent Substances 0.000 description 18
- 238000001878 scanning electron micrograph Methods 0.000 description 18
- -1 nitrogen-containing organofluorine compounds Chemical class 0.000 description 17
- UAJUXJSXCLUTNU-UHFFFAOYSA-N pranlukast Chemical compound C=1C=C(OCCCCC=2C=CC=CC=2)C=CC=1C(=O)NC(C=1)=CC=C(C(C=2)=O)C=1OC=2C=1N=NNN=1 UAJUXJSXCLUTNU-UHFFFAOYSA-N 0.000 description 16
- 229960004583 pranlukast Drugs 0.000 description 16
- 150000002500 ions Chemical class 0.000 description 15
- 238000002161 passivation Methods 0.000 description 14
- SKBLJQADGZYMKA-UHFFFAOYSA-N OPOP Chemical compound OPOP SKBLJQADGZYMKA-UHFFFAOYSA-N 0.000 description 12
- 230000006870 function Effects 0.000 description 11
- 230000001965 increasing effect Effects 0.000 description 11
- 150000003254 radicals Chemical class 0.000 description 10
- 238000012360 testing method Methods 0.000 description 10
- 229910052731 fluorine Inorganic materials 0.000 description 9
- 230000015654 memory Effects 0.000 description 9
- 239000012634 fragment Substances 0.000 description 8
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 8
- 230000003746 surface roughness Effects 0.000 description 8
- 229910052721 tungsten Inorganic materials 0.000 description 8
- 229910052801 chlorine Inorganic materials 0.000 description 7
- 239000000460 chlorine Substances 0.000 description 7
- 229910021419 crystalline silicon Inorganic materials 0.000 description 7
- 239000011737 fluorine Substances 0.000 description 7
- 229910052739 hydrogen Inorganic materials 0.000 description 7
- 239000012535 impurity Substances 0.000 description 7
- 229910052751 metal Inorganic materials 0.000 description 7
- 239000002184 metal Substances 0.000 description 7
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 6
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 6
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 6
- 229920000877 Melamine resin Polymers 0.000 description 6
- 229910052782 aluminium Inorganic materials 0.000 description 6
- 229910052796 boron Inorganic materials 0.000 description 6
- 239000003990 capacitor Substances 0.000 description 6
- 230000001590 oxidative effect Effects 0.000 description 6
- 238000012545 processing Methods 0.000 description 6
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 5
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 5
- 238000010586 diagram Methods 0.000 description 5
- 239000003989 dielectric material Substances 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 238000000059 patterning Methods 0.000 description 5
- 229920005989 resin Polymers 0.000 description 5
- 239000011347 resin Substances 0.000 description 5
- 229910052717 sulfur Inorganic materials 0.000 description 5
- 239000011593 sulfur Substances 0.000 description 5
- 150000001412 amines Chemical class 0.000 description 4
- 239000011230 binding agent Substances 0.000 description 4
- 239000006227 byproduct Substances 0.000 description 4
- 230000000052 comparative effect Effects 0.000 description 4
- 238000004132 cross linking Methods 0.000 description 4
- 150000002222 fluorine compounds Chemical class 0.000 description 4
- 230000036961 partial effect Effects 0.000 description 4
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 4
- 239000010937 tungsten Substances 0.000 description 4
- 239000004971 Cross linker Substances 0.000 description 3
- 229910021529 ammonia Inorganic materials 0.000 description 3
- 229910021417 amorphous silicon Inorganic materials 0.000 description 3
- 230000003247 decreasing effect Effects 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 230000009977 dual effect Effects 0.000 description 3
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 3
- 229930195733 hydrocarbon Natural products 0.000 description 3
- 239000001257 hydrogen Substances 0.000 description 3
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 3
- 238000002955 isolation Methods 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- JDSHMPZPIAZGSV-UHFFFAOYSA-N melamine Chemical compound NC1=NC(N)=NC(N)=N1 JDSHMPZPIAZGSV-UHFFFAOYSA-N 0.000 description 3
- 150000002739 metals Chemical class 0.000 description 3
- 238000002156 mixing Methods 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 229920001568 phenolic resin Polymers 0.000 description 3
- 239000005011 phenolic resin Substances 0.000 description 3
- 239000000376 reactant Substances 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- 239000000377 silicon dioxide Substances 0.000 description 3
- 229910052719 titanium Inorganic materials 0.000 description 3
- 239000010936 titanium Substances 0.000 description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 3
- LMSLTAIWOIYSGZ-LWMBPPNESA-N (3s,4s)-1,1,2,2,3,4-hexafluorocyclobutane Chemical compound F[C@H]1[C@H](F)C(F)(F)C1(F)F LMSLTAIWOIYSGZ-LWMBPPNESA-N 0.000 description 2
- NLOLSXYRJFEOTA-OWOJBTEDSA-N (e)-1,1,1,4,4,4-hexafluorobut-2-ene Chemical compound FC(F)(F)\C=C\C(F)(F)F NLOLSXYRJFEOTA-OWOJBTEDSA-N 0.000 description 2
- NLOLSXYRJFEOTA-UPHRSURJSA-N (z)-1,1,1,4,4,4-hexafluorobut-2-ene Chemical compound FC(F)(F)\C=C/C(F)(F)F NLOLSXYRJFEOTA-UPHRSURJSA-N 0.000 description 2
- JESXATFQYMPTNL-UHFFFAOYSA-N 2-ethenylphenol Chemical compound OC1=CC=CC=C1C=C JESXATFQYMPTNL-UHFFFAOYSA-N 0.000 description 2
- KXGFMDJXCMQABM-UHFFFAOYSA-N 2-methoxy-6-methylphenol Chemical compound [CH]OC1=CC=CC([CH])=C1O KXGFMDJXCMQABM-UHFFFAOYSA-N 0.000 description 2
- QMIWYOZFFSLIAK-UHFFFAOYSA-N 3,3,3-trifluoro-2-(trifluoromethyl)prop-1-ene Chemical group FC(F)(F)C(=C)C(F)(F)F QMIWYOZFFSLIAK-UHFFFAOYSA-N 0.000 description 2
- 239000004215 Carbon black (E152) Substances 0.000 description 2
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 2
- 229910052765 Lutetium Inorganic materials 0.000 description 2
- 239000004640 Melamine resin Substances 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 2
- 239000004952 Polyamide Substances 0.000 description 2
- DHKHKXVYLBGOIT-UHFFFAOYSA-N acetaldehyde Diethyl Acetal Natural products CCOC(C)OCC DHKHKXVYLBGOIT-UHFFFAOYSA-N 0.000 description 2
- 125000002777 acetyl group Chemical class [H]C([H])([H])C(*)=O 0.000 description 2
- 239000002253 acid Substances 0.000 description 2
- 230000003213 activating effect Effects 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 238000009835 boiling Methods 0.000 description 2
- 125000004432 carbon atom Chemical group C* 0.000 description 2
- 229940125782 compound 2 Drugs 0.000 description 2
- 239000003431 cross linking reagent Substances 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 239000010432 diamond Substances 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 230000002708 enhancing effect Effects 0.000 description 2
- 125000004185 ester group Chemical group 0.000 description 2
- 150000002148 esters Chemical class 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- ZHUXMBYIONRQQX-UHFFFAOYSA-N hydroxidodioxidocarbon(.) Chemical compound [O]C(O)=O ZHUXMBYIONRQQX-UHFFFAOYSA-N 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 238000009616 inductively coupled plasma Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 229910052747 lanthanoid Inorganic materials 0.000 description 2
- 229910052746 lanthanum Inorganic materials 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 230000000873 masking effect Effects 0.000 description 2
- XZWYZXLIPXDOLR-UHFFFAOYSA-N metformin Chemical compound CN(C)C(=N)NC(N)=N XZWYZXLIPXDOLR-UHFFFAOYSA-N 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 230000007935 neutral effect Effects 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 125000004433 nitrogen atom Chemical group N* 0.000 description 2
- 230000010363 phase shift Effects 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 229920002492 poly(sulfone) Polymers 0.000 description 2
- 229920002647 polyamide Polymers 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 239000007858 starting material Substances 0.000 description 2
- 229910052723 transition metal Inorganic materials 0.000 description 2
- 150000003624 transition metals Chemical class 0.000 description 2
- 229910052727 yttrium Inorganic materials 0.000 description 2
- UHEDJBIYIWUMLU-UHFFFAOYSA-N 1,1,1,3,3,3-hexafluoropropan-2-amine Chemical compound FC(F)(F)C(N)C(F)(F)F UHEDJBIYIWUMLU-UHFFFAOYSA-N 0.000 description 1
- BCOSEZGCLGPUSL-UHFFFAOYSA-N 2,3,3-trichloroprop-2-enoyl chloride Chemical compound ClC(Cl)=C(Cl)C(Cl)=O BCOSEZGCLGPUSL-UHFFFAOYSA-N 0.000 description 1
- GZVHEAJQGPRDLQ-UHFFFAOYSA-N 6-phenyl-1,3,5-triazine-2,4-diamine Chemical compound NC1=NC(N)=NC(C=2C=CC=CC=2)=N1 GZVHEAJQGPRDLQ-UHFFFAOYSA-N 0.000 description 1
- 229920003270 Cymel® Polymers 0.000 description 1
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 235000019687 Lamb Nutrition 0.000 description 1
- 206010028980 Neoplasm Diseases 0.000 description 1
- 239000002033 PVDF binder Substances 0.000 description 1
- 229910008310 Si—Ge Chemical group 0.000 description 1
- 229910000831 Steel Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical group [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- XSQUKJJJFZCRTK-UHFFFAOYSA-N Urea Chemical compound NC(N)=O XSQUKJJJFZCRTK-UHFFFAOYSA-N 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- 238000002835 absorbance Methods 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 230000001133 acceleration Effects 0.000 description 1
- 125000002015 acyclic group Chemical group 0.000 description 1
- 239000003463 adsorbent Substances 0.000 description 1
- 125000002723 alicyclic group Chemical group 0.000 description 1
- 125000000217 alkyl group Chemical group 0.000 description 1
- 238000005452 bending Methods 0.000 description 1
- FFBHFFJDDLITSX-UHFFFAOYSA-N benzyl N-[2-hydroxy-4-(3-oxomorpholin-4-yl)phenyl]carbamate Chemical compound OC1=C(NC(=O)OCC2=CC=CC=C2)C=CC(=C1)N1CCOCC1=O FFBHFFJDDLITSX-UHFFFAOYSA-N 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 201000011510 cancer Diseases 0.000 description 1
- 239000004202 carbamide Substances 0.000 description 1
- 239000003575 carbonaceous material Substances 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 239000003054 catalyst Substances 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 238000010511 deprotection reaction Methods 0.000 description 1
- 230000001066 destructive effect Effects 0.000 description 1
- 238000004821 distillation Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 229920002313 fluoropolymer Polymers 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 125000001046 glycoluril group Chemical group [H]C12N(*)C(=O)N(*)C1([H])N(*)C(=O)N2* 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 230000005484 gravity Effects 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 238000003384 imaging method Methods 0.000 description 1
- 230000002401 inhibitory effect Effects 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 150000002602 lanthanoids Chemical class 0.000 description 1
- 230000007774 longterm Effects 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 239000002808 molecular sieve Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910000510 noble metal Inorganic materials 0.000 description 1
- 229920003986 novolac Polymers 0.000 description 1
- 229920000620 organic polymer Polymers 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 238000000678 plasma activation Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229920002493 poly(chlorotrifluoroethylene) Polymers 0.000 description 1
- 239000005023 polychlorotrifluoroethylene (PCTFE) polymer Substances 0.000 description 1
- 239000004810 polytetrafluoroethylene Substances 0.000 description 1
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 1
- 229920002981 polyvinylidene fluoride Polymers 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 238000000746 purification Methods 0.000 description 1
- 239000012264 purified product Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000002829 reductive effect Effects 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- 239000010948 rhodium Substances 0.000 description 1
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 239000010959 steel Substances 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000010189 synthetic method Methods 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 238000002207 thermal evaporation Methods 0.000 description 1
- 238000012876 topography Methods 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- 230000005641 tunneling Effects 0.000 description 1
- 238000011179 visual inspection Methods 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
- H01L21/31122—Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
- H01L21/32137—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/20—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
- H10B41/23—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
- H10B41/27—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09K—MATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
- C09K13/00—Etching, surface-brightening or pickling compositions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/20—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
- H10B43/23—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
- H10B43/27—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Materials Engineering (AREA)
- Organic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Semiconductor Memories (AREA)
Abstract
一种方法,该方法用于使用选自由以下各项组成的组的氢氟烃蚀刻化合物:2,2,2‑三氟乙胺(C2H4F3N)、1,1,2‑三氟乙‑1‑胺(异‑C2H4F3N)、2,2,3,3,3‑五氟丙胺(C3H4F5N)、1,1,1,3,3‑五氟‑2‑丙胺(异‑C3H4F5N)、1,1,1,3,3‑五氟‑(2R)‑2‑丙胺(异‑2R‑C3H4F5N)和1,1,1,3,3‑五氟‑(2R)‑2‑丙胺(异‑2S‑C3H4F5N)、1,1,1,3,3,3‑六氟异丙胺(C3H3F6N)和1,1,2,3,3,3‑六氟‑1‑丙胺(异‑C3H3F6N),或选自由以下各项组成的组的氢氟烃蚀刻化合物:1,1,1,3,3,3‑六氟异丙胺(C3H3F6N)和1,1,2,3,3,3‑六氟‑1‑丙胺(异‑C3H3F6N)相对于图案化光致抗蚀剂层选择性地等离子蚀刻介电减反射涂层(DARC)从而在该DARC层中产生孔。
Description
相关申请的交叉引用
本申请要求于2017年10月31日提交的美国申请号15/798,476的权益,出于所有的目的将所述申请通过引用以其全文结合在此。
技术领域
披露了半导体器件蚀刻应用中用于含硅膜的等离子蚀刻的含氮的氢氟烃蚀刻化合物和使用其的等离子蚀刻方法,特别是对于3D NAND和DRAM应用,用于相比于图案化光致抗蚀剂层(PR)选择性等离子蚀刻介电减反射涂层(DARC)(例如:SiON层)和相对于硬掩模层选择性等离子蚀刻SiO/SiN层的交替层和SiO/p-Si硅层的交替层。
背景技术
随着半导体架构变得越来越小且越来越复杂,3D结构化的NAND已经是高度希望的,因为存储单元在彼此顶部相互堆叠以通过更高的密度来增加容量、降低每千兆字节的成本,并且提供固态存储器所期望的可靠性、速度和性能。在3D NAND制造领域,通常,将光致抗蚀剂施加在有待在随后的加工步骤中进行图案化的各种材料的层的堆叠上。为了利用光致抗蚀剂的空间分辨率,必须在光致抗蚀剂下面使用减反射涂层(ARC),以在光致抗蚀剂暴露期间抑制堆叠中其他层的反射。ARC层典型地指的是一层或多层ARC,例如,由有机组合物构成的底部减反射涂层(BARC)和由无机组合物构成的介电减反射涂层(DARC)。最近,越来越关注使用氮氧化硅(SiON)作为无机DARC,因为其与深紫外(UV)光致抗蚀剂组合良好地发挥作用的能力。
在3D NAND应用中,由于在SiON层下方添加的越来越多的待图案化的各种材料层,用于光刻目的的PR掩模层下方的SiON层的厚度增加。PR掩模层典型地是由C、H、和O元素组成的旋涂材料,其易被等离子损坏。传统干蚀刻气体,如CF4、CHF3、C4F8或C4F6具有有限的SiON/PR选择性。这些气体倾向于各向同性蚀刻,并在PR掩模层上产生悬伸/损坏;因此,PR上的损坏或PR上的结构改变将影响随后的蚀刻步骤。因此,以最小的PR变形实现SiON/PR的高选择性是具有挑战性的并且引起了工业界的极大关注。
已经进行了尝试以在等离子蚀刻条件下的蚀刻工艺期间抑制PR掩模层的损坏,即,改善DARC层与光致抗蚀剂层的选择性。
已经使用氢氟烃或氟碳化合物来蚀刻DARC层和介电层(其上沉积有光致抗蚀剂层)。例如,Yang等人的US 6495469披露了在O2/N2/Ar情况下使用CH3F、CH2F2、或CHF3蚀刻DARC层将DARC层和介电层与光致抗蚀剂层的选择性从约0.87提升到了2.45。
此外,氧化硅和氮化硅(SiO/SiN或ON)以及氧化硅和多晶硅(SiO/p-Si或OP)的堆叠是NAND型闪存中隧道和电荷俘获的重要组成。在3DNAND应用中蚀刻多个SiO/SiN或SiO/p-Si层的堆叠是关键的。蚀刻3DNAND的挑战在于如何以尽可能高的类似蚀刻速率蚀刻氧化物和氮化物层或氧化物和多晶硅(p-Si)层。此外,经蚀刻的结构应具有直的竖直轮廓而没有弯曲和低线蚀刻粗糙度(LER)。
用于蚀刻SiO/SiN或SiO/p-Si层的传统蚀刻气体包括cC4H8、C4F6、CF4、CH2F2、CH3F和/或CHF3。已知的是选择性和聚合物沉积速率随着C:F的比率增加而增加(即,C4F6>C4F8>CF4)。至少由于在等离子蚀刻工艺期间在侧壁上不足够的抗蚀刻聚合物沉积,传统的蚀刻化学过程可能不能够提供具有高于20:1的纵横比的特征,例如孔洞或沟槽,而该特征在较新的应用(例如3D NAND)中是必要的。侧壁-CxFy-聚合物可能易于蚀刻,其中x在从0.01至1的范围内并且y在从0.01至4的范围内。其结果是,经蚀刻的图案可能不是竖直的,并且蚀刻结构可能示出弯曲、尺寸变化、图案坍塌和/或增加的粗糙度。
含氮化合物已用作蚀刻气体。例如,Trapp的美国专利号6,569,774和7,153,779披露了用于形成穿过氧化硅层的高纵横比接触开口的等离子蚀刻工艺。使用包括一种或多种含氮气体的至少一种蚀刻气体以在蚀刻期间沉积聚合物表面材料以在氧化硅层上保持掩蔽层。披露了一系列含有-NH2的氢氟烃和氟碳化合物化学物质,但没有提供结构式、CAS编号或异构体信息。Surla等人的US9659788披露了使用含有-NH2的含氮蚀刻气体用于等离子蚀刻含硅膜,其中披露了1,1,1,3,3,3-六氟异丙胺(C3H3F6N)提供侧壁保护和对p-Si和a-C的良好选择性,但即使没有任何氧添加也会失去对SiN膜的选择性。
到目前为止,尚未发现使用含氮氢氟烃来蚀刻DARC层和含硅层的堆叠两者。因此,需要开发新的蚀刻气体组合物用于在等离子蚀刻应用中图案化各种材料的层的堆叠,其可以提供相对于PR掩模层的DARC层高选择性,和相对于a-C层的含硅层高选择性,并在宽范围的工艺条件下保持高纵横比。
发明内容
披露了用于蚀刻布置在基板上的含硅层的结构的方法,该结构具有:沉积在第一含硅层和第二含硅层的交替层上的介电减反射涂层(DARC)、在该DARC层上形成的图案化光致抗蚀剂层和在该DARC层与这些交替层之间形成的硬掩模层,该方法包括以下步骤:使用氢氟烃蚀刻化合物(选自由以下各项组成的组:2,2,2-三氟乙胺(C2H4F3N)和2,2,3,3,3-五氟丙胺(C3H4F5N))相对于该图案化光致抗蚀剂层选择性地等离子蚀刻该DARC层以在该DARC层中产生孔,使用适用于蚀刻该硬掩模层的蚀刻气体相对于该图案化光致抗蚀剂层和该DARC层选择性地等离子蚀刻通过该DARC层中的孔而暴露的硬掩模层,以使这些孔延伸通过该硬掩模层,并且使用该氢氟烃蚀刻化合物相对于该硬掩模层选择性地等离子蚀刻通过该硬掩模层中的孔而暴露的交替层,以使这些孔延伸通过这些交替层,其中该第一含硅层和该第二含硅层被非选择性地蚀刻。
还披露了用于蚀刻布置在基板上的含硅层的结构的方法,该结构具有:沉积在氧化硅和氮化硅的交替层上的DARC层、在该DARC层上形成的图案化光致抗蚀剂层、以及在该DARC层与这些交替层之间形成的硬掩模层,该方法包括以下步骤:使用1,1,1,3,3,3-六氟异丙胺(C3H3F6N)相对于该图案化光致抗蚀剂层选择性地等离子蚀刻该DARC层以在该DARC层中产生孔,使用适用于蚀刻该硬掩模层的蚀刻气体相对于该图案化光致抗蚀剂层和该DARC层选择性地等离子蚀刻通过该DARC层中的孔而暴露的硬掩模层,以使这些孔延伸通过该硬掩模层,并且使用1,1,1,3,3,3-六氟异丙胺(C3H3F6N)相对于该硬掩模层选择性地等离子蚀刻通过该硬掩模层中的孔而暴露的氧化硅和氮化硅的交替层,以使这些孔在这些氧化硅和氮化硅的交替层中延伸,其中该氧化硅层和该氮化硅层被非选择性地蚀刻。
所披露的方法中的任一种可包括以下方面中的一项或多项:
·氢氟烃蚀刻化合物,其是含氮的氢氟烃化合物;
·氢氟烃蚀刻化合物,其是含氮的有机氟化合物;
·氢氟烃蚀刻化合物,其在末端碳上含有-NH2官能团;
·氢氟烃蚀刻化合物,其含有不在末端碳上的-NH2官能团;
·氢氟烃蚀刻化合物,其含有一个氮;
·等离子激活的氢氟烃蚀刻化合物,其与含硅膜反应形成挥发性副产物;
·将这些挥发性副产物从该反应腔室中移除;
·DARC层,其是一种含硅膜;
·含硅膜,其包含氧、氮、碳、氢、碳或其组合;
·含硅膜,其是SiOxNyHzCk,其中x在从0至2的范围内,y在从0至1的范围内,z在从0至约1的范围内以及k在从0至1的范围内;
·含硅膜,其包含SiON层;
·含硅膜,其是SiON层;
·DARC层,其包含SiON层;
·DARC层,其是SiON层;
·DARC层,其是无机介电覆盖层;
·DARC层,其不是聚合物层;
·DARC层,其不是等离子体损害层;
·SiON层,其是选择性地从图案化光致抗蚀剂层蚀刻;
·从图案化光致抗蚀剂层选择性地蚀刻该SiON层;
·在DARC层上沉积BARC层;
·BARC层,其包含聚酰胺和聚砜;
·相对于图案化光致抗蚀剂层选择性地等离子蚀刻该BARC层;
·图案化光致抗蚀剂层,其由光致抗蚀剂树脂组成,该光致抗蚀剂树脂包括:含有与酯的羧基氧共价连接的叔非环烷基碳或叔脂环碳的酯基基团、缩醛光酸不稳定基团、基于胺的交联组分或用作树脂粘合剂的酚醛树脂;
·图案化光致抗蚀剂层,其由交联组分组成,该交联组分包括:基于胺的交联剂、树脂粘合剂和光活化组分;
·交替层,其包含以下项的层:氧化硅、氮化硅、多晶硅、结晶硅、SiOCH、SiON、SiaObCcNdHe(其中a>0;b、c、d和e≥0)或其组合;
·交替层,其包含氧原子、氮原子、碳原子、氢原子或其组合;
·交替层,其是含硅膜;
·交替层,其包含氧化硅层和氮化硅层;
·交替层,其包含氧化硅和氮化硅的交替层;
·交替层,其是氧化硅和氮化硅的交替层;
·交替层,其包含氧化硅层和多晶硅层;
·交替层,其包含氧化硅和多晶硅的交替层;
·交替层,其是氧化硅和多晶硅的交替层;
·第一含硅层,其包含氧化硅层;
·第一含硅层,其包含氮化硅层;
·第一含硅层,其包含多晶硅层;
·第二含硅层,其包含氧化硅层;
·第二含硅层,其包含氮化硅层;
·第二含硅层,其包含多晶硅层;
·在这些交替层上沉积硬掩模层;
·硬掩模层,其选自下组,该组由以下各项组成:热沉积工艺CVD,等离子增强工艺PECVD或无定形碳(a-C)、掺杂的a-C(诸如掺杂有硼、氮、硫、氯、氟或金属(Al、Zr、Ti、W)的无定形碳)的喷涂/旋涂沉积层,含硅旋涂掩模,和含碳旋涂掩模;
·硬掩模层,其是a-C层;
·硬掩模层,其是掺杂的a-C层;
·硬掩模层,其是含硅旋涂掩模层;
·硬掩模层,其是含碳旋涂掩模层;
·硬掩模层,其是选择性地从图案化光致抗蚀剂层和DARC层蚀刻;
·相对于图案化光致抗蚀剂层和DARC层选择性地等离子蚀刻硬掩模层;
·硬掩模层,其被蚀刻气体蚀刻,该蚀刻气体选自下组,该组由以下各项组成:cC4F8、C4F8、C4F6、C5F8、CF4、CH3F、CF3H、CH2F2、COS、CS2、CF3I、C2F3I、C2F5I、CFN、FNO、SO2及其组合;
·交替层,其是选择性地从硬掩模层蚀刻;
·交替层,其是选择性地从a-C层蚀刻;
·交替层,其是选择性地从掺杂的a-C层蚀刻;
·交替层,其是选择性地从含硅旋涂硬掩模层蚀刻;
·交替层,其是选择性地从含碳旋涂硬掩模层蚀刻;
·氧化硅和氮化硅的交替层,其是选择性地从硬掩模层蚀刻;
·氧化硅和氮化硅的交替层,其是选择性地从a-C层蚀刻;
·氧化硅和氮化硅的交替层,其是选择性地从掺杂的a-C层蚀刻;
·氧化硅和氮化硅的交替层,其是选择性地从含硅旋涂硬掩模层蚀刻;
·氧化硅和氮化硅的交替层,其是选择性地从含碳旋涂硬掩模层蚀刻;
·氧化硅和多晶硅的交替层,其是选择性地从硬掩模层蚀刻;
·氧化硅和多晶硅的交替层,其是选择性地从a-C层蚀刻;
·氧化硅和多晶硅的交替层,其是选择性地从掺杂的a-C层蚀刻;
·氧化硅和多晶硅的交替层,其是选择性地从含硅旋涂硬掩模层蚀刻;
·氧化硅和多晶硅的交替层,其是选择性地从含碳旋涂硬掩模层蚀刻;
·氧化硅层,其是选择性地从硬掩模层蚀刻;
·氧化硅层,其是选择性地从a-C层蚀刻;
·氧化硅层,其是选择性地从掺杂的a-C层蚀刻;
·氧化硅层,其是选择性地从含硅旋涂硬掩模层蚀刻;
·氧化硅层,其是选择性地从含碳旋涂硬掩模层蚀刻;
·氮化硅层,其是选择性地从硬掩模层蚀刻;
·氮化硅层,其是选择性地从a-C层蚀刻;
·氮化硅层,其是选择性地从掺杂的a-C层蚀刻;
·氮化硅层,其是选择性地从含硅旋涂硬掩模层蚀刻;
·氮化硅层,其是选择性地从含碳旋涂硬掩模层蚀刻;
·多晶硅层,其是选择性地从硬掩模层蚀刻;
·多晶硅层,其是选择性地从a-C层蚀刻;
·多晶硅层,其是选择性地从掺杂的a-C层蚀刻;
·多晶硅层,其是选择性地从含硅旋涂硬掩模层蚀刻;
·多晶硅层,其是选择性地从含碳旋涂硬掩模层蚀刻;
·氧化硅层,其不是选择性地从氮化硅层蚀刻;
·氧化硅层,其不是选择性地从多晶硅层蚀刻;
·氮化硅层,其不是选择性地从氧化硅层蚀刻;
·多晶硅层,其不是选择性地从氧化硅层蚀刻;
·产生具有在近似10:1与近似200:1之间的纵横比的孔;
·在含硅膜中产生具有在近似10:1与近似200:1之间的纵横比的孔;
·在SiON层中产生具有在近似10:1与近似200:1之间的纵横比的孔;
·在交替层中产生具有在近似10:1与近似200:1之间的纵横比的孔;
·在SiO和SiN层的交替层中产生具有在近似10:1与近似200:1之间的纵横比的孔;
·在SiO和p-Si层的交替层中产生具有在近似10:1与近似200:1之间的纵横比的孔;
·在图案化光致抗蚀剂层和孔的侧壁上形成聚合物层;
·等离子激活的氢氟烃蚀刻化合物,其包括在蚀刻时沉积在图案化光致抗蚀剂层和孔的侧壁上的含离子的-NHx,其中x=0-2;
·将氧化剂引入反应腔室中;
·不将氧化剂引入反应腔室中;
·氧化剂,其选自下组,该组由以下各项组成:O2、O3、CO、CO2、NO、NO2、N2O、SO2、COS、H2O及其组合;
·氧化剂,其是O2;
·在引入反应腔室中之前将氢氟烃蚀刻气体和氧化剂混合;
·将氢氟烃蚀刻气体与氧化剂分开地引入反应腔室中;
·将氧化剂连续地引入反应腔室中并且将氢氟烃蚀刻气体以脉冲形式引入反应腔室中;
·氧化剂占引入反应腔室中的氢氟烃蚀刻气体和氧化剂的总体积的近似0.01%v/v至近似99.9%v/v;
·氧化剂占引入反应腔室中的氢氟烃蚀刻气体和氧化剂的总体积的近似0.01%v/v至近似10%v/v;
·将惰性气体引入反应腔室中;
·不将惰性气体引入反应腔室中;
·惰性气体,其选自由He、Ar、Xe、Kr和Ne组成的组;
·该惰性气体,其是Ar;
·在引入反应腔室中之前将氢氟烃蚀刻气体和惰性气体混合以产生混合物;
·将氢氟烃蚀刻气体与惰性气体分开地引入反应腔室中;
·将惰性气体连续地引入反应腔室中并且将氢氟烃蚀刻气体以脉冲形式引入反应腔室中;
·惰性气体占引入反应腔室中的氢氟烃蚀刻气体和惰性气体的总体积的近似0.01%v/v至近似99.9%v/v;
·惰性气体占引入反应腔室中的氢氟烃蚀刻气体和惰性气体的总体积的近似90%v/v至近似99%v/v;
·通过将附加的蚀刻气体引入反应腔室中来改进选择性;
·附加的蚀刻气体,其选自下组,该组由以下各项组成:cC4F8、C4F8、C4F6、CF4、CH3F、CHF3、CH2F2、COS、CF3I、C2F3I、C2F5I、F-C≡N、CS2、SO2、反式-1,1,1,4,4,4-六氟-2-丁烯(反式-C4H2F6)、顺式-1,1,1,4,4,4-六氟-2-丁烯(顺式-C4H2F6)、六氟异丁烯(C4H2F6)、反式-1,1,2,2,3,4-六氟环丁烷(反式-C4H2F6)、1,1,2,2,3-五氟环丁烷(C4H3F5)、1,1,2,2-四氟环丁烷(C4H4F4)、或顺式-1,1,2,2,3,4-六氟环丁烷(顺式-C4H2F6);
·附加的蚀刻气体,其是CHF3;
·附加的蚀刻气体,其是cC5F8;
·附加的蚀刻气体,其是cC4F8;
·附加的蚀刻气体,其是C4F6;
·在引入反应腔室中之前将氢氟烃蚀刻气体和附加的蚀刻气体混合;
·将氢氟烃蚀刻气体与附加的蚀刻气体分开地引入反应腔室中;
·将近似0.01%v/v至近似99.99%v/v的附加的蚀刻气体引入反应腔室中;
·通过施加RF功率活化等离子体;
·通过在从近似25W至近似20,000W的范围内的RF功率活化等离子体;
·反应腔室具有在从近似1毫托至近似10托的范围内的压力;
·以在从近似0.1sccm至近似1slm范围内的流动速率将氢氟烃蚀刻气体引入至反应腔室中;
·将基板维持在从近似-196℃至近似500℃的范围内的温度下;
·将基板维持在从近似-120℃至近似300℃的范围内的温度下;
·将基板维持在从近似-100℃至近似50℃的范围内的温度下;
·将基板维持在从近似-10℃至近似40℃的范围内的温度下;并且
·通过四极质谱仪、光学发射光谱仪、FTIR或其他自由基/离子测量工具来测量等离子激活的氢氟烃蚀刻化合物。
还披露了含氮的氢氟烃蚀刻化合物,其具有-NH2官能团。所披露的含氮的氢氟烃蚀刻化合物包括以下方面中的一项或多项:
·氢氟烃蚀刻化合物,其是含氮的有机氟化合物;
·氢氟烃蚀刻化合物,其含有末端-NH2官能团;
·氢氟烃蚀刻化合物,其含有非末端的-NH2官能团;
·氢氟烃蚀刻化合物,其含有一个氮原子
·氢氟烃蚀刻化合物,其是2,2,2-三氟乙胺(C2H4F3N);
·氢氟烃蚀刻化合物,其是1,1,2-三氟乙-1-胺(异-C2H4F3N);
·氢氟烃蚀刻化合物,其是2,2,3,3,3-五氟丙胺(C3H4F5N);
·氢氟烃蚀刻化合物,其是1,1,1,3,3-五氟-2-丙胺(异-C3H4F5N);
·氢氟烃蚀刻化合物,其是1,1,1,3,3-五氟-(2R)-2-丙胺(异-2R-C3H4F5N);
·氢氟烃蚀刻化合物,其是1,1,1,3,3-五氟-(2S)-2-丙胺(异-2S-C3H4F5N);
·氢氟烃蚀刻化合物,其是1,1,1,3,3,3-六氟异丙胺(C3H3F6N);
·氢氟烃蚀刻化合物,其是1,1,2,3,3,3-六氟-1-丙胺(异-C3H3F6N);
·具有在按体积计从近似95%至近似99.999%的范围内的纯度;
·包含按体积计在近似万亿分之10至近似5%之间的痕量气体杂质;
·痕量气体杂质,其包含水;
·痕量气体杂质,其包含CO2;
·痕量气体杂质,其包含N2;并且
·含氮的氢氟烃蚀刻化合物,其具有小于20ppmw的水含量。
符号和命名法
以下详细描述和权利要求利用了许多缩写、符号和术语,这些缩写、符号和术语在本领域中通常是公知的,并且包括:
如本文所使用,不定冠词“一个/一种(a或an)”意指一个/一种或多个/多种。
如本文所使用,文本或权利要求中的“约(about)”或“大约(around)”或“近似(approximately)”表示所述值的±10%。
如在此所使用,术语“蚀刻(etch或etching)”指的是一种等离子体蚀刻工艺(即,干式蚀刻工艺),其中离子轰击使垂直方向上的化学反应加速,使得沿着掩蔽特征的边缘以与基板成直角形成垂直侧壁(Manos和Flamm,等离子体蚀刻导论(Plasma Etching AnIntroduction),学术出版社公司(Academic Press,Inc.),1989,第12-13页)。蚀刻工艺在基板上产生孔,诸如孔、沟槽、通道孔洞、门沟槽、阶梯触点、电容器孔洞、接触孔洞、阶梯蚀刻、狭缝蚀刻、掩埋接触蚀刻、电容器接触蚀刻、浅沟槽隔离蚀刻等。
术语“图案蚀刻”或“图案化蚀刻”是指蚀刻非平面结构,诸如在含硅膜的堆叠上的图案化硬掩模层。
术语“图案晶圆(pattern wafer)”或“晶圆(wafer)”是指在基板上具有堆叠的含硅膜和在形成用于图案蚀刻的含硅膜堆叠上的图案化硬掩模层的晶圆。
术语“掩模(mask)”指的是抵抗蚀刻的层。该硬掩模层可位于待蚀刻的层的上方。
术语“蚀刻终止(etch stop)”指的是抵御蚀刻的层,其位于待蚀刻的层的下方保护下面的层。
术语“器件通道”是指是实际器件的一部分的层,并且对其的任何损害将影响器件性能。
术语“纵横比”指的是沟槽(或孔)的高度与沟槽的宽度(或孔的直径)的比率。
术语“选择性”意指一种材料的蚀刻速率与另一种材料的蚀刻速率的比率。术语“选择性的蚀刻(selective etch)”或“选择性地蚀刻(selectively etch)”意指蚀刻一种材料多于另一种材料,或换言之,在两种材料之间具有大于或小于1:1的蚀刻选择性。
当被用于描述R基团的上下文中时,术语“独立地”应理解为表示对象R基团不仅相对于带有相同或不同下标或上标的其他R基团独立地选择,而且相对于同样的R基团的任何附加种类独立地选择。例如,在式MR1 x(NR2R3)(4-x)中,其中M是原子,x是2或3,两个或三个R1基团可以但是不必是彼此相同的或与R2或与R3相同的。进一步地,应理解,除非另外确切地指明,否则当用于不同式中时,R基团的值彼此独立。
注意,在本文中,术语“膜”和“层”可以互换使用。应理解的是,膜可以对应于层或者与层相关,并且该层可以是指该膜。此外,本领域普通技术人员将认识到,在此使用的术语“膜”或“层”是指在表面上所铺或散布的某种材料的厚度并且该表面可在从与整个晶圆一样大至与沟槽或线一样小的范围内。
注意,在本文中,当蚀刻化合物在室温和室压下处于气态时,术语“蚀刻化合物”和“蚀刻气体”可互换使用。应理解的是,蚀刻化合物可以对应于蚀刻气体或者与蚀刻气体相关,并且该蚀刻气体可以是指该蚀刻化合物。
术语“通孔”、“孔”和“孔洞”有时可互换使用,并且通常表示层间绝缘体中的开口,其提供用于直接电连接的物理路径。
术语“沟槽”通常表示蚀刻到半导体基板中的几何特征。
如在此使用的,缩写“NAND”指的是“非AND(“Negated AND”或“Not AND”)”门;缩写“2D”指的是平面基板上的二维门结构;缩写“3D”指的是3维或垂直门结构,其中该门结构在垂直方向上堆叠。
本文使用元素周期表中元素的标准缩写。应理解,可通过这些缩写提及元素(例如,Si是指硅,N是指氮,O是指氧,C是指碳,H是指氢,F是指氟等)。
提供了由化学文摘服务指定的唯一的CAS登记号(即,“CAS”)以识别所披露的具体分子。
请注意,含硅膜,诸如SiN和SiO,遍及本说明书和权利要求书列出,而不提及其恰当的化学计量学。含硅膜可以包括纯硅(Si)层(诸如结晶Si、多晶硅(p-Si或多结晶Si)、或无定型硅);氮化硅(SikNl)层;或氧化硅(SinOm)层;或其混合物,其中k、I、m、和n在从0.1(含)至6(含)的范围内。优选地,氮化硅为SikNl,其中k和I各自在从0.5至1.5的范围内。更优选地,氮化硅为Si3N4。在此,以下描述中的SiN可以用于表示含SikNl的层。优选地,氧化硅为SinOm,其中n在从0.5至1.5的范围内并且m在从1.5至3.5的范围内。更优选地,氧化硅是SiO2。在此,以下描述中的SiO可以用于表示含SinOm的层。该含硅膜还可能是基于氧化硅的介电材料,诸如基于有机物或基于氧化硅的低k介电材料,诸如应用材料公司(AppliedMaterials,Inc.)的Black Diamond II或III材料(具有式SiOCH)。含硅膜还可以包括SiaObNc,其中a、b、c在从0.1至6的范围内。这些含硅膜还可包括掺杂剂,诸如B、C、P、As和/或Ge。
附图说明
为了进一步理解本发明的本质和目的,应结合附图来参考以下详细说明,在附图中相似元件给予相同或类似的参考号,并且其中:
图1a是本领域产生3D NAND门的3D NAND堆叠中的示例性层的示例性截面侧视图;
图1b是3D NAND堆叠中的示例性层的截面侧视图,示出了使用披露的氢氟烃蚀刻化合物通过等离子蚀刻在其中形成的高纵横比孔洞;
图1c是3D NAND堆叠中的示例性层的示例性截面侧视图,示出了在3D NAND堆叠中的蚀刻期间沉积在侧壁上的聚合物;
图2是在沉积和蚀刻测试中应用的示例性反应器系统的截面侧视图;
图3是在披露的实施例中使用的示例性晶圆图案的示例性截面侧视图;
图4是展示由CHF3产生的物种的电子碰撞电离能相对于压力的图;
图5是展示使用CHF3、Ar和O2的作为氧气流速的函数的SiON和PR膜的蚀刻速率的图;
图6是在用CHF3、Ar和O2蚀刻后,SiON=500nm的图案化晶圆上的SEM图像;
图7是展示使用CHF3、CF4、NH3、Ar和O2的作为氧气流速的函数的SiON和PR膜的蚀刻速率的图;
图8是展示使用传统氢氟烃(CF4和CHF3)、氨(NH3)、Ar和O2蚀刻ONON和OPOP的SiO2、SiN、p-Si和a-C的蚀刻速率的图;
图9是展示由C2H4F3N产生的物种的电子碰撞电离能相对于压力的图;
图10是展示使用C2H4F3N、Ar和O2的作为氧气流速的函数的SiON和PR膜的蚀刻速率的图;
图11a是在没有添加O2的情况下,用C2H4F3N和Ar进行蚀刻之后的SiON表面的SEM图像;
图11b是用C2H4F3N、Ar并且在添加O2的情况下进行蚀刻之后的SiON表面的SEM图像;
图12是展示由C3H3F6N产生的物种的电子碰撞电离能相对于压力的图;
图13是展示使用C3H3F6N、Ar和O2的作为氧气流速的函数的SiON和PR膜的蚀刻速率的图;
图14是在用C3H3F6N、Ar和O2蚀刻图案化晶圆之后的SEM图像;
图15是展示由C3H4F5N产生的物种的电子碰撞电离能相对于压力的图;
图16是展示使用C3H4F5N、Ar和O2的作为氧气流速的函数的SiON和PR膜的蚀刻速率的图;
图17a是用C3H4F5N、Ar和15sccm的O2蚀刻后的SiON表面的SEM图像;
图17b是用C3H4F5N、Ar和16sccm的O2进行蚀刻后的SiON表面的SEM图像;
图18是在用C3H4F5N、Ar和O2蚀刻图案化晶圆之后的SEM图像;
图19是展示分别使用C2H4F3N和C3H4F5N的在SiON和PR基板上的沉积速率的图;
图20是展示在3D NAND应用中在各种O2流速情况下使用C3H4F5N和Ar用于ONON和OPOP蚀刻的SiO2、SiN、p-Si和a-C的蚀刻速率的图;并且
图21是展示在平面晶圆上在各种O2流速情况下用C3H3F6N和Ar的SiO2、SiN、p-Si和a-C的蚀刻速率的图。
具体实施方式
披露了在3D NAND和DRAM应用中等离子蚀刻含硅膜的方法。更具体地,披露了一种方法,该方法用于制造半导体结构用于在其中形成通道孔洞、阶梯触点、阶梯蚀刻、狭缝蚀刻、接触孔洞、门沟槽、电容器孔洞、掩埋接触蚀刻、电容器接触蚀刻、浅沟槽隔离蚀刻等。披露了用于在随后的加工步骤中在待各图案化的各种材料的层的堆叠的顶部上蚀刻DARC覆盖层(例如SiON层)的方法。披露了用于对各种材料的层的堆叠进行蚀刻的方法,例如含硅层的交替层。披露了前述蚀刻DARC层的处理步骤之后,用于蚀刻含硅层的层(诸如SiO/SiN(ONON)或SiO/p-Si(OPOP)层)的方法。
披露了一种用于蚀刻安置在基板上的含硅层结构的方法。该结构包括:沉积在第一含硅层和第二含硅层的交替层上的DARC层、在DARC层上形成的图案化光致抗蚀剂层、以及在DARC层与交替层之间形成的硬掩模层。该方法包括步骤:用氢氟烃蚀刻化合物选择性地等离子蚀刻该DARC层(相对于图案化光致抗蚀剂层)以在该DARC层上产生孔,用适用于蚀刻硬掩模层的蚀刻气体选择性地等离子蚀刻通过DARC层中的孔暴露的硬掩模层(相对于该图案化光致抗蚀剂层和DARC层)以使这些孔延伸穿过该硬掩模层,以及用上述氢氟烃蚀刻化合物选择性地等离子蚀刻不被硬掩模层覆盖的交替层(相对于硬掩模层)以在这些交替层中延伸这些孔,其中第一含硅层和第二含硅层是非选择性蚀刻的。也就是说,该第一含硅层对第二含硅层的蚀刻具有约1:1的选择性。
所披露的氢氟烃蚀刻化合物可以是2,2,2-三氟乙胺(C2H4F3N)。所披露的氢氟烃蚀刻化合物可以是1,1,2-三氟乙-1-胺(异-C2H4F3N)。所披露的氢氟烃蚀刻化合物可以是1,1,1,3,3,3-六氟异丙胺(C3H3F6N)。所披露的氢氟烃蚀刻化合物可以是1,1,2,3,3,3-六氟-1-丙胺(异-C3H3F6N)。所披露的氢氟烃蚀刻化合物可以是2,2,3,3,3-五氟丙胺(C3H4F5N)。所披露的氢氟烃蚀刻化合物可以是1,1,1,3,3-五氟-2-丙胺(异-C3H4F5N)。所披露的氢氟烃蚀刻化合物可以是1,1,1,3,3-五氟-(2R)-2-丙胺(异-2R-C3H4F5N)。所披露的氢氟烃蚀刻化合物可以是1,1,1,3,3-五氟-(2S)-2-丙胺(异-2S-C3H4F5N)。
所披露的氢氟烃蚀刻化合物具有-NH2官能团。所披露的氢氟烃蚀刻化合物是含氮的有机氟化合物并且含有一个氮。
所披露的氢氟烃蚀刻化合物是可商购的,并且它们的结构式、CAS编号和沸点也包括在表1中。本领域普通技术人员将认识到,可以使用所提供的CAS编号获得这些化合物的合成方法。
表1.可商购的蚀刻化合物
*表示Scifinder预测的沸点。
在此,所披露的氢氟烃蚀刻化合物2,2,2-三氟乙胺(C2H4F3N)、1,1,2-三氟乙-1-胺(异-C2H4F3N)、1,1,1,3,3,3-六氟异丙胺、1,1,2,3,3,3-六氟-1-丙胺、2,2,3,3,3-五氟丙胺(C3H4F5N)、1,1,1,3,3-五氟-2-丙胺(异-C3H4F5N)、1,1,1,3,3-五氟-(2R)-2-丙胺(异-2R-C3H4F5N)和1,1,1,3,3-五氟-(2S)-2-丙胺(异-2S-C3H4F5N)可以蚀刻包括SiON层以及SiO层和SiN层的交替层(即,ONON)或SiO层和p-Si层的交替层(即,OPOP)的含硅膜。所披露的氢氟烃蚀刻化合物1,1,1,3,3,3-六氟异丙胺(C3H3F6N)和1,1,2,3,3,3-六氟-1-丙胺(异-C3H3F6N)可以蚀刻包括SiON层以及SiO层和SiN层的交替层(即,ONON)的含硅膜。
以上氢氟烃蚀刻化合物包括一个-NH2基团。该-NH2基团可以在末端碳上。该-NH2基团可以不在末端碳上。如在下面的实例中所示出的,申请人认为该-NH2基团有助于产生优异的蚀刻轮廓,因为这些等离子激活的蚀刻化合物中的含N物质有助于在该蚀刻结构上沉积保护性聚合物层。
所披露的氢氟烃蚀刻化合物可以在高纵横比结构(诸如在DRAM和3D NAND应用中具有在从1:1至200:1范围内的纵横比的那些)中提供对于光致抗蚀剂层、硬掩模层和蚀刻终止层及器件通道材料的高选择性,对于含硅层(诸如SiO、SiN和p-Si)的无选择性,并且没有轮廓变形。
所披露的氢氟烃蚀刻化合物可以为蚀刻的宽工艺条件提供无限的选择性。在此,选择性是指两个不同层的蚀刻速率比。例如,SiON层对比图案化光致抗蚀剂层的选择性是SiON的蚀刻速率除以图案化光致抗蚀剂层的蚀刻速率。该披露的氢氟烃蚀刻化合物可以在含硅膜与图案化光致抗蚀剂层之间提供改进的选择性,并且对光致抗蚀剂材料的损害较小。
所披露的氢氟烃蚀刻化合物以大于95%v/v纯度、优选以大于99.99%v/v纯度、并且更优选以大于99.999%v/v纯度提供。所披露的氢氟烃蚀刻化合物含有按体积计小于5%的痕量气体杂质,其中按体积计小于150ppm的杂质气体,诸如N2和/或H2O和/或CO2,包含在所述痕量气态杂质中。优选地,该等离子体蚀刻气体中的水含量是按重量计小于20ppmw。可通过蒸馏和/或使气体或液体通过适合的吸附剂(诸如分子筛)产生经纯化的产物。
申请人认为,具有2-3个碳原子的分子可以在该蚀刻工艺期间提供比C1分子更好的侧壁保护。对于侧壁保护,C3分子是优选的,因为申请人认为它们将产生更厚的钝化层。示例性的含有C3NH2的蚀刻化合物包括C3H4F5N和C3H3F6N及其异构体。然而,C2分子可以更容易地递送到反应器,或者对于一些应用,可以优选更薄的钝化层。示例性的含有C2NH2的蚀刻化合物包括C2H4F3N及其异构体。
所披露的氢氟烃蚀刻化合物含有小于10%v/v、优选地小于1%v/v、更优选地小于0.1%v/v、并且甚至更优选地小于0.01%v/v的任何其异构体,其可以通过蒸馏气体或液体以移除异构体来纯化并且可以提供更好的工艺可重复性。
可替代地,所披露的氢氟烃蚀刻化合物可含有在5%v/v与50%v/v之间的其异构体,特别地当该异构体混合物提供改进的工艺参数或如果目标异构体的分离过于困难或昂贵时。例如,所披露的氢氟烃蚀刻化合物可包含在近似50%v/v与近似75%v/v之间的2,2,3,3,3-五氟丙胺(C3H4F5N)以及在近似25%v/v与近似50%v/v之间的2,2,3,3-四氟丙腈。该异构体混合物可减少对于两个或更多个通向该反应腔室的气体管线的需要。
所披露的氢氟烃蚀刻化合物在室温和室压下是气态的。所披露的氢氟烃蚀刻化合物适合用于等离子蚀刻含硅膜(诸如SiON、SiO、SiN、p-Si膜)。所披露的氢氟烃蚀刻化合物不仅与当前可获得的光致抗蚀剂和硬掩模材料相容,而且与未来几代光致抗蚀剂和硬掩模材料相容,因为所披露的氢氟烃蚀刻化合物对光致抗蚀剂和硬掩模连同具有高纵横比结构的良好轮廓引起极少损害或没有损害。为了实现这些特性,所披露的氢氟烃蚀刻化合物可以在蚀刻期间在蚀刻结构侧壁上沉积抗蚀刻聚合物层,以帮助减少在该蚀刻工艺期间的氧和氟自由基对蚀刻轮廓的直接冲击和轰击。优选地,所披露的氢氟烃蚀刻化合物在用于递送到反应器/腔室中的蚀刻工艺期间是适合地挥发性的且稳定的。
材料相容性测试对于确定是否任何所披露的氢氟烃蚀刻化合物将与腔室材料反应并且降低具有短期或长期用途的腔室的性能是重要的。在腔室、阀等的部件中涉及的关键材料包括不锈钢、铝、镍、PCTFE、PVDF、PTFE和其他金属和聚合物。有时,这些材料暴露于高温(例如高于20℃)和高压(例如高于1atm),这可增强其降解。计量方法可以包括目视检查、重量测量、测量SEM中的纳米尺度变化、拉伸强度、硬度等。
所披露的氢氟烃蚀刻化合物可以被用于等离子蚀刻含硅膜,例如覆盖在半导体结构的顶部的SiON膜,该半导体结构是例如3D NAND闪存(其具有SiO/SiN或SiO/p-Si的交替层)或DRAM存储器。所披露的氢氟烃蚀刻化合物也可用于在基板(诸如SiO/SiN或SiO/p-Si的交替层)或DRAM存储器(诸如氧化硅层)上等离子蚀刻含硅膜。该披露的等离子蚀刻方法可以在制造半导体器件,如NAND或3D NAND门或者闪存或DRAM存储器或晶体管,如鳍形场效应晶体管(FinFET)、横向门全能(LGAA)器件和垂直门全向(VGAA)器件、块状互补金属氧化物半导体(块状CMOS)、完全耗尽型绝缘体上硅(FD-SOI)结构和Monolithich 3D(M3D)上是有用的。所披露的氢氟烃蚀刻化合物可用于其他应用领域,诸如不同的前段制程(FEOL)和后段制程(BEOL)蚀刻应用还以及低k应用。此外,所披露的氢氟烃蚀刻化合物还可以用于在3D硅孔(TSV)蚀刻应用中蚀刻Si用于将存储器与在基板上的逻辑电路互相连接。
该等离子体蚀刻方法包括提供具有基板安置在其中的反应腔室。该反应腔室可以是其中进行蚀刻方法的器件内的任何附件或腔室,诸如并且不限于反应性离子蚀刻(RIE)、具有单一或多个频率RF源的电容耦合等离子体(CCP)、电感耦合等离子体(ICP)、电子回旋共振(ECR)或微波等离子体反应器,或能够选择性地移除含硅膜的一部分或产生活性物种的其他类型的蚀刻系统。本领域普通技术人员将认识到,不同的等离子体反应腔室设计提供不同的电子温度控制。合适的可商购的等离子体反应腔室包括但不限于以商标eMAXTM出售的应用材料公司(Applied Materials)磁增强的反应性离子蚀刻器或以商标FlexTM出售的拉姆研究公司(Lam Research)双重CCP反应性离子蚀刻器介电蚀刻产品家族。以这样的等离子体反应腔室中的RF功率可以被脉冲化以控制等离子体特性,并且从而进一步改进蚀刻性能(选择性和损害)。
可替代地,等离子体处理过的反应物可以在反应腔室外产生。MKS仪器公司的反应性气体产生器可以用于在通入反应腔室之前处理反应物。在2.45GHz、7kW等离子体功率和范围从近似0.5托至近似10托的压力下操作,反应物O2可分解成两个O·自由基。优选地,可以用范围从约1kW至约10kW、更优选地从约2.5kW至约7.5kW的功率产生远程等离子体。
该反应腔室可含有一个或多于一个基板。例如,该反应腔室可含有从1至200个具有从25.4mm至450mm的直径的硅晶圆。基板可为任何适用于制造半导体、光伏材料、平板或LCD-TFT器件的基板。合适的基底的实例包括晶圆,例如:硅、二氧化硅、玻璃、蓝宝石、锗或III-V化合物(诸如GaAs晶圆)。从先前的制造步骤,该晶圆将具有在其上的多个膜或层,包括含硅膜或层。这些层可以是图案化的或可以不是图案化的。合适的层的包括但不限于硅(诸如非晶硅、p-Si、结晶硅、其中的任何一种可以进一步用B、C、P、As、和/或Ge进行p掺杂或n掺杂)、二氧化硅、氮化硅、氧化硅、氮氧化硅、SiaObHcCdNe(其中a>0;b、c、d、e≥0)、掩模层材料(诸如无定形碳、掺杂的无定形碳(诸如掺杂硼、氮、硫、氯、氟或金属(Al、Zr、Ti、W)的无定形碳)、减反射涂层、光致抗蚀剂材料、钨、氮化钛、氮化钽或其组合)、蚀刻终止层材料(诸如氮化硅、多晶硅、结晶硅、碳化硅(SiC)、碳氮化硅(SiCN)或其组合)、器件通道材料(诸如结晶硅、外延硅、掺杂硅、SiaObHcCdNe(其中a>0;b、c、d、e≥0)或其组合)。该氧化硅层可以形成介电材料,诸如基于有机物或基于氧化硅的低k介电材料(例如,多孔SiCOH膜)。示例性的低k介电材料以商品名Black Diamond II或III由应用材料公司出售。此外,可以使用包含钨或贵金属(例如铂、钯、铑或金)的层。此外,这些含硅膜的实例可以是SiaObHcCdNe(其中a>0;b、c、d、e≥0)。在整个说明书和权利要求书中,晶圆及其上的任何相关层被称为基板。
还披露了在等离子蚀刻条件下利用所披露的氢氟烃蚀刻化合物制造半导体结构的方法。所披露的方法提供了含N的氢氟烃蚀刻化合物用于等离子蚀刻含Si膜的用途。所披露的方法还提供了用于在基板中形成孔、孔洞或沟槽时抑制对图案化光致蚀剂掩模层或图案硬掩模层的损害,保护图案化光致蚀剂掩模层或图案硬掩模层、或增强图案化光致蚀剂掩模层或图案硬掩模层。所披露的方法在半导体的制造(诸如3D NAND和DRAM应用)中是有用的。
所披露的方法包括:形成半导体结构,该半导体结构具有在第一含硅层和第二含硅层的交替层上的DARC层、该DARC层上的图案化光致抗蚀剂层、以及在该DARC层与该交替层之间的硬掩模层;将所披露的氢氟烃蚀刻气体引入反应器内;等离子活化所披露的氢氟烃蚀刻气体;使用活化的所披露的氢氟烃蚀刻气体选择性地蚀刻该DARC层(相对于图案化光致抗蚀剂层)以在该DARC层上产生孔;用适用于蚀刻硬掩模层的蚀刻气体选择性地等离子蚀刻通过该DARC层中的孔暴露的硬掩模层以使这些孔延伸通过该硬掩模层;使用以上所披露的氢氟烃蚀刻气体选择性地等离子蚀刻不被该硬掩模层覆盖的交替层(相对于硬掩模层)以使这些交替层中的这些孔延伸,其中该第一含硅层和该第二含硅层是非选择性蚀刻的。所披露的方法还包括在蚀刻DARC层、硬掩模层和交替层的步骤的同时在孔的侧壁上同时沉积聚合物层。
所披露的用于在半导体结构上增强图案化光致蚀剂层或图案硬掩模同时在其中形成孔的方法包括:形成半导体结构,该半导体结构具有蚀刻目标层上的DARC层、以及在该DARC层上的图案化光致抗蚀剂层;并且使用氢氟烃蚀刻化合物(选自下组,该组由以下各项组成:2,2,2-三氟乙胺(C2H4F3N)、1,1,2-三氟乙-1-胺(异-C2H4F3N)、2,2,3,3,3-五氟丙胺(C3H4F5N)、1,1,1,3,3-五氟-2-丙胺(异-C3H4F5N)、1,1,1,3,3-五氟-(2R)-2-丙胺(异-2R-C3H4F5N)和1,1,1,3,3-五氟-(2S)-2-丙胺(异-2S-C3H4F5N)、1,1,1,3,3,3-六氟异丙胺(C3H3F6N)和1,1,2,3,3,3-六氟-1-丙胺(异-C3H3F6N))选择性地等离子蚀刻该DARC层(相对于图案化光致抗蚀剂层)以在该DARC层中产生孔,同时在图案化光致抗蚀剂层和孔的侧壁沉积聚合物层。
所披露的制造具有含硅层的交替层的半导体结构的方法包括:在基板上形成半导体结构,该半导体结构具有含硅层的交替层上的图案化硬掩模层;并且使用氢氟烃蚀刻化合物(选自下组,该组由以下各项组成:2,2,2-三氟乙胺(C2H4F3N)、1,1,2-三氟乙-1-胺(异-C2H4F3N)、2,2,3,3,3-五氟丙胺(C3H4F5N)、1,1,1,3,3-五氟-2-丙胺(异-C3H4F5N)、1,1,1,3,3-五氟-(2R)-2-丙胺(异-2R-C3H4F5N)和1,1,1,3,3-五氟-(2S)-2-丙胺(异-2S-C3H4F5N)、1,1,1,3,3,3-六氟异丙胺(C3H3F6N)和1,1,2,3,3,3-六氟-1-丙胺(异-C3H3F6N))选择性地等离子蚀刻含硅层的交替层(相对于图案化硬掩模层)以在含硅层的交替层中产生孔,同时在硬掩模层和孔的侧壁沉积聚合物层,其中第一含硅层和第二含硅层是非选择性蚀刻的。在此,该第一含硅层包含氧化硅层并且该第二含硅层包含氮化硅层,反之亦然;并且该第一含硅层包含氧化硅层并且该第二含硅层包含多晶硅层,反之亦然。
所披露的用于制造具有含硅层的交替层的半导体结构的方法包括:在基板上形成具有含硅层的交替层上的图案化硬掩模层的半导体结构,并且用1,1,1,3,3,3-六氟异丙胺(C3H3F6N)选择性地等离子蚀刻含硅层的交替层(相对于图案化硬掩模层)以在含硅层的交替层中产生孔,同时在硬掩模层和孔的侧壁沉积聚合物层,其中第一含硅层和第二含硅层是非选择性蚀刻的。在此,该第一含硅层包含氧化硅层并且该第二含硅层包含氮化硅层,反之亦然。
以下是可以将所披露的氢氟烃蚀刻气体施加在其上进行蚀刻的半导体图案化基板的示例性实施例。
在一个实施例中,基板100可以包括多个层的堆叠,如图1a中所示出的。如所示出的,在硅晶圆102(即,ONON,如TCAT技术或P-BiCS技术)的顶部沉积SiO/SiN或ONON(即,104a/104b)的n对交替层104的堆叠。此处,n是整数。优选地,n=96。更优选地,n=128或256,或甚至更多。本领域普通技术人员将认识到,基板100的堆叠中的SiO/SiN的交替层104的数量可以变化。硬掩模层106沉积在SiO/SiN的交替层104的顶部。无机介电覆盖层108沉积在硬掩模层106的顶部并用作DARC层。有机BARC层110施加在无机介电覆盖层108的顶部,以进一步减少光敏层图案化期间的光反射。有机BARC层110典型地包含聚酰胺和聚砜,在其上涂覆图案化光致抗蚀剂层112(即,光敏层)。图案化光致抗蚀剂层112包括通过光刻操作形成的多个图案化孔洞(此处示出一个孔洞114),其在3D NAND堆叠或DRAM应用中限定多个凹陷区域(例如,接触孔洞、通道孔洞、沟槽等)。
在此,本领域普通技术人员将认识到硅晶圆102可以用锗晶圆或Si-Ge晶圆或用钨(W)晶圆代替,并且在一些应用中,SiN层104b可以用p-Si(例如,SiO/p-Si或OPOP)代替,从而在硅晶圆102的顶部形成SiO/p-Si或OPOP的n对交替层的堆叠,其中n是整数。
SiO/SiN(或SiO/p-Si)的交替层104可以包括氧化硅层、氮化硅层、多晶硅层、结晶硅层、SiOCN层、SiON层、SiaObHcCdNe层(其中a>0;b、c、d和e≥0)或其组合。SiO/SiN(或SiO/p-Si)的交替层104可以包括含有Mg、Ca、Sr、Ba、Nb、Ta、Al、Si、Ge、第4族过渡金属(诸如Ti、Zr或Hf)、镧系金属(诸如La、Y、Sc、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb和Lu)或其组合的材料。可替代地,SiO、SiN或p-Si层可以包括含有Mg、Ca、Sr、Ba、Nb、Ta、Al、Si、Ge、第4族过渡金属(诸如Ti、Zr或Hf)、镧系金属(诸如La、Y、Sc、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb和Lu)或其组合的材料。
硬掩模层106可以含有C和H以及其他元素(诸如硼、氮、氧、硫、氯、氟、铝、钨、钛、锆等)以在SiO/SiN层蚀刻期间提高抗蚀刻性。掺杂硼的碳质材料的一个实例可从加利福尼亚州圣克拉拉市的应用材料公司(Applied Materials,Inc.of Santa Clara,CA)以高级图案化膜(APF)的商品名获得,更具体地,掺杂硼的APF种的材料(称为APFc)。硬掩模层106可以是热CVD、PECVD或a-C或掺杂的a-C(如掺杂硼、氮、硫、氯、氟或金属(Al、Zr、Ti、W)的无定形碳)的喷涂/旋涂沉积层。硬掩模层106可以是含硅旋涂掩模、含碳旋涂掩模、光致抗蚀剂等。
无机介电覆盖层108可以是单层膜或多层膜堆叠,其至少包含硅并且典型地还包括氮化物、氧以形成氮氧化硅(SiON)层。SiON通常但不限于具有式SiOxNyHzCk,其中x在从0至2的范围内,y在从0至1的范围内,z在从0至约1的范围内以及k在从0至1的范围内。通过改变SiONDARC层的组成,可以在光致抗蚀剂层的成像期间控制光致抗蚀剂的反射。当SiOxNyHzCk被用作ARC时,x、y、和z通常在约0.2与约0.5之间的范围内。在光刻期间,由于SiON的吸收光的能力,因此,其通常与深紫外(DUV)光致抗蚀剂组合用作减反射涂层。常规的ARC层(诸如旋涂有机聚合物)已经被用于通过利用膜的吸收特性来抑制不需要的反射。然而,由于旋涂膜的自平坦化性质,有机ARC膜具有超过拓扑的厚度变化,并且因此导致通过该膜的不同吸光度。由于在有机光致抗蚀剂和有机ARC膜之间存在最小的蚀刻选择性,该聚合物ARC中的厚度变化会引起蚀刻问题。因此,已经引入了通过使用相移消除工作的介电ARC。当基板和ARC表面的反射相位相差180°时,反射将通过破坏性界面相互抵消。通过DARC的波的半波长的相移可以通过优化DARC的厚度和折射率来实现。无机介电覆盖层108的组成、光学性质和厚度也可以被调整,以在特征的光刻图案化期间为使用的特定波长提供最小反射和高的对比度。无机介电覆盖层108可以通过CVD或PECVD方法沉积。随着SiO/SiN交替层104的对(即,n)的数量变大,无机介电覆盖层108(例如,SiON层)的厚度可以变得更厚。在示例性实施例中,无机介电覆盖层108形成在约5nm与1000nm之间的厚度,更特别地在10nm与800nm之间,并且甚至更特别地在10nm与500nm之间。在一些实施例中,其可以仅具有硬掩模层106上的无机介电覆盖层108。在可替代的实施例中,其必须同时具有硬掩模层106上的有机BARC 110和无机介电覆盖层108。该无机介电覆盖层108为既不是聚合物层也不是等离子层的DARC层。
该无机介电覆盖层108用做DARC层和/或改善随后的通过旋涂技术施加的有机膜(例如,有机BARC层110)的附着力,否则其可能不会很好地粘附到硬掩模层106(例如,掺杂硼的a-C硬掩模层)上。无机介电覆盖层108在用所披露的氢氟烃蚀刻化合物通过蚀刻将光致抗蚀剂层112中的图案转移到硬掩模层106中以在硬掩模层106上方的无机介电覆盖层108中形成掩模图案中也发挥了作用。凹陷区域可以进一步通过以下步骤形成:i)用适用于蚀刻硬掩模的蚀刻气体(诸如选自cC4F8、C4F8、C4F6、C5F8、CF4、CH3F、CF3H、CH2F2、COS、CS2、CF3I、C2F3I、C2F5I、CFN、FNO、SO2及其组合)选择性地蚀刻硬掩模层106(相对于无机介电覆盖层108),并且ii)用所披露的氢氟烃蚀刻化合物或其他在等离子蚀刻条件下适用于蚀刻含硅膜的蚀刻气体选择性地蚀刻含硅膜(例如:SiO/SiN或ONON的交替层104)(相对于在硬掩模层106中形成的掩模图案)。
图案化光致抗蚀剂层110可包括正作用和负作用材料。合适的正作用材料包括正作用化学放大的光致抗蚀剂,其经受该组合物的一种或多种组分的酸不稳定基团的光致酸促进的脱保护反应,以使该抗蚀剂的涂层的暴露区域比未暴露区域更易溶于水性显影剂中。光致抗蚀剂树脂的典型的光酸不稳定基团包括:含有与酯的羧基氧共价连接的叔非环烷基碳(例如,叔丁基)或叔脂环碳(例如,甲基金刚烷基)的酯基基团。也使用缩醛光酸不稳定基团。
合适的负作用抗蚀剂典型地将含有交联组分。该交联组分典型地以单独的抗蚀剂组分存在,典型的为基于胺的交联剂(诸如三聚氰胺),例如三聚氰胺树脂(Cymel melamineresin)。在实施例中有用的负作用光致抗蚀剂组合物包括在暴露于酸后将固化、交联或硬化的材料的混合物,以及所披露的实施例的光活化组分。特别有用的负作用组合物包括树脂粘合剂,例如酚醛树脂、交联剂组分和光活化组分。用作树脂粘合剂组分的典型酚醛树脂包括酚醛清漆和聚(乙烯基苯酚)。典型的交联剂包括基于胺的材料,包括三聚氰胺、甘脲、基于苯并胍胺的材料和基于脲的材料。三聚氰胺-甲醛树脂通常是最典型的。这种交联剂是可商购的,例如:由氰特工业公司(Cytec Industries)以商品名Cymel 300、301和303销售的三聚氰胺树脂。
本领域的普通技术人员将认识到,基板100中的层的堆叠仅出于示例性目的而提供,并且所披露的氢氟烃蚀刻气体可用于蚀刻其他类型的层堆叠,例如,对于其中用TiN层替换a-C掩模层106的堆叠。
图1b是3D NAND堆叠中的示例性层的截面侧视图,示出了使用披露的氢氟烃蚀刻化合物通过等离子蚀刻在其中形成的高纵横比孔洞;孔214通过以下步骤形成:i)在等离子蚀刻条件下用披露的氢氟烃蚀刻化合物选择性地蚀刻无机介电覆盖层208(相对于图案化光致抗蚀剂层212);ii)在等离子蚀刻条件下用适用于蚀刻硬掩膜层的蚀刻气体选择性地蚀刻硬掩模层206(相对于图案化光致抗蚀剂层212和无机介电覆盖层208);并且iii)在等离子蚀刻条件下用所披露的氢氟烃蚀刻化合物或适用于蚀刻含硅层的交替层204的其他蚀刻气体选择性地蚀刻含硅层的交替层204(相对于图案化光致抗蚀剂层212、无机介电覆盖层208和硬掩模层206)。申请人认为孔214在通道孔洞和接触孔洞蚀刻应用中可具有从1:1至50:1的纵横比,优选地,纵横比在近似从1:1至近似200:1的范围内。
适合用于蚀刻该硬掩模层206的蚀刻气体可以包括:氟碳化合物蚀刻气体(CxFy,x是整数,y=2x+2或y=2x或y=2x-2,如CF4、C4F6、C4F8、C5F8)、氢氟烃蚀刻气体(CxHyFz,x和y是整数,z=2x-y+2或z=2x-y或z=2x-y-2,如CHF3、CH2F2、CH3F)、氧化剂(诸如O2、COS)、氟化合物(诸如CF3I、Cl2、HBr、SF6、NF3)、惰性气体(诸如N2)或其组合。
其他适合用于含硅膜的交替层的蚀刻气体可以是氟碳化合物(CxFy,x是整数,y=2x+2或y=2x或y=2x-2)或氢氟烃(CxHyFz,x和y是整数,z=2x-y+2或z=2x-y或z=2x-y-2,如cC4F8、C4F8、C4F6、CF4、CH3F、CHF3、CH2F2)或其他氟化合物或含S及含O化合物(诸如COS、CF3I、C2F3I、C2F5I、FCN、CS2、SO2)。
图1c是3D NAND堆叠中的示例性层的截面侧视图,示出了在蚀刻期间沉积在孔的侧壁上的聚合物。所披露的氢氟烃蚀刻气体在等离子过程期间产生离子、中性物质、自由基或碎片,既适用于非均质地蚀刻含硅膜(诸如SiON、SiO、SiN、p-Si)又适于在被蚀刻的结构的侧壁上沉积聚合物钝化层316,这里的孔如图1c所示。所披露的氢氟烃蚀刻气体在等离子过程工艺期间,可以产生氢氟烃离子和含氮烃离子。氢氟烃离子与SiON反应以非均质地蚀刻无机介电覆盖层308形成孔314。含氮烃离子沉积在孔314的侧壁上,形成聚合物钝化层316,从而保护孔314的侧壁免受氢氟烃离子的轰击。聚合物钝化层316防止孔314的侧壁被氢氟烃离子蚀刻掉。聚合物钝化层316是抗蚀刻聚合物层,其保护孔314的侧壁免受氢氟烃离子的轰击而被蚀刻掉。聚合物钝化层316导致具有直的没有弯曲或锥形化的垂直轮廓的图案蚀刻结构。弯曲可能由掩模层的侧壁蚀刻产生,该掩模层可经常是无定形碳(a-C)材料。a-C材料可能被等离子体中的氧自由基蚀刻,这可能引起增加的掩模开口并导致弯曲状、或成角的/曲线的蚀刻结构。重要的是使弯曲最小化并实现当前应用(例如,接触蚀刻或3D NAND)需要的高纵横比(即,最高达200:1)。通过给所披露的氢氟烃蚀刻化合物添加O2,聚合物钝化层的厚度受到控制,从而避免了由于聚合物沉积导致的侧壁轮廓变形。聚合物钝化层316还在孔314的底部处提供了更平滑的侧壁、较少的弯曲和较少的变形。然而,聚合物钝化层316可以通过本领域公知的干或湿蚀刻化学过程容易地移除或清洁或抛光。
用SiO2层代替图1a至图1c中的SiO/SiN交替层,示例性层变为用于产生DRAM存储器的DRAM堆叠。DRAM堆叠的蚀刻工艺类似于图1a至图1c中描绘的用于3D NAND堆叠的那些。也就是说,DRAM堆叠中的孔由以下几个步骤获得:i)用披露的氢氟烃蚀刻化合物选择性地等离子蚀刻无机介电覆盖层(相对于图案化光致抗蚀剂层);ii)用适用于蚀刻硬掩模的蚀刻气体(适合的蚀刻气体可以包括:氟碳化合物蚀刻气体(CxFy,x是整数,y=2x+2或y=2x或y=2x-2,如:CF4、C4F6、C4F8、C5F8)、氢氟烃蚀刻气体(CxHyFz,x和y是整数,z=2x-y+2或z=2x-y或z=2x-y-2,如CHF3、CH2F2、CH3F)、氧化剂(诸如O2、COS)、氟化合物(诸如CF3I、Cl2、HBr、SF6、NF3)、惰性气体(诸如N2)或其组合)选择性地等离子蚀刻硬掩模层(相对于图案化光致抗蚀剂层和无机介电覆盖层);并且iii)用所披露的氢氟烃蚀刻化合物或其他适用于蚀刻含硅膜的蚀刻气体选择性地等离子蚀刻氧化硅层(相对于图案化光致抗蚀剂层、无机介电覆盖层和硬掩模层)。其他适合用于含硅膜的交替层的蚀刻气体可以是氟碳化合物(CxFy,x是整数,y=2x+2或y=2x或y=2x-2)或氢氟烃(CxHyFz,x和y是整数,z=2x-y+2或z=2x-y或z=2x-y-2,如cC4F8、C4F8、C4F6、CF4、CH3F、CHF3、CH2F2)或其他氟化合物或含S及含O化合物(诸如COS、CF3I、C2F3I、C2F5I、FCN、CS2、SO2)。孔在通道孔洞和接触孔洞蚀刻应用中可具有从1:1至50:1的纵横比,优选地,纵横比在近似从1:1至近似200:1的范围内。
所披露的氢氟烃蚀刻化合物也在该孔的侧壁上沉积聚合物钝化层。通过给所披露的氢氟烃蚀刻化合物添加O2,聚合物钝化层的厚度受到控制,从而避免了侧壁轮廓变形。聚合物钝化层还为DRAM堆叠的孔的底部处提供了更平滑的侧壁、较少弯曲至没有弯曲和较少变形至没有变形。聚合物钝化层可以通过本领域公知的干或湿蚀刻化学过程容易地移除或清洁或抛光。
本领域普通技术人员将认识到,图1a至图1c中的堆叠和层的几何形状仅出于示例性目的而提供,并且披露的氢氟烃蚀刻气体可用于蚀刻其他类型的层的堆叠。此外,本领域的普通技术人员将认识到,该堆叠中的层数可以变化(即,可以包括多于或少于所描绘的层)。
在一个实施例中,所披露的氢氟烃蚀刻气体引入含有基板和含硅膜的反应腔室中。可以以在从近似0.1sccm至近似1slm范围内的流速将该气体引入该腔室中。例如,对于200mm晶圆尺寸,可以以在从近似5sccm至近似50sccm范围内的流速将该气体引入该腔室中。可替代地,对于450mm晶圆尺寸,可以以在从近似25sccm至近似250sccm范围内的流速将该气体引入该腔室中。本领域普通技术人员将认识到,流速可以随工具不同而不同。
所披露的氢氟烃蚀刻化合物可以以纯净形式或以与惰性气体(诸如N2、Ar、Kr、He、Xe、Ne等)或溶剂的共混物供应。所披露的氢氟烃蚀刻化合物可以是以变化浓度存在于该共混物中。
此外,该氢氟烃蚀刻化合物以在按体积计从95%至99.999%的范围内的纯度递送,或可以用移除CO、CO2、N2、H2O、HF、H2S、SO2、卤化物和其他烃或氢卤烃的已知的标准纯化技术来纯化。
还将惰性气体引入该反应腔室中以便维持该等离子体。该惰性气体可为He、Ar、Xe、Kr、Ne、N2或其组合。在引入该腔室中之前,可以混合该蚀刻气体和该惰性气体,其中该惰性气体占所得混合物的在近似0.01%v/v与近似99.9%v/v之间。可替代地,可将该惰性气体连续地引入该腔室中,而以脉冲形式将该蚀刻气体引入该腔室中。
所披露的氢氟烃蚀刻气体和惰性气体被等离子体活化以产生经活化的蚀刻气体。该等离子体将该蚀刻化合物分解成自由基形式(即,经活化的蚀刻气体)。等离子体可通过施加RF或DC功率产生。等离子体可用在从约25W至约20,000W范围内的RF功率产生。等离子体可以远程地或在反应器本身内产生。等离子体可以以双重CCP或ICP模式在两个电极处施加的RF下产生。等离子体的RF频率可在从200KHz至1GHz的范围内。可在同一电极处耦合且施加不同频率的不同RF源。等离子体RF脉冲可进一步用于控制基板处的分子碎片和反应。本领域技术人员将认识到适用于此种等离子体处理的方法及装置。
四极质谱仪(QMS)、光学发射光谱仪、FTIR或其他自由基/离子测量工具可测量来自腔室排气的经活化的蚀刻气体以确定所产生的物质的类型和数目。必要时,可调节蚀刻气体和/或惰性气体的流速以增加或减少所产生的自由基物质的数量。
所披露的氢氟烃蚀刻气体可在引入反应腔室中之前或在反应腔室内与其他气体混合。优选地,可以在引入该腔室中之前将这些气体混合,以便提供均匀浓度的进入气体。
在另一个替代方案中,可以将所披露的氢氟烃蚀刻气体独立于其他气体引入该腔室中,诸如当两种或更多种气体反应时。
在另一个替代方案中,所披露的氢氟烃蚀刻气体和含氧气体是在蚀刻工艺期间使用的唯一的两种气体。
在另一个替代方案中,所披露的氢氟烃蚀刻气体、含氧气体和惰性气体是在蚀刻工艺期间唯一使用的的三种气体。
示例的其他气体包括但不限于氧化剂(诸如O2、O3、CO、CO2、NO、NO2、N2O、SO2、COS、H2O及其组合)、惰性气体(诸如He、Ar、Xe、Kr、Ne及N2,优选Ar)。所披露的蚀刻气体、氧化剂和/或惰性气体可在引入反应腔室中之前混合在一起。
可替代地,可向该腔室中连续地引入氧化剂且向该腔室中以脉冲形式引入蚀刻气体。该氧化剂可占引入腔室中的混合物的在近似0.01%v/v至大约99.99%v/v之间(其中99.99%v/v表示针对连续引入替代方案的几乎纯氧化剂的引入)。
可以与该蚀刻气体混合的其他示例性气体包括附加的蚀刻气体,如cC4F8、C4F8、C4F6、CF4、CH3F、CF3H、CH2F2、COS、CS2、CF3I、C2F3I、C2F5I、SO2、反式-1,1,1,4,4,4-六氟-2-丁烯(反式-C4H2F6)、顺式-1,1,1,4,4,4-六氟-2-丁烯(顺式-C4H2F6)、六氟异丁烯(C4H2F6)、反式-1,1,2,2,3,4-六氟环丁烷(反式-C4H2F6)、1,1,2,2,3-五氟环丁烷(C4H3F5)、1,1,2,2-六氟异丁烯(C4H4F4)、或顺式-1,1,2,2,3,4-六氟环丁烷(顺式-C4H2F6)及其组合,优选CHF3、cC5F8、cC4F8或C4F6。
在引入该反应腔室之前可以混合所披露的氢氟烃蚀刻气体和附加的蚀刻气体。该附加的蚀刻气体可占引入腔室中的混合物的在近似0.01%v/v与近似99.99%v/v之间。
含硅膜(诸如SiON、SiO、SiN、或p-Si膜)和活化的蚀刻气体反应以形成挥发性副产物,将这些副产物从反应腔室中移除。该a-C掩模和光致抗蚀剂层与经活化的蚀刻气体的反应性较小。因此,该经活化的蚀刻气体选择性地与含硅膜反应以形成挥发性副产物。
使反应腔室内的温度和压力保持在适用于含硅膜与经活化的蚀刻气体反应的条件下。例如,根据蚀刻参数,可使腔室中的压力保持在近似0.1毫托与近似1000托之间、优选在近似1毫托与近似10托之间、更优选在近似10毫托与近似1托之间、并且更优选在近似10毫托与近似100毫托之间。同样地,该腔室中的基板温度可在约近似-196℃至近似500℃之间、优选地在近似-120℃至近似300℃之间、更优选地在近似-100℃至近似50℃之间、并且更优选地在近似-10℃至近似40℃之间的范围内。腔室壁温度可取决于工艺要求在从近似-196℃至近似300℃的范围内。
在含硅膜与经活化的蚀刻气体之间的反应导致从基板各向异性移除含硅膜。氮、氧和/或碳的原子也可存在于该含硅膜中。移除归因于由等离子体离子物理溅射含硅膜(通过等离子体加速)和/或通过等离子体物种的化学反应将Si转化成挥发性物种,诸如SiFx,其中x在从1-4的范围内。
等离子活化的所披露的氢氟烃蚀刻气体优选对光致抗蚀剂具有高选择性,并且蚀刻穿过SiO层或SiO/SiN或SiO/p-Si的交替层顶部的DARC覆盖层,并且对硬掩模层表现出高选择性,并且在基板上也蚀刻穿过SiO层或SiO/SiN或SiO/p-Si的交替层,导致没有粗糙度的垂直蚀刻轮廓,这对于3D NAND和DRAM应用是重要的。此外,等离子活化的披露的氢氟烃蚀刻气体在该垂直蚀刻轮廓的侧壁上沉积聚合物层以抑制特征轮廓变形。
所披露的蚀刻工艺将所披露的氢氟烃蚀刻化合物作为蚀刻气体以蚀刻含硅层(诸如SiON、SiO、SiN和p-Si层)从而在3D NAND或DRAM结构的DARC覆盖层中形成图案,用于在随后的加工步骤中在含硅膜中产生通道孔洞、门沟槽、阶梯触点、电容器孔洞、接触孔洞、阶梯蚀刻、狭缝蚀刻、掩埋接触蚀刻、电容器接触蚀刻、浅沟槽隔离蚀刻等。所披露的氢氟烃蚀刻化合物也被用作蚀刻气体以蚀刻含硅膜在DARC覆盖层形成图案后在含硅膜中形成孔。所得图案和/或孔的侧壁可具有在从近似1:1至近似200:1范围内的纵横比和在从近似5nm至近似100nm范围内的直径。需要由所披露的氢氟烃蚀刻化合物蚀刻的常见材料可以是含硅组合物(诸如DARC覆盖层中的SiON、3D NAND堆叠中的ONON或OPOP、以及DRAM堆叠中的SiO)。
实例
提供以下非限制性实例以进一步说明本发明的实施例。然而,这些实例不旨在包括所有实例,并且不旨在限制本文所述发明的范围。
在下述实施例中,氢氟烃蚀刻化合物2,2,2-三氟乙胺(C2H4F3N)、1,1,2-三氟乙-1-胺(异-C2H4F3N)、2,2,3,3,3-五氟丙胺(C3H4F5N)、1,1,1,3,3-五氟-2-丙胺(异-C3H4F5N)、1,1,1,3,3-五氟-(2R)-2-丙胺(异-2R-C3H4F5N)和1,1,1,3,3-五氟-(2S)-2-丙胺(异-2S-C3H4F5N)、1,1,1,3,3,3-六氟异丙胺(C3H3F6N)和1,1,2,3,3,3-六氟-1-丙胺(异-C3H3F6N)的蚀刻性能被评估,并与CHF3(CAS 75-46-7)和传统蚀刻气体CF4+CHF3与NH3的混合物相比较。结果表明,C2H4F3N、C3H3F6N和C3H4F5N提供高的SiON/PR选择性,且PR变形最小。此外,C3H3F6N提供ONON层的非选择性蚀刻和无定形碳硬掩模的无限选择性。C3H4F5N提供ONON或OPOP层的非选择性蚀刻和无定形碳硬掩模的高选择性。C3H3F6N和C3H4F5N提供较少弯曲至无弯曲和较少轮廓变形至无轮廓变形,并且可用于制造半导体结构。
图2是在沉积和蚀刻测试中应用的示例性反应器系统的示例性截面侧视图。如所示出的,反应器900包括反应腔室902。在反应腔室902的内部,被附接在底部电极904的顶部上的晶圆906被放置在反应腔室902的底部部分中,并且硅顶部电极喷头908被放置在反应腔室902的顶部部分上。底部电极904可以是具有对其施加的偏压功率的静电卡盘。例如,将2MHz RF偏压功率施加到底部电极904上。晶圆906可以具有需要被蚀刻的多个层。硅顶部电极喷头908在该喷头中具有多个孔洞910,气体通过这些孔洞。气体可以通过气体入口912引入反应腔室902中,并且然后通过喷头908中的孔洞910用于均匀气体分布。源功率可以被施加到硅顶部电极喷头908上。例如,可以将27MHz RF源功率施加到硅顶部电极喷头908上。在硅顶部电极喷头908与底部电极904之间是等离子体区域。标号914示出了硅顶部电极喷头908和底部电极904的间隙距离(双箭头)。例如,可以选择1.35cm的间隙距离进行蚀刻试验。通过喷头908中的孔洞910的气体在该等离子体区域中电离,并且然后在晶圆906上进行蚀刻。通过将气体从出口916泵出反应腔室902来移除这些气体。
用商业LAM工具(具有10个气体管线的双频等离子)进行蚀刻测试。平面晶圆和图案化晶圆购自Advantive Tech并用LAM工具加工。6个不同的平面晶圆是Si基板上300nm的SiON、Si基板上300nm的PR、Si基板上2μm的SiO2、Si基板上2μm的SiN、Si基板上300μm的p-Si和Si基板上350nm的a-C。图案晶圆如图3所示出的,其特征在于沉积在Si基板上的SiON层结构,在该基板上沉积80nm的BARC层和290nm的PR层。该SiON层是500nm。沟槽开口大约是90nm宽。
蚀刻测试在30毫托、源功率在从300W至750W(27MHz)的范围内、且偏压功率在从600W至1500W(2MHz)的范围内进行。进料混合物含有250sccm的Ar、15sccm的蚀刻气体,而O2在0至25sccm范围内变化。测试的蚀刻气体的流速保持恒定(例如,15sccm),而Ar流速在150sccm至300sccm之间变化。本领域普通技术人员将在蚀刻工艺期间认识到,O2流速、蚀刻时间、源功率、偏压功率和压力可以变化。
沉积测试在30毫托和750W(27MHz)的源功率下在Si基板上的1.5x 1.5cm2、300nm的PR和SiON试样上进行,在基板处没有偏压功率。进料混合物含有250sccm的Ar和15sccm的蚀刻气体,并且不含O2。由于不存在偏压功率,到达基板的离子可能不具有足够的能量来蚀刻。另外,到达表面的中性物种和活性物种基于其粘贴系数粘贴到该表面上,并沉积薄的聚合物层。这个薄的聚合物层可能是侧壁钝化的原因,并且经常提供选择性。沉积测试实验条件帮助模拟在表面或侧壁上的等离子体加工图案期间形成的聚合物层。根据测试的蚀刻化合物,选择在15s与30s之间的范围内的沉积时间。
此外,可以使用质谱仪来研究蚀刻气体的电子碰撞电离。对于该测试,允许蚀刻气体流过质谱仪腔室,并使用四极质谱仪(希登分析公司(Hiden Analytical Inc.))检测器来研究作为电子能量的函数的来自蚀刻气体的碎片。
对比实例1
图4是展示由CHF3产生的物种的电子碰撞电离能相对于压力的图。x轴表示电子能量并且y轴表示碎片物种的分压。CHF3的主要物种CF3和CHF2具有高F/C比,并且因此CHF3可以发生有限的聚合物沉积。随着活化的等离子物种的F/C比率降低,聚合物沉积速率增加(参见例如Hung等人的US6387287)。
平面晶圆蚀刻测试在30毫托、300W(27MHz)的源功率、600W(2MHz)的偏压功率以及1.35cm的电极间距下进行。进料混合物含有250sccm的Ar、15sccm的蚀刻气体,而O2在从0至20sccm内变化。蚀刻时间是30秒。
图5是展示使用CHF3、Ar和O2的作为氧气流速的函数的SiON和PR膜的蚀刻速率的图。蚀刻条件如下:CHF3的流速:15sccm;Ar的流速:250sccm;压力:30毫托;蚀刻时间:30s;RF源功率/偏压功率:300W/600W;温度:20℃。如所示出的,没有SiON/PR选择性高于1。在没有O2添加的情况下,SiON/PR的选择性接近1但小于1(0.81)。随着O2流速增加到20sccm,PR蚀刻速率增加,表明该PR层受损并且该SiON/PR选择性显著降低。由于在该PR层上没有形成聚合物沉积,因此添加O2导致该等离子体中的更多O物种以蚀刻掉PR。当O2流速达到10sccm-20sccm,PR可以被完全蚀刻掉。
图6是在用CHF3、AR和O2蚀刻后,在SiON=500nm的图案化晶圆的SEM图像。如所示出的,该沟槽示出锥形化和倾斜化结构,沟槽宽度范围为从13nm-151nm。剖面角为83.2度,其中90度是SiON层中完美的垂直沟槽侧壁。通过蚀刻的SiON的厚度除以蚀刻的PR的厚度来计算SiON/PR的选择性。因此,保留的PR越厚(nm),SiON/PR选择性越高,且在SiON层中保持相同蚀刻沟槽深度。这种情况下,SiON/PR的选择性是8.46。以下是基准蚀刻气体CHF3的蚀刻工艺条件。蚀刻气体/O2/Ar的流速分别为15sccm、4sccm和150sccm;P=40毫托、t=180s、300W(27MHz)的源功率、900W(2MHz)的偏压功率、底温=20℃。
对比实例2
图7是展示使用CHF3、CF4、NH3、Ar和O2的作为氧气流速的函数的SiON和PR膜的蚀刻速率的图。蚀刻条件如下:CHF3的流速:15sccm;CF4的流速:15sccm;NH3的流速:15sccm;Ar的流速:250sccm;压力:30毫托;蚀刻时间:30s;RF源功率/偏压功率:300W/600W;温度:20℃。如所示出的,没有SiON/PR选择性高于1。在没有O2添加的情况下,SiON/PR的选择性仍然小于1(0.59)。随着O2流速增加到15sccm,PR蚀刻速率增加,表明该PR层受损并且该SiON/PR选择性显著降低。由于在该PR层上没有形成聚合物沉积,因此添加O2导致该等离子体中的更多O物种以蚀刻掉PR。当O2流速达到15sccm,PR可以被完全蚀刻掉。这个实例的目的是,与Trapp的美国专利号6,569,774和7,153,779的现有技术进行比较,表明使用传统的蚀刻氢氟烃和氨不提供目前应用所需的蚀刻性能。
对比实例3
图8是展示使用传统氢氟烃(CF4和CHF3)、氨(NH3)、Ar和O2(流速0-15sccm)的SiO2、SiN、p-Si和a-C的蚀刻速率蚀刻用于3D NAND应用的ONON和OPOP的图。表2列出了在不同O2流速情况下SiO2相对于SiN、SiN相对于SiO2、SiO2相对于a-C以及SiO2相对于p-Si的蚀刻选择性。蚀刻条件如下:CHF3的流速:15sccm;CF4的流速:15sccm;NH3的流速:15sccm;Ar的流速:250sccm;压力:30毫托;蚀刻时间:30s;RF源功率/偏压功率:7500W/1500W;温度:20℃。
表2.使用C3H4F5N的相对于O2流速的选择性
如所示出的,O2流速为0sccm时,SiO2/SiN、SiN/SiO2的选择性接近1:1、SiO2/a-C的选择性为6.2。然而,对于NH3,蚀刻SiO2/SiN、SiN/SiO2的表面粗糙度增加,这可以在随后的实例5中看到。
实例1
图9是展示由C2H4F3N产生的物种的电子碰撞电离能相对于压力的图。x轴表示电子能量并且y轴表示碎片物种的分压。产生的主要碎片或电离产物是C2H4F3N的CH4N和CH2N,其含有C、H和-NH2基团,在等离子蚀刻期间导致在该PR层上沉积。此外,物种CH4N、CH2N、C2H2F2N和CH3FN具有比来自CHF3的碎片更低的F:C和/或F:H比,这导致更高的聚合物沉积速率并且可以改善选择性。
图10是展示使用C2H4F3N、Ar和O2的作为氧气流速的函数的SiON和PR膜的蚀刻速率的图。蚀刻条件如下:C2H4F3N的流速是15sccm;Ar的流速是250sccm;压力是30毫托;蚀刻时间是30s;RF源功率/偏压功率是300W/600W;温度是20℃。在没有O2流速和O2以0-5sccm的低流速时,SiON/PR选择性高,表明该PR层损坏较少。当O2流速增加(即,大于10sccm)时,该PR蚀刻速率增加并显著大于SiON层的PR蚀刻速率,表明该PR层受损并且该SiON/PR选择性显著降低。O2的加入导致该等离子体中O物种更多,从而蚀刻掉PR。因此,在没有添加O2和稀少的O2(即,小于5sccm)的情况下,C2H4F3N可适用于选择性地蚀刻PR膜上的SiON膜。
图11a是在没有添加O2的情况下,用C2H4F3N和Ar进行蚀刻之后的SiON表面的SEM横截面图像。该表面看起来粗糙而且不均匀。图11b是O2流速是2sccm的情况下,用C2H4F3N和Ar进行蚀刻之后的SiON表面的SEM截面图像。该表面看起来平整光滑。在O2流速在2sccm至5sccm的范围内的情况下,已经观察到SiON表面同样光滑。蚀刻条件如下。C2H4F3N的流速是15sccm;Ar的流速是250sccm;压力是30毫托;蚀刻时间是30s;RF源功率/偏压功率是300W/600W;并且温度是20℃。
该实例表明,使用C2H4F3N作为蚀刻化合物,添加O2,相对于PR掩模对SiON进行选择性蚀刻,不会对光致抗蚀剂造成损害,也不会增加SiON的表面粗糙度。
实例2
图12是展示由C3H3F6N产生的物种的电子碰撞电离能相对于压力的图。x轴表示电子能量并且y轴表示碎片物种的分压。C3H3F6N的主要物种C2H3F3N和CH2N具有较低的F/C和F/H比,因此在用C3H3F6N(其含有-NH2基团并且具有比来自CHF3的碎片更低的F:C和/或F:H比)的蚀刻期间会发生聚合物沉积,这导致更高的聚合物沉积速率并且可以改善选择性。
图13是展示使用C3H3F6N、Ar和O2的作为氧气流速的函数的SiON和PR膜的蚀刻速率的图。正y轴表示蚀刻速率,而负y轴表示沉积速率;x轴是以sccm为单位的O2流速;C3H3F6N流速固定是15sccm、Ar的流速是250sccm,而O2流速在从0到15sccm内变化。如所示出的,蚀刻速率结果显示,在没有添加O2的情况下,SiON层和PR层都具有广泛的聚合物沉积。然而,SiON/PR选择性随着O2流速的增加而增加。O2=15sccm时,SiON/PR选择性是6.3,与传统的蚀刻气体CHF3相比有显著改善。
图14是在用C3H3F6N、Ar和O2蚀刻图案化的晶圆之后的SEM图像。对SiON=500nm的图案化晶圆(蚀刻时间为120s)拍摄SEM图像。图14中所示出的SEM图像说明了具有C3H3F6N蚀刻化合物的优化的图案化结构。该图像中传递的最重要信息是SiON/PR选择性为22.9且该剖面角为87.4,示出了用于3D NAND应用的更理想的图案结构。该图像的蚀刻条件如下。C3H3F6N蚀刻气体/O2/Ar的流速=15sccm/15sccm/150sccm、P=20毫托、t=120s,RF源功率/偏压功率300W/600W、T=20℃。
实例3
图15是展示由C3H4F5N产生的物种的电子碰撞电离能相对于压力的图。x轴表示电子能量并且y轴表示碎片物种的分压。C3H4F5N的主要物种CH4N和CH2N具有低的F/C和F/H比,因此在用C3H4F5N的蚀刻期间会发生聚合物沉积。
图16是展示在各种O2流速情况下使用C3H4F5N、Ar的SiON和PR的蚀刻速率的图。如所示出的,正y轴表示蚀刻速率,而负y轴表示沉积速率;x轴是以sccm为单位的O2流速;C3H4F5N流速固定是15sccm、Ar的流速是250sccm,而O2流速在从0到20sccm内变化。如所示出的,当不添加氧气(0sccm的O2条件)时,C3H4F5N沉积在SiON上。随着O2的添加,SiON/PR选择性上升,在O2流速=16sccm时达到峰值,峰值为21.5。然后,添加更多的O2会稍微降低SiON/PR的选择性,但SiON/PR的选择性仍维持在一个良好的范围内。O2的流速在16至20的范围时,C3H4F5N对PR的损害较小。
图17a是用C3H4F5N、Ar和15sccm的O2蚀刻后的SiON表面的SEM图像。该表面看起来粗糙而且不均匀。图17b是用C3H4F5N、Ar和16sccm的O2进行蚀刻后的SiON表面的SEM图像,该条件是SiON/PR选择性已经达到最高的条件。该表面看起来平整光滑。在O2流速在16sccm至20sccm的范围内,观察到同样的SiON光滑表面。蚀刻条件如下:C3H4F5N的流速是15sccm;Ar的流速是250sccm;压力是30毫托;蚀刻时间是30s;RF源功率/偏压功率是300W/600W;温度是20℃。
该实例表明,使用C2H4F3N作为蚀刻化合物,相对于PR掩模对SiON进行选择性蚀刻,不会对光致抗蚀剂造成损害,也不会增加SiON的表面粗糙度。
图18是在用C3H4F5N、Ar和O2蚀刻图案化的晶圆之后的SEM图像。对SiON=500nm的图案化晶圆(蚀刻时间为150s)拍摄SEM图像。具有不同蚀刻时间的不同图案化晶圆的初始SEM图像显示出不希望的蚀刻轮廓,其中PR层受损。然而,该SEM图像示出了用具有无限SiON/PR选择性的C3H4F5N蚀刻化合物干蚀刻的和90度剖面角的优化图案化结构。PR(16nm)的顶部上有沉积物。然而,在用C3H4F5N干蚀刻后没有观察到不希望的图案形成,例如没有观察到内部沟槽的悬垂和阻挡沟槽开口。SEM图像的蚀刻条件如下。C3H4F5N气体/O2/Ar的流速=15sccm/21sccm/150sccm、P=20毫托、t=150s、RF源功率/偏压功率是300W/600W、底温=20℃。
实例4
图19是展示分别用C2H4F3N和C3H4F5N在SiON和PR基板上的沉积速率的图。在图19中,y轴表示以nm/min表示的沉积速率,并且x轴表示PR和SiON基板。C2H4F3N和C3H4F5N都示出在PR膜上比在SiON膜上的沉降更高。如上所述,氟碳化合物聚合物膜含有-NH2,其保护PR膜免受等离子体下产生的离子的轰击,并有利于高SiON/PR选择性。C3H4F5N在SiON和PR两者上比C2H4F3N更加聚合,大约两倍,这可能是由于C3H4F5N中的碳链较长并且在等离子体中产生较大的碎片。
实例5
图20是表明在3D NAND应用中在各种O2流速下使用C3H4F5N和Ar用于ONON和OPOP蚀刻,SiO2、SiN、p-Si和a-C的蚀刻速率的图。表3列出了不同O2流速下,SiO2相对于SiN、SiN相对于SiO2、SiO2相对于a-C及SiO2相对于p-Si的C3H4F5N选择性。蚀刻条件如下:C3H4F5N的流速:15sccm;Ar的流速:250sccm;压力:30毫托;蚀刻时间:30s;RF源功率/偏压功率:7500W/1500W;温度:20℃。
表3.使用C3H4F5N的相对于O2流速的选择性
如所示出的,当O2流速为20sccm时,SiO2/SiN、SiN/SiO2和SiO2/p-Si的选择性在1:2至2:1的范围内,接近1:1,并且将C3H4F5N用作蚀刻气体时,SiO2/a-C的选择性是6.69。因此,C3H4F5N适合用作蚀刻化合物选择性地蚀刻含硅层(诸如SiO2、SiN和p-Si层)(相对于a-C硬掩模层)用于3D NAND应用中的ONON和OPOP蚀刻。
此外,测量C3H4F5N蚀刻后表面的粗糙度,并与原始材料之一进行比较,并与用对比实例3中列出的蚀刻化合物(CF4+CHF3+NH3)蚀刻的表面进行比较。来自NX PARK 10的原子力显微镜(AFM)以非接触模式用于评估该蚀刻工艺之前和之后不同基板(SiO2、SiN、p-Si、a-C)的表面形态。用于评估粗糙度的研究参数是均方根粗糙度(Rq)。在所研究的所有O2流速条件(O2范围从0-25sccm)中,已经选择了针对3D NAND、ONON或OPOP应用示出最有希望的结果的条件:在用CF4+CHF3+NH3蚀刻的情况下,选择O2=0sccm;而在用C3H4F5N的情况下,选择O2=20sccm。结果示于表4中。
表4.原始基板及蚀刻后的粗糙度(Rq)
将原始基板的粗糙度值与CF4+CHF3+NH3之后的粗糙度值进行比较,观察到SiO2表面粗糙度大幅增加,a-C表面粗糙度略微增加。在用C3H4F5N进行蚀刻之后,SiO2、SiN和a-C(相对于原始材料)示出较低的至可比的结果,并且在p-Si的表面上观察到表面粗糙度的降低。
实例6
图21是展示在平面晶圆上在各种O2流速下用C3H3F6N、Ar的SiO2、SiN、p-Si和a-C的蚀刻速率的图。正y轴表示蚀刻速率,而负y轴表示沉积速率;x轴是以sccm为单位的O2流速;C3H3F6N流速固定是15sccm,而O2流速在从5sccm至15sccm内变化;Ar的流速:250sccm;压力:30毫托;蚀刻时间:30s;RF源功率/偏压功率:7500W/1500W;温度:20℃。表5列出了不同O2流速下,SiO2相对于SiN、SiN相对于SiO2、SiO2相对于a-C和SiO2相对于p-Si的C3H3F6N选择性。如所示出的,使用C3H3F6N的SiN和SiO的蚀刻速率几乎相同并且在1:2至2:1的范围内,接近1:1,而沉积发生在a-C层和p-Si层上。因此,SiO2/a-C和SiO2/a-C的选择性是无限的。因此,在添加O2的情况下,C3H3F6N不选择性地蚀刻SiO2和SiN层,同时保留无定形碳硬掩模;因此,对于3DNAND应用中的ONON蚀刻,其适合用作蚀刻化合物来选择性地蚀刻含硅层(诸如SiO2和SiN层,相对于a-C硬掩模层)。
表5.使用C3H3F6N的相对于O2流速的选择性
总之,所披露的具有-NH2官能团的氢氟烃蚀刻化合物(诸如C2H4F3N,C3H3F6N,C3H4F5N及其同分异构体)具有高的SiON/PR选择性,具有较少变形至没有PR变形,并且具有接近1:1的SiO2/SiN或SiO2/p-Si选择性,以及高达无限的SiO2/a-C选择性,对于3D NAND和DRAM应用是高度希望的。与传统蚀刻气体CHF3+CF4+NH3相比,所披露的具有-NH2官能团的氢氟烃蚀刻化合物在蚀刻后表现出较少表面损害至没有表面损害,并且在蚀刻后表面粗糙度没有增加。事实上,与原始表面相比,观察到较低表面粗糙度至相似表面粗糙度。通过保持SiON表面光滑,所披露的具有-NH2官能团的氢氟烃蚀刻化合物示出比与传统蚀刻气体(诸如CHF3和CHF3+CF4+NH3)更高(在图案晶圆上为无限)的SiON/PR选择性。除了选择性地蚀刻SiON/PR,所披露的具有-NH2官能团的氢氟烃蚀刻化合物还不能选择性地蚀刻用于3D NAND和DRAM应用的其他含硅膜(诸如SiO、SiN、p-Si、无定型硅、结晶硅、低k SiCOH、SiOCN、SiaObHcCdNe(其中a>0;b、c、d和e≥0)或其组合、SiO/SiN、SiO/p-Si等(相对于PR和无定形碳、掺杂的无定形碳(诸如掺杂硼、氮、硫、氯、氟或金属(Al、Zr、Ti、W)的无定形碳))。此外,从工艺的观点来看,必须考虑改进,因为具有-NH2官能团的氢氟烃蚀刻化合物能够获得比传统蚀刻气体的多种混合物更好的蚀刻结果。
尽管已示出且描述了本发明的实施例,但本领域技术人员可在不脱离本发明的精神或传授内容的情况下对其进行修改。在此所述的实施例只是示例性的且是非限制性的。组成和方法的许多变化和修改是可能的且在本发明的范围内。因此,保护范围不限于在此所描述的实施例,而仅受随后的权利要求书所限定,其范围应包括这些权利要求的主题的所有等效物。
Claims (15)
1.一种用于蚀刻布置在基板上的含硅层的结构的方法,该结构具有:沉积在第一含硅层和第二含硅层的交替层上的介电减反射涂层(DARC)、在该DARC层上形成的图案化光致抗蚀剂层、以及在该DARC层与这些交替层之间形成的硬掩模层,该方法包括以下步骤:
使用氢氟烃蚀刻化合物相对于该图案化光致抗蚀剂层选择性地等离子蚀刻该DARC层以在该DARC层中产生孔,该氢氟烃蚀刻化合物选自下组,该组由以下各项组成:2,2,2-三氟乙胺(C2H4F3N)、1,1,2-三氟乙-1-胺(异-C2H4F3N)、2,2,3,3,3-五氟丙胺(C3H4F5N)、1,1,1,3,3-五氟-2-丙胺(异-C3H4F5N)、1,1,1,3,3-五氟-(2R)-2-丙胺(异-2R-C3H4F5N)和1,1,1,3,3-五氟-(2S)-2-丙胺(异-2S-C3H4F5N);
使用适用于蚀刻该硬掩模层的蚀刻气体相对于该图案化光致抗蚀剂层和该DARC层选择性地等离子蚀刻通过该DARC层中的孔而暴露的硬掩模层,以使这些孔延伸通过该硬掩模层;并且
使用该氢氟烃蚀刻化合物相对于该硬掩模层选择性地等离子蚀刻通过该硬掩模层中的孔而暴露的交替层,以使这些孔延伸通过这些交替层,其中该第一含硅层和该第二含硅层被非选择性地蚀刻。
2.如权利要求1所述的方法,该方法进一步包括当该氢氟烃蚀刻化合物被等离子活化时,在该图案化光致抗蚀剂层上、该硬掩模层上、和这些孔的侧壁上沉积聚合物层的步骤。
3.如权利要求2所述的方法,该方法进一步包括与该氢氟烃蚀刻化合物一起引入含氧气体。
4.如权利要求3所述的方法,其中,该含氧气体选自下组,该组由以下各项组成:O2、O3、CO、CO2、NO、NO2、N2O、SO2、COS、H2O及其组合。
5.如权利要求1所述的方法,其中,该氢氟烃蚀刻化合物是2,2,2-三氟乙胺(C2H4F3N)。
6.如权利要求1所述的方法,其中,该氢氟烃蚀刻化合物是2,2,3,3,3-五氟丙胺(C3H4F5N)。
7.如权利要求1至6中任一项所述的方法,其中,该DARC层是具有式SiOxNyHzCk的含硅膜,其中,x在从0至2的范围内,y在从0至1的范围内,z在从0至约1的范围内并且k在从0至1的范围内。
8.如权利要求7所述的方法,其中该DARC层是SiON层。
9.如权利要求1至6中任一项所述的方法,其中,该第一含硅层是氧化硅层并且该第二含硅层是氮化硅层,反之亦然。
10.如权利要求1至6中任一项所述的方法,其中,该第一含硅层是氧化硅层并且该第二含硅层是多晶硅层,反之亦然。
11.如权利要求1至6中任一项所述的方法,其中,该硬掩模层是无定形碳或掺杂的无定形碳的热CVD、PECVD或喷涂/旋涂沉积层。
12.如权利要求1至6中任一项所述的方法,其中,该适合用于蚀刻该硬掩模层的蚀刻气体选自下组,该组由以下各项组成:cC4F8、C4F8、C4F6、C5F8、CF4、CH3F、CF3H、CH2F2、COS、CS2、CF3I、C2F3I、C2F5I、CFN、FNO、SO2及其组合。
13.如权利要求1至6中任一项所述的方法,其中,延伸通过这些交替层的孔具有在近似1:1与近似200:1之间的纵横比。
14.一种用于蚀刻布置在基板上的含硅层的结构的方法,该结构具有:沉积在氧化硅和氮化硅的交替层上的DARC层、在该DARC层上形成的图案化光致抗蚀剂层、以及在该DARC层与这些交替层之间形成的硬掩模层,该方法包括以下步骤:
使用氢氟烃蚀刻化合物相对于该图案化光致抗蚀剂层选择性地等离子蚀刻该DARC层以在该DARC层中产生孔,该氢氟烃蚀刻化合物选自下组,该组由以下各项组成:1,1,1,3,3,3-六氟异丙胺(C3H3F6N)和1,1,2,3,3,3-六氟-1-丙胺(异-C3H3F6N);
使用适用于蚀刻该硬掩模层的蚀刻气体相对于该图案化光致抗蚀剂层和该DARC层选择性地等离子蚀刻通过该DARC层中的孔而暴露的硬掩模层,以使这些孔延伸通过该硬掩模层;并且
使用该氢氟烃蚀刻化合物相对于该硬掩模层选择性地等离子蚀刻通过该硬掩模层中的孔而暴露的氧化硅和氮化硅的交替层,以使这些孔在这些氧化硅和氮化硅的交替层中延伸,其中,该氧化硅层和该氮化硅层被非选择性蚀刻。
15.如权利要求14所述的方法,其中,该DARC层是具有式SiOxNyHzCk的含硅膜,其中,x在从0至2的范围内,y在从0至1的范围内,z在从0至约1的范围内并且k在从0至1的范围内。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/798,476 | 2017-10-31 | ||
US15/798,476 US10410878B2 (en) | 2017-10-31 | 2017-10-31 | Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications |
PCT/US2018/058472 WO2019089766A1 (en) | 2017-10-31 | 2018-10-31 | Hydrofluorocarbons containing -nh2 functional group for 3d nand and dram applications |
Publications (2)
Publication Number | Publication Date |
---|---|
CN111316405A CN111316405A (zh) | 2020-06-19 |
CN111316405B true CN111316405B (zh) | 2023-04-04 |
Family
ID=66244193
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201880070762.5A Active CN111316405B (zh) | 2017-10-31 | 2018-10-31 | 用于3d nand和dram应用的含有-nh2官能团的氢氟烃 |
Country Status (6)
Country | Link |
---|---|
US (1) | US10410878B2 (zh) |
JP (1) | JP6906107B2 (zh) |
KR (1) | KR102398458B1 (zh) |
CN (1) | CN111316405B (zh) |
TW (1) | TWI744559B (zh) |
WO (1) | WO2019089766A1 (zh) |
Families Citing this family (26)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN110546742B (zh) * | 2017-04-06 | 2023-09-29 | 关东电化工业株式会社 | 干式蚀刻气体组合物及干式蚀刻方法 |
JP2019179889A (ja) * | 2018-03-30 | 2019-10-17 | 東京エレクトロン株式会社 | エッチング方法及びプラズマ処理装置 |
CN111627916B (zh) * | 2018-04-18 | 2021-03-30 | 长江存储科技有限责任公司 | 用于形成三维存储器设备的沟道插塞的方法 |
US20200203127A1 (en) * | 2018-12-20 | 2020-06-25 | L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude | Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes |
US11145504B2 (en) * | 2019-01-14 | 2021-10-12 | Applied Materials, Inc. | Method of forming film stacks with reduced defects |
CN114270476A (zh) * | 2019-06-24 | 2022-04-01 | 朗姆研究公司 | 选择性碳沉积 |
CN110349967B (zh) * | 2019-06-28 | 2020-09-11 | 长江存储科技有限责任公司 | 一种三维存储器的形成方法及三维存储器 |
US11384428B2 (en) * | 2019-07-19 | 2022-07-12 | Applied Materials, Inc. | Carbon layer covered mask in 3D applications |
JP2021040008A (ja) * | 2019-09-02 | 2021-03-11 | キオクシア株式会社 | 半導体装置の製造方法 |
EP4034688A1 (en) * | 2019-09-23 | 2022-08-03 | AGC Glass Europe | Fabric substrate bearing a carbon based coating and process for making the same |
KR102338512B1 (ko) * | 2019-10-30 | 2021-12-10 | 세메스 주식회사 | 기판 처리 장치 및 기판 처리 방법 |
CN110993499B (zh) | 2019-11-05 | 2022-08-16 | 北京北方华创微电子装备有限公司 | 一种刻蚀方法、空气隙型介电层及动态随机存取存储器 |
US11456180B2 (en) | 2019-11-08 | 2022-09-27 | Tokyo Electron Limited | Etching method |
CN116169018A (zh) | 2019-11-08 | 2023-05-26 | 东京毅力科创株式会社 | 蚀刻方法 |
SG10202010798QA (en) * | 2019-11-08 | 2021-06-29 | Tokyo Electron Ltd | Etching method and plasma processing apparatus |
CN112786441A (zh) | 2019-11-08 | 2021-05-11 | 东京毅力科创株式会社 | 蚀刻方法及等离子体处理装置 |
CN111154490A (zh) * | 2020-01-02 | 2020-05-15 | 长江存储科技有限责任公司 | 刻蚀气体、刻蚀方法及3d存储器件制造方法 |
US11177137B2 (en) * | 2020-01-17 | 2021-11-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wafer etching process and methods thereof |
US11171012B1 (en) * | 2020-05-27 | 2021-11-09 | Tokyo Electron Limited | Method and apparatus for formation of protective sidewall layer for bow reduction |
CN113808929A (zh) * | 2020-06-12 | 2021-12-17 | 中微半导体设备(上海)股份有限公司 | 一种半导体结构的形成方法 |
JP2022101060A (ja) * | 2020-12-24 | 2022-07-06 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
US20220223431A1 (en) * | 2020-12-28 | 2022-07-14 | American Air Liquide, Inc. | High conductive passivation layers and method of forming the same during high aspect ratio plasma etching |
KR20220122260A (ko) * | 2021-02-26 | 2022-09-02 | 에스케이스페셜티 주식회사 | 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법 |
KR20220126045A (ko) * | 2021-03-08 | 2022-09-15 | 에스케이스페셜티 주식회사 | 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법 |
CN113078043A (zh) * | 2021-03-24 | 2021-07-06 | 长鑫存储技术有限公司 | 非晶碳膜的形成方法及半导体结构 |
KR20220146239A (ko) * | 2021-04-23 | 2022-11-01 | 삼성전자주식회사 | 하드 마스크 구조체를 포함하는 반도체 소자 |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6495469B1 (en) * | 2001-12-03 | 2002-12-17 | Taiwan Semiconductor Manufacturing Company | High selectivity, low etch depth micro-loading process for non stop layer damascene etch |
CN104885203A (zh) * | 2012-10-30 | 2015-09-02 | 乔治洛德方法研究和开发液化空气有限公司 | 用于高纵横比氧化物蚀刻的氟碳分子 |
CN105580116A (zh) * | 2013-09-09 | 2016-05-11 | 乔治洛德方法研究和开发液化空气有限公司 | 使用蚀刻气体蚀刻半导体结构的方法 |
Family Cites Families (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH10303181A (ja) | 1997-04-28 | 1998-11-13 | Mitsui Chem Inc | 乾式プロセスガス |
US6013582A (en) | 1997-12-08 | 2000-01-11 | Applied Materials, Inc. | Method for etching silicon oxynitride and inorganic antireflection coatings |
KR20000004363A (ko) | 1998-06-30 | 2000-01-25 | 김영환 | 반도체 소자의 세정방법 |
KR20000027930A (ko) | 1998-10-29 | 2000-05-15 | 김영환 | 반도체 소자에서 산화방지를 위한 도전층 패턴의 표면처리 방법 |
JP2001077085A (ja) | 1999-09-03 | 2001-03-23 | Hitachi Ltd | 試料の表面処理方法 |
US6569774B1 (en) | 2000-08-31 | 2003-05-27 | Micron Technology, Inc. | Method to eliminate striations and surface roughness caused by dry etch |
EP1235265A1 (en) | 2001-02-23 | 2002-08-28 | Infineon Technologies AG | Method for etching a hardmask layer and a metal layer |
US6812043B2 (en) * | 2002-04-25 | 2004-11-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming a carbon doped oxide low-k insulating layer |
KR100919596B1 (ko) | 2008-02-21 | 2009-09-29 | (주) 휴브글로벌 | 에칭 첨가제 및 이를 함유하는 에칭용 조성물 |
KR20100070231A (ko) | 2008-12-17 | 2010-06-25 | 엘지디스플레이 주식회사 | 유기박막트랜지스터, 패턴형성방법, 이를 이용한 유기박막트랜지스터 및 액정표시소자 제조방법 |
TWI449084B (zh) | 2009-06-26 | 2014-08-11 | 羅門哈斯電子材料有限公司 | 形成電子裝置之方法 |
US20110253670A1 (en) | 2010-04-19 | 2011-10-20 | Applied Materials, Inc. | Methods for etching silicon-based antireflective layers |
US9659788B2 (en) * | 2015-08-31 | 2017-05-23 | American Air Liquide, Inc. | Nitrogen-containing compounds for etching semiconductor structures |
US20170110336A1 (en) * | 2016-12-31 | 2017-04-20 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq | Methods for minimizing sidewall damage during low k etch processes |
-
2017
- 2017-10-31 US US15/798,476 patent/US10410878B2/en active Active
-
2018
- 2018-10-18 TW TW107136777A patent/TWI744559B/zh active
- 2018-10-31 KR KR1020207014022A patent/KR102398458B1/ko active IP Right Grant
- 2018-10-31 CN CN201880070762.5A patent/CN111316405B/zh active Active
- 2018-10-31 JP JP2020524204A patent/JP6906107B2/ja active Active
- 2018-10-31 WO PCT/US2018/058472 patent/WO2019089766A1/en active Application Filing
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6495469B1 (en) * | 2001-12-03 | 2002-12-17 | Taiwan Semiconductor Manufacturing Company | High selectivity, low etch depth micro-loading process for non stop layer damascene etch |
CN104885203A (zh) * | 2012-10-30 | 2015-09-02 | 乔治洛德方法研究和开发液化空气有限公司 | 用于高纵横比氧化物蚀刻的氟碳分子 |
CN105580116A (zh) * | 2013-09-09 | 2016-05-11 | 乔治洛德方法研究和开发液化空气有限公司 | 使用蚀刻气体蚀刻半导体结构的方法 |
Also Published As
Publication number | Publication date |
---|---|
US10410878B2 (en) | 2019-09-10 |
US20190131140A1 (en) | 2019-05-02 |
TW201918470A (zh) | 2019-05-16 |
JP6906107B2 (ja) | 2021-07-21 |
TWI744559B (zh) | 2021-11-01 |
JP2021503172A (ja) | 2021-02-04 |
KR20200064145A (ko) | 2020-06-05 |
KR102398458B1 (ko) | 2022-05-13 |
WO2019089766A1 (en) | 2019-05-09 |
CN111316405A (zh) | 2020-06-19 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN111316405B (zh) | 用于3d nand和dram应用的含有-nh2官能团的氢氟烃 | |
JP7470834B2 (ja) | 半導体構造エッチング用ヨウ素含有化合物 | |
US11075084B2 (en) | Chemistries for etching multi-stacked layers | |
CN107924842B (zh) | 用于蚀刻半导体结构的含氮化合物 | |
US10529581B2 (en) | SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications | |
US7718081B2 (en) | Techniques for the use of amorphous carbon (APF) for various etch and litho integration schemes | |
KR102546860B1 (ko) | 저-k 에치 공정 동안 측벽 손상을 최소화하는 방법 | |
TWI838915B (zh) | 使用含矽氫氟烴之蝕刻方法 | |
WO2023069410A1 (en) | Etching methods using silicon-containing hydrofluorocarbons |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |