JP2021503172A - 3d nand及びdram応用のための−nh2官能基を含有するヒドロフルオロカーボン - Google Patents

3d nand及びdram応用のための−nh2官能基を含有するヒドロフルオロカーボン Download PDF

Info

Publication number
JP2021503172A
JP2021503172A JP2020524204A JP2020524204A JP2021503172A JP 2021503172 A JP2021503172 A JP 2021503172A JP 2020524204 A JP2020524204 A JP 2020524204A JP 2020524204 A JP2020524204 A JP 2020524204A JP 2021503172 A JP2021503172 A JP 2021503172A
Authority
JP
Japan
Prior art keywords
layer
etching
silicon
hydrofluorocarbon
darc
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020524204A
Other languages
English (en)
Other versions
JP6906107B2 (ja
Inventor
フイ・サン
ファブリジオ・マルケジアーニ
ジェームズ・ロイヤー
ネーサン・スタフォード
ラーフル・グプタ
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2021503172A publication Critical patent/JP2021503172A/ja
Application granted granted Critical
Publication of JP6906107B2 publication Critical patent/JP6906107B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Abstract

2,2,2−トリフルオロエタンアミン(C2H4F3N)、1,1,2−トリフルオロエタン−1−アミン(Iso−C2H4F3N)、2,2,3,3,3−ペンタフルオロプロピルアミン(C3H4F5N)、1,1,1,3,3−ペンタフルオロ−2−プロパンアミン(Iso−C3H4F5N)、1,1,1,3,3−ペンタフルオロ(2R)−2−プロパンアミン(Iso−2R−C3H4F5N)及び1,1,1,3,3−ペンタフルオロ−(2S)−2−プロパンアミン(Iso−2S−C3H4F5N)、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(C3H3F6N)及び1,1,2,3,3,3−ヘキサフルオロ−1−プロパンアミン(Iso−C3H3F6N)からなる群から選択されるヒドロフルオロカーボンエッチング化合物、又は1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(C3H3F6N)及び1,1,2,3,3,3−ヘキサフルオロ−1−プロパンアミン(Iso−C3H3F6N)からなる群から選択されるヒドロフルオロカーボンエッチング化合物を使用して、パターン化されたフォトレジスト層に対して誘電体反射防止コーティング(DARC)層を選択的にプラズマエッチングし、それによって、DARC層中にアパーチャーを生成する方法。【選択図】図1a

Description

関連出願への相互参照
本出願は、全ての目的に関して、参照によって全体として本明細書に組み込まれる、2017年10月31日出願の米国特許出願第15/798,476号の利益を主張する。
半導体デバイスエッチング応用におけるケイ素含有膜のプラズマエッチングのための窒素含有ヒドロフルオロカーボンエッチング化合物及びそれを使用するプラズマエッチング方法であって、特に、3D NAND及びDRAM応用のためのパターン化されたフォトレジスト(PR)層上の誘電体反射防止コーティング(DARC)層(例えばSiON層)の選択的プラズマエッチング、並びにハードマスク層に対するSiO/SiN層の交互層及びSiO/p−Siケイ素層の交互層の選択的プラズマエッチングのためのものが開示される。
半導体アーキテクチャが益々小型化及び複雑化している中、3D構造化されたNANDは、メモリセルが互いの上に積み重ねられて、より高い密度によりキャパシティが増加し、ギガバイトあたりのコストが低くなり、且つソリッドステートメモリに期待される信頼性、速度及び性能を提供するため、非常に望ましい。3D NAND製造の分野において、一般に、フォトレジストは、次の加工ステップにおいてパターン化される種々の材料の層のスタック上に適用される。フォトレジストの空間分解能を利用するために、フォトレジストの下に反射防止コーティング(ARC)層を使用すること、フォトレジスト曝露間にスタック中の他の層からの反射を抑制することが必要である。ARC層は、典型的にARCの1つ又は複数の層、例えば、有機組成物から構成される底部反射防止コーティング(BARC)層、及び無機組成物から構成される誘電体反射防止コーティング(DARC)層を意味する。最近、深紫外線(UV)フォトレジストと組み合せて良好に作用するその能力のため、無機DARCとしての酸窒化ケイ素(SiON)の使用に対する関心が増加している。
3D NAND応用において、リソグラフィ目的に関するPRマスク層下のSiON層の厚さは、SiON層下に添加されたパターン化される種々の材料の益々多くの層のため、増加する。PRマスク層は、典型的に、プラズマによって容易に損傷を与えられるC、H及びO元素からなるスピン−オン材料である。CF、CHF、C又はCなどの従来の乾燥エッチングガスは、SiON/PR選択性を制限していた。これらのガスは同位体的にエッチングし、そしてPRマスク層上にオーバーハング/損傷を生じる傾向があり;したがって、PR上の損傷又はPR上の構造変化が次のエッチングステップに影響を与えるであろう。したがって、PR変形が最小である状態でSiON/PRの高い選択性を達成することは困難であり、且つ産業から有意に注目されている。
プラズマエッチング条件下でのエッチングプロセス間のPRマスク層の損傷を抑制する試み、すなわち、フォトレジスト層に対するDARC層の選択性を改善する試みが行われている。
その上にフォトレジスト層が堆積されたDARC層又は誘電体層をエッチングするためにヒドロフルオロカーボン又はフルオロカーボンが使用されてきた。例えば、Yangらへの米国特許第6,495,469号明細書は、O/N/Arと一緒にCHF、CH又はCHFを利用してDARC層をエッチングすることによって、フォトレジスト層に対するDARC層及び誘電体層の選択性が約0.87から2.45へと改善されることを開示する。
さらに、酸化ケイ及び窒化ケイ素(SiO/SiN又はON)並びに酸化ケイ素及びポリケイ素(SiO/p−Si又はOP)のスタックは、NAND型フラッシュメモリにおけるトンネル及び電荷捕捉の重要な組成物である。複数のSiO/SiN又はSiO/p−Si層のスタックのエッチングは3D NAND応用において重要である。3D NANDのエッチングの課題は、可能な限り高い同様のエッチング速度で酸化物及び窒化物の層又は酸化物及びポリケイ素(p−Si)の層をエッチングする方法である。加えて、エッチングされた構造は、曲がり(bowing)のない直線垂直プロフィール及び低いラインエッチ粗さ(line etch roughness)(LER)を有するべきである。
SiO/SiN又はSiO/p−Si層をエッチングするための従来のエッチングガスとしては、cC、C、CF、CH、CHF及び/又はCHFが含まれる。C:Fの比率が増加すると(すなわち、C>C>CF)、選択性及びポリマー堆積速度が増加することは周知である。従来のエッチングの化学的性質は、少なくとも、プラズマエッチングプロセスの間の側壁上の不十分なエッチング抵抗ポリマー堆積のため、新規用途(例えば、3DNAND)で必要とされる20:1より高いアスペクト比を有するホール又はトレンチなどのフィーチャーを提供することが不可能であり得る。側壁−C−ポリマー(式中、xは0.01〜1の範囲であり、且つyは0.01〜4の範囲である)は、エッチングに影響され得る。結果として、エッチングされたパターンは垂直ではあり得ず、且つエッチング構造は歪曲、寸法の変化、パターン崩壊及び/又は増加した粗さを示し得る。
エッチングガスとして窒素含有化合物が使用されている。例えば、Trappへの米国特許第6,569,774号明細書及び同第7,153,779号明細書は、酸化ケイ層を通して高アスペクト比接触開口部を形成するプラズマエッチング法を開示する。酸化ケイ素層上にマスキング層を維持するためにエッチング間にポリマー表面材料を堆積するため、1種又はそれ以上の窒素を含んでなるガスを含む少なくとも1種のエッチングガスが使用される。−NHの化学的性質を含有するヒドロフルオロカーボン及びフルオロカーボンの一覧が開示されているが、構造式、CAS番号又は異性体情報は提供されない。Surlaらへの米国特許第9,659,788号明細書は、ケイ素含有膜のプラズマエッチングのために−NH含有エッチングガスを使用する窒素含有を開示しており、上記明細書中、p−Si及びa−Cに対して側壁保護及び良好な選択性を提供する1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)が開示されるが、これは、いずれの酸素添加もない状態でさえSiN膜に対する選択性を失う。
これまで、DARC層及びケイ素含有層のスタックの両方をエッチングするために窒素含有ヒドロフルオロカーボンを使用することは見出されていなかった。したがって、PRマスク層に対するDARC層及びa−C層に対するケイ素含有層の高い選択性を提供し得、且つ広範囲のプロセス条件に関して高いアスペクト比を維持し得る、プラズマエッチング応用において種々の材料の層のスタックをパターン化することにおいて使用するための新規エッチングガス組成物を開発することが必要とされている。
第1のケイ素含有層及び第2のケイ素含有層の交互層上に堆積された誘電体反射防止コーティング(DARC)層と、DARC層上に形成されたパターン化されたフォトレジスト層と、DARC層と交互層との間に形成されたハードマスク層とを有する、基材上に堆積されたケイ素含有層の構造のエッチング方法であって、2,2,2−トリフルオロエタンアミン(CN)及び2,2,3,3,3−ペンタフルオロプロピルアミン(CN)からなる群から選択されるヒドロフルオロカーボンエッチング化合物を使用して、パターン化されたフォトレジスト層に対してDARC層を選択的にプラズマエッチングし、DARC層中にアパーチャーを形成するステップと、ハードマスク層をエッチングするために適切なエッチングガスによって、パターン化されたフォトレジスト層及びDARC層に対してDARC層中のアパーチャーによって曝露されたハードマスク層を選択的にプラズマエッチングし、ハードマスク層中にアパーチャーを延在させるステップと、ヒドロフルオロカーボンエッチング化合物を使用して、ハードマスク層に対してハードマスク層中のアパーチャーによって曝露された交互層を選択的にプラズマエッチングし、交互層中にアパーチャーを延在させるステップとを含んでなり、第1のケイ素含有層及び第2のケイ素含有層が非選択的にエッチングされる方法が開示される。
酸化ケイ素及び窒化ケイ素の交互層上に堆積されたDARC層と、DARC層上に形成されたパターン化されたフォトレジスト層と、DARC層と交互層との間に形成されたハードマスク層とを有する、基材上に堆積されたケイ素含有層の構造のエッチング方法であって、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)を使用して、パターン化されたフォトレジスト層に対してDARC層を選択的にプラズマエッチングし、DARC層中にアパーチャーを形成するステップと、ハードマスク層をエッチングするために適切なエッチングガスによって、パターン化されたフォトレジスト層及びDARC層に対してDARC層中のアパーチャーによって曝露されたハードマスク層を選択的にプラズマエッチングし、ハードマスク層中にアパーチャーを延在させるステップと、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)を使用して、ハードマスク層に対してハードマスク層中のアパーチャーによって曝露された酸化ケイ素及び窒化ケイ素の交互層を選択的にプラズマエッチングし、酸化ケイ素及び窒化ケイ素の交互層中にアパーチャーを延在させるステップとを含んでなり、酸化ケイ素層及び窒化ケイ素層が非選択的にエッチングされる方法も開示される。
開示された方法のいずれも次の態様の1つ又はそれ以上を含み得る:
・ヒドロフルオロカーボンエッチング化合物が、窒素含有ヒドロフルオロカーボン化合物である;
・ヒドロフルオロカーボンエッチング化合物が、窒素含有有機フッ素化合物である;
・ヒドロフルオロカーボンエッチング化合物が、末端炭素において−NH官能基を含有する;
・ヒドロフルオロカーボンエッチング化合物が、末端炭素以外において−NH官能基を含有する;
・ヒドロフルオロカーボンエッチング化合物が、1つの窒素を含有する;
・プラズマ活性化されたヒドロフルオロカーボンエッチング化合物が、ケイ素含有膜と反応して、揮発性副産物を形成する;
・揮発性副産物が、反応チャンバーから除去される;
・DARC層が、ケイ素含有膜である;
・ケイ素含有膜が、酸素、窒素、炭素、水素、炭素又はそれらの組合せを含んでなる;
・ケイ素含有膜が、SiO(式中、xは0〜2の範囲であり、yは0〜1の範囲であり、zは0〜約1の範囲であり、且つkは0〜1の範囲である)である;
・ケイ素含有膜が、SiON層を含んでなる;
・ケイ素含有膜が、SiON層である;
・DARC層が、SiON層を含んでなる;
・DARC層が、SiON層である;
・DARC層が、無機誘電体キャップ層である;
・DARC層が、ポリマー層ではない;
・DARC層が、プラズマ損傷層ではない;
・SiON層が、パターン化されたフォトレジスト層から選択的にエッチングされる;
・パターン化されたフォトレジスト層からSiON層を選択的にエッチングする;
・DARC層上にBARC層を堆積する;
・BARC層が、ポリアミド及びポリスルホンを含んでなる;
・パターン化されたフォトレジスト層に対してBARC層を選択的にプラズマエッチングする;
・パターン化されたフォトレジスト層が、エステルのカルボキシル酸素に共有結合した第三非環式アルキル炭素又は第三脂環式炭素を含有するエステル基、アセタールフォト酸不安定性基、アミンベースの架橋成分、又は樹脂結合剤として使用するためのフェノール系樹脂を含むフォトレジスト樹脂から構成される;
・パターン化されたフォトレジスト層が、アミンベースの架橋剤、樹脂結合剤及び光活性成分を含む架橋成分から構成される;
・交互層が、酸化ケイ素、窒化ケイ素、ポリシリコン、結晶シリコン、SiOCH、SiON、Si(式中、a>0;b、c、d及びe≧0)の層又はそれらの組合せを含んでなる;
・交互層が、酸素原子、窒素原子、炭素原子、水素原子又はそれらの組合せを含んでなる;
・交互層が、ケイ素含有膜である;
・交互層が、酸化ケイ素の層及び窒化ケイ素の層を含んでなる;
・交互層が、酸化ケイ素及び窒化ケイ素の交互層を含んでなる;
・交互層が、酸化ケイ素及び窒化ケイ素の交互層である;
・交互層が、酸化ケイ素の層及びポリシリコンの層を含んでなる;
・交互層が、酸化ケイ素及びポリシリコンの交互層を含んでなる;
・交互層が、酸化ケイ素及びポリシリコンの交互層である;
・第1のケイ素含有層が、酸化ケイ素層を含んでなる;
・第1のケイ素含有層が、窒化ケイ素層を含んでなる;
・第1のケイ素含有層が、ポリシリコン層を含んでなる;
・第2のケイ素含有層が、酸化ケイ素層を含んでなる;
・第2のケイ素含有層が、窒化ケイ素層を含んでなる;
・第2のケイ素含有層が、ポリシリコン層を含んでなる;
・交互層上にハードマスク層を堆積する;
・ハードマスク層が、非晶質炭素(a−C)、ドープされたa−C、例えば、ホウ素、窒素、硫黄、塩素、フッ素若しくは金属(Al、Zr、Ti、W)がドープされた非晶質炭素の熱堆積プロセスCVD、プラズマ強化プロセスPECVD又はスプレーオン/スピンオン堆積層、ケイ素含有スピンオンマスク及び炭素含有スピンオンマスクからなる群から選択される;
・ハードマスク層が、a−C層である;
・ハードマスク層が、ドープされたa−C層である;
・ハードマスク層が、ケイ素含有スピンオンマスク層である;
・ハードマスク層が、炭素含有スピンオンマスク層である;
・ハードマスク層が、パターン化されたフォトレジスト層及びDARC層から選択的にエッチングされる;
・パターン化されたフォトレジスト層及びDARC層に対してハードマスク層を選択的にプラズマエッチングする;
・ハードマスク層が、cC、C、C、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、CFN、FNO、SO及びそれらの組合せからなる群から選択されるエッチングガスによってエッチングされる;
・交互層が、ハードマスク層から選択的にエッチングされる;
・交互層が、a−C層から選択的にエッチングされる;
・交互層が、ドープされたa−C層から選択的にエッチングされる;
・交互層が、ケイ素含有スピンオンハードマスク層から選択的にエッチングされる;
・交互層が炭素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素及び窒化ケイ素の交互層が、ハードマスク層から選択的にエッチングされる;
・酸化ケイ素及び窒化ケイ素の交互層が、a−C層から選択的にエッチングされる;
・酸化ケイ素及び窒化ケイ素の交互層が、ドープされたa−C層から選択的にエッチングされる;
・酸化ケイ素及び窒化ケイ素の交互層が、ケイ素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素及び窒化ケイ素の交互層が、炭素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素及びポリシリコンの交互層が、ハードマスク層から選択的にエッチングされる;
・酸化ケイ素及びポリシリコンの交互層が、a−C層から選択的にエッチングされる;
・酸化ケイ素及びポリシリコンの交互層が、ドープされたa−C層から選択的にエッチングされる;
・酸化ケイ素及びポリシリコンの交互層が、ケイ素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素及びポリシリコンの交互層が、炭素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素層が、ハードマスク層から選択的にエッチングされる;
・酸化ケイ素層が、a−C層から選択的にエッチングされる;
・酸化ケイ素層が、ドープされたa−C層から選択的にエッチングされる;
・酸化ケイ素層が、ケイ素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素層が、炭素含有スピンオンハードマスク層から選択的にエッチングされる;
・窒化ケイ素層が、ハードマスク層から選択的にエッチングされる;
・窒化ケイ素層が、a−C層から選択的にエッチングされる;
・窒化ケイ素層が、ドープされたa−C層から選択的にエッチングされる;
・窒化ケイ素層が、ケイ素含有スピンオンハードマスク層から選択的にエッチングされる;
・窒化ケイ素層が、炭素含有スピンオンハードマスク層から選択的にエッチングされる;
・ポリシリコン層が、ハードマスク層から選択的にエッチングされる;
・ポリシリコン層が、a−C層から選択的にエッチングされる;
・ポリシリコン層が、ドープされたa−C層から選択的にエッチングされる;
・ポリシリコン層が、ケイ素含有スピンオンハードマスク層から選択的にエッチングされる;
・ポリシリコン層が、炭素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素層が、窒化ケイ素層から選択的にエッチングされない;
・酸化ケイ素層が、ポリシリコン層から選択的にエッチングされない;
・窒化ケイ素層が、酸化ケイ素層から選択的にエッチングされない;
・ポリシリコン層が、酸化ケイ素層から選択的にエッチングされない;
・約10:1〜約200:1のアスペクト比を有するアパーチャーを製造する;
・約10:1〜約200:1のアスペクト比を有するケイ素含有膜中のアパーチャーを製造する;
・約10:1〜約200:1のアスペクト比を有するSiON層中のアパーチャーを製造する;
・約10:1〜約200:1のアスペクト比を有する交互層中のアパーチャーを製造する;
・約10:1〜約200:1のアスペクト比を有するSiO及びSiN層の交互層中のアパーチャーを製造する;
・約10:1〜約200:1のアスペクト比を有するSiO及びp−Si層の交互層中のアパーチャーを製造する;
・ポリマー層が、パターン化されたフォトレジスト層及びアパーチャーの側壁上に形成される;
・プラズマ活性化されたヒドロフルオロカーボンエッチング化合物が、x=0〜2である−NH含有イオンを含み、これがエッチングの間にパターン化されたフォトレジスト層及びアパーチャーの側壁上に堆積する;
・酸化剤を反応チャンバー中に導入する;
・酸化剤を反応チャンバー中に導入しない;
・酸化剤が、O、O、CO、CO、NO、NO、NO、SO、COS、HO及びそれらの組合せからなる群から選択される;
・酸化剤が、Oである;
・反応チャンバー中に導入する前に、ヒドロフルオロカーボンエッチングガス及び酸化剤を混合する;
・酸化剤とは別にヒドロフルオロカーボンエッチングガスを反応チャンバー中に導入する;
・酸化剤を連続的に反応チャンバー中に導入し、そしてヒドロフルオロカーボンエッチングガスを断続的に反応チャンバー中に導入する;
・酸化剤が、反応チャンバー中に導入されるヒドロフルオロカーボンエッチングガス及び酸化剤の全体積の約0.01%v/v〜約99.9%v/vを構成する;
・酸化剤が、反応チャンバー中に導入されるヒドロフルオロカーボンエッチングガス及び酸化剤の全体積の約0.01%v/v〜約10%v/vを構成する;
・不活性ガスを反応チャンバー中に導入する;
・不活性ガスを反応チャンバー中に導入しない;
・不活性ガスが、He、Ar、Xe、Kr及びNeからなる群から選択される;
・不活性ガスが、Arである;
・反応チャンバー中に導入する前に、ヒドロフルオロカーボンエッチングガス及び不活性ガスを混合し、混合物を製造する;
・不活性ガスとは別にヒドロフルオロカーボンエッチングガスを反応チャンバー中に導入する;
・不活性ガス連続的に反応チャンバー中に導入し、そしてヒドロフルオロカーボンエッチングガスを断続的に反応チャンバー中に導入する;
・不活性ガスが、反応チャンバー中に導入されるヒドロフルオロカーボンエッチングガス及び不活性ガスの全体積の約0.01%v/v〜約99.9%v/vを構成する;
・不活性ガスが、反応チャンバー中に導入されるヒドロフルオロカーボンエッチングガス及び不活性ガスの全体積の約90%v/v〜約99%v/vを構成する;
・追加的なエッチングガスを反応チャンバー中に導入することによって、選択性が改善される;
・追加的なエッチングガスが、cC、C、C、CF、CHF、CHF、CH、COS、CFI、CI、CI、F−C≡N、CS、SO、trans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン(trans−C)、cis−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン(cis−C)、ヘキサフルオロイソブテン(C)、trans−1,1,2,2,3,4−ヘキサフルオロシクロブタン(trans−C)、1,1,2,2,3−ペンタフルオロシクロブタン(C)、1,1,2,2−テトラフルオロシクロブタン(C)又はcis−1,1,2,2,3,4−ヘキサフルオロシクロブタン(cis−C)からなる群から選択される;
・追加的なエッチングガスが、CHFである;
・追加的なエッチングガスが、cCである;
・追加的なエッチングガスが、cCである;
・追加的なエッチングガスが、Cである;
・反応チャンバー中に導入する前に、ヒドロフルオロカーボンエッチングガス及び追加的なエッチングガスを混合する;
・追加的なエッチングガスとは別にヒドロフルオロカーボンエッチングガスを反応チャンバー中に導入する;
・反応チャンバー中に約0.01%v/v〜約99.99%v/vの追加的なエッチングガスを導入する;
・RF電力を適用することによってプラズマを活性化する;
・約25W〜約20,000Wの範囲のRF電力によってプラズマを活性化する;
・反応チャンバーは、約1mTorr〜約10Torrの範囲の圧力を有する;
・約0.1sccm〜約1slmの範囲のフロー速度でヒドロフルオロカーボンエッチングガスを反応チャンバー中に導入する;
・約−196℃〜約500℃の範囲の温度に基材を維持する;
・約−120℃〜約300℃の範囲の温度に基材を維持する;
・約−100℃〜約50℃の範囲の温度に基材を維持する;
・約−10℃〜約40℃の範囲の温度に基材を維持する;そして
・四重極質量分析装置、光学発光分光器、FTIR又は他のラジカル/イオン測定ツールによって、プラズマ活性化されたヒドロフルオロカーボンエッチング化合物を測定する。
−NH官能基を有する窒素含有ヒドロフルオロカーボンエッチング化合物も開示される。開示された窒素含有ヒドロフルオロカーボンエッチング化合物は次の態様の1つ又はそれ以上を含む:
・ヒドロフルオロカーボンエッチング化合物が、窒素含有有機フッ素化合物である;
・ヒドロフルオロカーボンエッチング化合物が、末端−NH官能基を含有する;
・ヒドロフルオロカーボンエッチング化合物が、非末端−NH官能基を含有する;
・ヒドロフルオロカーボンエッチング化合物が、1つの窒素原子を含有する;
・ヒドロフルオロカーボンエッチング化合物が、2,2,2−トリフルオロエタンアミン(CN)である;
・ヒドロフルオロカーボンエッチング化合物が、1,1,2−トリフルオロエタン−1−アミン(Iso−CN)である;
・ヒドロフルオロカーボンエッチング化合物が、2,2,3,3,3−ペンタフルオロプロピルアミン(CN)である;
・ヒドロフルオロカーボンエッチング化合物が、1,1,1,3,3−ペンタフルオロ−2−プロパンアミン(Iso−CN)である;
・ヒドロフルオロカーボンエッチング化合物が、1,1,1,3,3−ペンタフルオロ−(2R)−2−プロパンアミン(Iso−2R−CN)である;
・ヒドロフルオロカーボンエッチング化合物が、1,1,1,3,3−ペンタフルオロ−(2S)−2−プロパンアミン(Iso−2S−CN)である;
・ヒドロフルオロカーボンエッチング化合物が、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)である;
・ヒドロフルオロカーボンエッチング化合物が、1,1,2,3,3,3−ヘキサフルオロ−1−プロパンアミン(Iso−CN)である;
・約95体積%〜約99.999体積%の範囲の純度を有する;
・約10pptv(parts per trillion by volume)〜約5体積%の微量ガス不純物を含む;
・微量ガス不純物は、水を含む;
・微量ガス不純物は、COを含む;
・微量ガス不純物は、Nを含む;並びに
・窒素含有ヒドロカーボンエッチング化合物は、20ppmw未満の含水量を有する。
表示法及び命名法
以下の詳細な説明及び請求の範囲では、一般に、当該技術分野において周知である多数の略語、記号及び用語が利用されており、且つそれらには以下が含まれる。
本明細書で使用される場合、不定冠詞「a」又は「an」は、1又は1より多いことを意味する。
本明細書で使用される場合、本文又は請求項中の「約」又は「近似」又は「およそ」は、明記された値の±10%を意味する。
本明細書で使用される場合、「エッチ」又は「エッチング」という用語は、基材に対して直角にマスク面の特徴の端部に沿って垂直側壁が形成されるように、イオン衝撃が垂直方向での化学反応を促進するプラズマエッチングプロセス(すなわち、ドライエッチングプロセス)を意味する(Manos及びFlamm,Plasma Etching an Introduction,Academic Press,Inc.1989 pp.12−13)。エッチングプロセスによって、基材中に、アパーチャー、トレンチ、チャネルホール、ゲートトレンチ、階段状コンタクト、コンデンサーホール、コンタクトホール、階段状エッチ、スリットエッチ、埋込コンタクトエッチ、コンデンサーコンタクトエッチ、シャロートレンチアイソレーションエッチなどのアパーチャーが作成される。
「パターンエッチング」又は「パターン化されたエッチング」という用語は、ケイ素含有膜のスタック上のパターン化されたハードマスク層などの非平面構造をエッチングすることを意味する。
「パターンウエハ」又は「ウエハ」という用語は、パターンエッチングのために形成された、基材上にケイ素含有膜のスタック及びケイ素含有膜のスタック上にパターン化されたハードマスク層を有するウエハを意味する。
「マスク」という用語は、エッチングに抵抗する層を示す。ハードマスク層は、エッチングされる層の上に位置し得る。
「エッチング停止」という用語は、エッチングに抵抗する下の層を保護する、エッチングされる層の下の層を意味する。
「デバイスチャネル」という用語は、実際のデバイスの一部である層を意味し、そしてそれに対するいずれのダメージもデバイス性能に影響するであろう。
「アスペクト比」という用語は、トレンチの幅(又はアパーチャーの直径)に対するトレンチ(又はアパーチャー)の高さの比率を意味する。
「選択性」という用語は、別の材料のエッチング速度に対する1つの材料のエッチング速度の比率を意味する。「選択性エッチング」又は「選択的エッチング」という用語は、別の材料よりも1つの材料をエッチングすることを意味するか、或いは換言すれば、2つの材料間のエッチング選択性が1:1より高いか、又はそれ未満であることを意味する。
「独立して」という用語は、R基の記載に関して使用される場合、対象のR基が、同一又は異なる下付き文字又は上付き文字を有する他のR基に対して独立して選択されるのみならず、同一R基のいずれかの追加の種に対しても独立して選択されることも意味するものとして理解されるべきである。例えば、Mが原子であり、xが2又は3である式MR (NR(4−x)において、2個又は3個のR基は、互いに、又はR若しくはRと同一であってもよいが、同一である必要はない。さらに、他に特記されない限り、R基の値は、異なる式で使用される場合、互いに独立していることは理解されるべきである。
本明細書中、「膜」及び「層」という用語は、互換的に使用され得ることに留意されたい。膜は層に相当し得るか、又は層に関連し得ること、及び層が膜と呼ばれてもよいことが理解される。さらに、当業者は、「膜」又は「層」という用語が、本明細書で使用される場合、表面上に適用されたか、又は延展されたいくつかの材料の厚さを意味し、且つ表面が、ウエハ全体と同程度の大きいものからトレンチ又はライン程度の小さいものまでの範囲であり得ることを認識するであろう。
本明細書中、「エッチング化合物」及び「エッチングガス」という用語は、エッチング化合物が室温及び室圧において気体状態である場合、互換的に使用されてもよいことに留意されたい。エッチング化合物がエッチングガスに相当するか、又は関連し得ること、及びエッチングガスがエッチング化合物を意味し得ることは理解される。
「ビア」、「アパーチャー」及び「正孔」という用語は、互換的に使用されることもあり、且つ一般に、直接的な電気的接続のための物理的経路を提供する中間層絶縁体中の開口部を意味する。
「トレンチ」という用語は、一般に半導体基材中にエッチングされた幾何学的特徴を意味する。
本明細書で使用される場合、「NAND」という略語は、「否定AND(Negated AND)」又は「ノットAND(Not AND)」ゲートを意味し;「2D」という略語は、平面基材上の2次元ゲート構造を意味し;「3D」という略語は、ゲート構造が垂直方向に積み重ねられる、3次元又は垂直ゲート構造を意味する。
本明細書中、元素周期表からの元素の標準的な略語が使用される。元素がこれらの略語によって示され得ることは理解されるべきである(例えば、Siはケイ素を意味し、Nは窒素を意味し、Oは酸素を意味し、Cは炭素を意味し、Hは水素を意味し、Fはフッ素を意味する、など)。
Chemical Abstract Serviceによって割り当てられたユニークなCAS登録番号(すなわち、「CAS」)は、特に開示された分子をよりよく識別するために提供される。
SiN及びSiOなどのケイ素含有膜が、それらの適切な化学量論を示さずに明細書及び請求の範囲全体に列挙されることに留意されたい。ケイ素含有膜には、結晶質Si、ポリケイ素(p−Si若しくは多結晶質Si)又は非晶質ケイ素などの純粋なケイ素(Si)層;窒化ケイ素(Si)層;酸化ケイ素(Si)層;又はその混合物が含まれてよく、ここで、k、l、m及びnは、全てを含めて0.1〜6の範囲である。好ましくは、窒化ケイ素は、k及びIがそれぞれ0.5〜1.5の範囲であるSiである。より好ましくは、窒化ケイ素はSiである。本明細書中、以下の記載においてSiNは、Si含有層を表すために使用されてもよい。好ましくは、酸化ケイ素は、nが0.5〜1.5の範囲であり、且つmが1.5〜3.5の範囲であるSiである。より好ましくは、酸化ケイ素はSiOである。本明細書中、以下の明細書中のSiOは、Si含有層を表すのに使用され得る。ケイ素含有膜は、SiOCHを有する、Applied Materials,Inc.によるBlack Diamond II又はIII材料などの、有機ベース又は酸化ケイ素ベースの低誘電率誘電体材料などの酸化ケイ素ベースの誘電体材料であることも可能である。ケイ素含有膜は、a、b、cが0.1〜6の範囲であるSiを含み得る。ケイ素含有膜は、B、C、P、As及び/又はGeなどのドーパントも含み得る。
本発明の特性及び目的のさらなる理解のために、以下の詳細な説明は、添付の図面と関連して参照されるべきである。図面中、同様の要素は、同一又は類似の参照番号が与えられる。
図1aは、当該技術分野における3D NANDゲートを製造するための3D NANDスタック中の模範的な層の模範的な側断面図である。 図1bは、開示されたヒドロフルオロカーボンエッチング化合物を使用するプラズマエッチングによって、その中に形成された高アスペクト比ホールを示す、3D NANDスタック中の模範的な層の側断面図である。 図1cは、3D NANDスタック中のエッチングの間に側壁上に堆積したポリマーを示す、3D NANDスタック中の模範的な層の模範的な側断面図である。 図2は、堆積及びエッチング試験において適用された模範的な反応器システムの側断面図である。 図3は、開示された実施形態において使用される模範的なウエハパターンの模範的な側断面図である。 図4は、CHFによって生じた種の圧力に対する電子衝撃イオン化エネルギーを示すグラフである。 図5は、CHF、Ar及びOを使用する酸素フロー速度の関数としてのSiON及びPR膜のエッチング速度を示すグラフである。 図6は、CHF、Ar及びOによるエッチングの後のSiON=500nmパターン化ウエハ上のSEMイメージである。 図7は、CHF、CF、NH、Ar及びOを使用する酸素フロー速度の関数としてのSiON及びPR膜のエッチング速度を示すグラフである。 図8は、ONON及びOPOPをエッチングするために、従来のヒドロフルオロカーボン(CF及びCHF)、アンモニア(NH3)、Ar及びOを使用するSiO、SiN、p−Si及びa−Cのエッチング速度を示すグラフである。 図9は、CNによって生じた種の圧力に対する電子衝撃イオン化エネルギーを示すグラフである。 図10は、CN、Ar及びOを使用する酸素フロー速度の関数としてのSiON及びPR膜のエッチング速度を示すグラフである。 図11aは、Oを添加せず、CN及びArによるエッチング後のSiON表面のSEMイメージである。図11bは、Oを添加して、CN及びArによるエッチング後のSiON表面のSEMイメージである。 図12は、CNによって生じた種の圧力に対する電子衝撃イオン化エネルギーを示すグラフである。 図13は、CN、Ar及びOを使用する酸素フロー速度の関数としてのSiON及びPR膜のエッチング速度を示すグラフである。 図14は、CN、Ar及びOによるパターン化されたウエハのエッチングの後のSEMイメージである。 図15は、CNによって生じた種の圧力に対する電子衝撃イオン化エネルギーを示すグラフである。 図16は、CN、Ar及びOを使用する酸素フロー速度の関数としてのSiON及びPR膜のエッチング速度を示すグラフである。 図17aは、CN、Ar及び15sccmのOによるエッチングの後のSiON表面のSEMイメージである。図17bは、CN、Ar及び16sccmのOによるエッチングの後のSiON表面のSEMイメージである。 図18は、CN、Ar及びOによるパターン化されたウエハのエッチングの後のSEMイメージである。 図19は、それぞれCN及びCNによるSiON及びPR基材上の堆積速度を示すグラフである。 図20は、3D NAND応用におけるONON及びOPOPエッチングに関して種々のOフロー速度でCN及びArを使用するSiO、SiN、p−Si及びa−Cのエッチング速度を示すグラフである。 図21は、平面ウエハ上、種々のOフロー速度でCN及びArによるSiO、SiN、p−Si及びa−Cのエッチング速度を示すグラフである。
3D NAND及びDRAM応用においてケイ素含有膜をプラズマエッチングする方法が開示される。より特に、チャネルホール、階段状コンタクト、階段状エッチ、スリットエッチ、コンタクトホール、ゲートトレンチ、コンデンサーホール、埋込コンタクトエッチ、コンデンサーコンタクトエッチ、シャロートレンチアイソレーションエッチなどをその中に形成するための半導体構造を製造する方法が開示される。次の加工ステップにおいてパターン化される種々の材料の層のスタックの上にSiON層などのDARCキャップ層をエッチングする方法が開示される。ケイ素含有層の交互層などの種々の材料の層のスタックをエッチングする方法が開示される。DARC層のエッチングの先行加工ステップの後に、SiO/SiN(ONON)又はSiO/p−Si(OPOP)層などのケイ素含有層の層をエッチングする方法が開示される。
基材上に配置されたケイ素含有層の構造のエッチング方法が開示される。この構造には、第1のケイ素含有層及び第2のケイ素含有層の交互層上に堆積されたDARC層と、DARC層上に形成されたパターン化されたフォトレジスト層と、DARC層と交互層との間に形成されたハードマスク層とが含まれる。この方法は、ヒドロフルオロカーボンエッチング化合物を使用して、パターン化されたフォトレジスト層に対してDARC層を選択的にプラズマエッチングしてDARC層中にアパーチャーを製造するステップと、ハードマスク層をエッチングするために適切なエッチングガスによって、パターン化されたフォトレジスト層及びDARC層に対してDARC層中のアパーチャーによって曝露されたハードマスク層を選択的にプラズマエッチングし、ハードマスク層中にアパーチャーを延在させるステップと、上記ヒドロフルオロカーボンエッチング化合物を使用して、ハードマスク層に対してハードマスク層によって被覆されていない交互層を選択的にプラズマエッチングし、交互層中にアパーチャーを延在させるステップとを含んでなり、第1のケイ素含有層及び第2のケイ素含有層は非選択的にエッチングされる。すなわち、第1のケイ素含有層対第2のケイ素含有層のエッチングは、約1:1の選択性を有する。
開示されたヒドロフルオロカーボンエッチング化合物は、2,2,2−トリフルオロエタンアミン(CN)であってよい。開示されたヒドロフルオロカーボンエッチング化合物は、1,1,2−トリフルオロエタン−1−アミン(Iso−CN)であってよい。開示されたヒドロフルオロカーボンエッチング化合物は、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)であってよい。開示されたヒドロフルオロカーボンエッチング化合物は、1,1,2,3,3,3−ヘキサフルオロ−1−プロパンアミン(Iso−CN)であってよい。開示されたヒドロフルオロカーボンエッチング化合物は、2,2,3,3,3−ペンタフルオロプロピルアミン(CN)であってよい。開示されたヒドロフルオロカーボンエッチング化合物は、1,1,1,3,3−ペンタフルオロ−2−プロパンアミン(Iso−CN)であってよい。開示されたヒドロフルオロカーボンエッチング化合物は、1,1,1,3,3−ペンタフルオロ−(2R)−2−プロパンアミン(Iso−2R−CN)であってよい。開示されたヒドロフルオロカーボンエッチング化合物は、1,1,1,3,3−ペンタフルオロ−(2S)−2−プロパンアミン(Iso−2S−CN)であってよい。
開示されたヒドロフルオロカーボンエッチング化合物は、−NH官能基を有する。開示されたヒドロフルオロカーボンエッチング化合物は、窒素含有有機フッ素化合物であって、且つ1つの窒素を含む。
開示されたヒドロフルオロカーボンエッチング化合物は、商業的に入手可能であり、且つそれらの構造式、CAS番号及び沸点は表1に含まれる。当業者は、これらの化合物の合成方法が、提供されたCAS番号を使用して入手され得ることを認識するであろう。
Figure 2021503172
本明細書中、開示されたヒドロフルオロカーボンエッチング化合物、2,2,2−トリフルオロエタンアミン(CN)、1,1,2−トリフルオロエタン−1−アミン(Iso−CN)、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン、1,1,2,3,3,3−ヘキサフルオロ−1−プロパンアミン、2,2,3,3,3−ペンタフルオロプロピルアミン(CN)、1,1,1,3,3−ペンタフルオロ−2−プロパンアミン(Iso−CN)、1,1,1,3,3−ペンタフルオロ−(2R)−2−プロパンアミン(Iso−2R−CN)及び1,1,1,3,3−ペンタフルオロ−(2S)−2−プロパンアミン(Iso−2S−CN)は、SiON層を含むケイ素含有膜、並びにSiO層及びSiN層(すなわち、ONON)の交互層又はSiO層及びp−Si層(すなわち、OPOP)の交互層をエッチングし得る。開示されたヒドロフルオロカーボンエッチング化合物、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)及び1,1,2,3,3,3−ヘキサフルオロ−1−プロパンアミン(Iso−CN)は、SiON層を含むケイ素含有膜、並びにSiO層及びSiN層(すなわち、ONON)の交互層をエッチングし得る。
上記ヒドロフルオロカーボンエッチング化合物は、1つの−NH基を含む。−NH基は、末端炭素にあってもよい。−NH基は、末端炭素になくてもよい。以下の実施例に示されるように、本出願人は、プラズマ活性化エッチング化合物中のN含有種がエッチング構造上への保護ポリマーの堆積を補助するため、この−NH基が優れたエッチングプロフィールを生じることの補助となると考える。
開示されたヒドロフルオロカーボンエッチング化合物は、フォトレジスト層、ハードマスク層及びエッチングストップ層及びデバイスチャネル材料に高い選択性を提供し得、且つSiO、SiN及びp−Siなどのケイ素含有層に選択性を提供し得ず、DRAM及び3D NAND応用において1:1〜200:1の範囲のアスペクト比を有するものなどの高アスペクト比構造においてプロフィール変形をもたらし得ない。
開示されたヒドロフルオロカーボンエッチング化合物は、エッチングの広いプロセス条件に対して無限の選択性をもたらし得る。本明細書中、選択性は2つの異なる層のエッチング速度比を示す。例えば、パターン化されたフォトレジスト層に対するSiON層の選択性は、パターン化されたフォトレジスト層のエッチング速度で割ったSiONのエッチング速度である。開示されたヒドロフルオロカーボンエッチング化合物は、ケイ素含有膜及びパターン化されたフォトレジスト層の間の改善された選択性をもたらし得、且つフォトレジスト材料へのより少ない損傷をもたらし得る。
開示されたヒドロフルオロカーボンエッチング化合物は、95%v/vより高い純度で、好ましくは、99.99%v/vより高い純度で、より好ましくは、99.999%v/vより高い純度で提供される。開示されたヒドロフルオロカーボンエッチング化合物は、5体積%未満の微量ガス不純物を含有し、微量ガス不純物中には、体積で150ppm未満のN及び/又はHO及び/又はCOなどの不純物ガスが含まれる。好ましくは、プラズマエッチングガス中の含水量は、重量で20ppmw未満である。精製された生成物は、蒸留、及び/又は4Åモレキュラーシーブなどの適切な吸着剤を通してガス又は液体を通過させることによって製造され得る。
本出願人は、2〜3個の炭素原子を有する分子が、C分子よりもエッチングプロセス間に良好な側壁保護をもたらし得ると考える。側壁保護に関して、本出願人は、それらがより厚いパッシベーション層を生じるであろうと考えるため、C分子が好ましい。模範的なC NH−含有エッチング化合物としては、CN及びCN及びそれらの異性体が含まれる。しかしながら、C分子は、反応器への配達がより容易であり得、又はいくつかの用途に関して、より薄いパッシベーション層が好ましくなり得る。模範的なC NH−含有エッチング化合物としては、CN及びその異性体が含まれる。
開示されたヒドロフルオロカーボンエッチング化合物は、10%v/v未満、好ましくは、1%v/v未満、より好ましくは、0.1%v/v未満、さらにより好ましくは、0.01%v/v未満のいずれかのその異性体を含有し、これは、異性体を除去するためのガス又は液体の蒸留によって精製され得、且つより良好なプロセス繰返し性を提供し得る。
代わりに、開示されたヒドロフルオロカーボンエッチング化合物は、特に異性体混合物が改善されたプロセスパラメーターを提供する場合、又は標的異性体の単離が非常に困難であるか、若しくは費用がかかる場合、5%v/v〜50%v/vのその異性体を含み得る。例えば、開示されたヒドロフルオロカーボンエッチング化合物は、約50%v/v〜約75%v/vの2,2,3,3,3−ペンタフルオロプロピルアミン(CN)及び約25%v/v〜約50%v/vの2,2,3,3−テトラフルオロプロピオニトリルを含んでなり得る。異性体の混合物は、反応チャンバーへの2以上のガスラインの必要性を減少させ得る。
開示されたヒドロフルオロカーボンエッチング化合物は、室温及び室圧において気体状態である。開示されたヒドロフルオロカーボンエッチング化合物は、SiON、SiO、SiN、p−Si膜などのケイ素含有膜のプラズマエッチングに適切である。開示されたヒドロフルオロカーボンエッチング化合物は、高アスペクト比構造の良好なプロフィールと一緒に、フォトレジスト及びハードマスク上に損傷をほとんど誘導しないか、又は全く誘導しないため、開示されたヒドロフルオロカーボンエッチング化合物は、現在利用可能なフォトレジスト及びハードマスク材料に対して適合性があるのみならず、次世代のフォトレジスト及びハードマスク材料に対しても適合性がある。これらの特性を達成するために、開示されたヒドロフルオロカーボンエッチング化合物は、エッチングプロセス間のエッチングプロフィールへの酸素及びフッ素ラジカルの直接的影響又は衝撃を減少することを補助するためにエッチングの間にエッチングされた構造の側壁上にエッチング抵抗性ポリマー層を堆積してもよい。好ましくは、開示されたヒドロフルオロカーボンエッチング化合物は、反応器/チャンバー中への送達のため、エッチングプロセスの間、適切に揮発性及び安定性である。
材料適合性試験は、いずれかの開示されたヒドロフルオロカーボンエッチング化合物がチャンバー材料と反応して、そして短期又は長期使用によってチャンバーの性能を低下させるかどうかを決定するために重要である。チャンバー、バルブなどの一部に関連する重要な材料としては、ステンレス鋼、アルミニウム、ニッケル、PCTFE、PVDF、PTFE、並びに他の金属及びポリマーが含まれる。時には、これらの材料は、それらの低下を強化し得る、高温、例えば、20℃より高い温度、及び高圧、例えば、1atmより高い圧力に暴露される。測定方法には、目視検査、重量測定、SEMにおけるナノメートルスケールでの変化の測定、引張強度、硬度などが含まれ得る。
開示されたヒドロフルオロカーボンエッチング化合物は、SiO/SiN若しくはSiO/p−Siの交互層を有する3D NANDフラッシュメモリ、又はDRAMメモリなどの半導体構造上に被覆されたSiON膜などのケイ素含有膜のプラズマエッチングのために使用されてもよい。開示されたヒドロフルオロカーボンエッチング化合物は、基材上のケイ素含有膜、例えばSiO/SiN若しくはSiO/p−Siの交互層、又はDRAMメモリ、例えば酸化ケイ素層のプラズマエッチングのためにも使用されてもよい。開示されたプラズマエッチング方法は、半導体デバイス、例えばNAND又は3D NANDゲート、或いはフラッシュ又はDRAMメモリ又はトランジスタ、例えばフィン型電界効果トランジスタ(fin−shaped field−effect transistor)(FinFET)、ラテラルゲート−オール−アラウンド(Lateral Gate−All−Around)(LGAA)デバイス及びバーティカルゲート−オール−アラウンド(Vertical Gate−All−Around)(VGAA)デバイス、バルク相補型金属酸化物半導体(complementary metal−oxide−semiconductor)(バルクCMOS)、完全空乏シリコン−オン−インシュレーター(fully depleted silicon−on−insulator)(FD−SOI)構造、Monolithich 3D(M3D)の製造において有用であり得る。開示されたヒドロフルオロカーボンエッチング化合物は、異なるフロントエンド(front end of the line)(FEOL)及びバックエンド(back end of the line)(BEOL)エッチング応用、並びに低k応用などの他の領域の用途において有用であり得る。さらに、開示されたヒドロフルオロカーボンエッチング化合物は、基材上のロジックにメモリを相互連結させるため、3D Si貫通電極(through silicon aperture)(TSV)エッチング用途においてSiをエッチングするために使用され得る。
プラズマエッチング法は、その中に配置された基材を有する反応チャンバーを提供することを含む。反応チャンバーは、限定されないが、反応性イオンエッチング(RIE)、単一又は複数周波数RF源による容量結合プラズマ(CCP)、誘導結合プラズマ(ICP)、電子サイクロトロン共鳴(ECR)又はマイクロ波プラズマ反応器、或いは選択的にケイ素含有膜の一部を除去することが可能であるか、又は活性種を生成することが可能である他の種類のエッチングシステムなどのその中でエッチング法が実行されるデバイス中のいずれかのエンクロージャ又はチャンバーであり得る。当業者は、異なるプラズマ反応チャンバー設計によって異なる電子温度制御が提供されることを認識するであろう。適切な商業的に入手可能なプラズマ反応チャンバーとしては、限定されないが、eMAX(商標)の商標で販売されるApplied Materials磁気強化反応性イオンエッチャー、又は2300(登録商標)Flex(商標)の商標名で販売されるLam Research Dual CCP反応性イオンエッチャー誘電体エッチング製品系統が含まれる。それらの中のRF電力は、プラズマ特性を制御し、それによって、エッチング性能(選択性及びダメージ)をさらに改善するために断続的であってもよい。
代わりに、プラズマ処理された反応物は、反応チャンバーの外部で生成され得る。MKS InstrumentsのASTRONi(登録商標)反応性ガス発生機は、反応チャンバー中への通過の前に反応物を処理するために使用され得る。2.45GHz、7kWプラズマ電力及び約0.5Torr〜約10Torrの範囲の圧力で作動させると、反応物Oは2つのO・ラジカルに分解し得る。好ましくは、リモートプラズマは、約1kW〜約10kW、より好ましくは、約2.5kW〜約7.5kWの範囲の電力で生成され得る。
反応チャンバーは、1つ又は1つより多くの基材を含有し得る。例えば、反応チャンバーは、25.4mm〜450mmの直径を有する1〜200のケイ素ウエハを含有し得る。基材は、半導体、光起電、フラットパネル又はLCD−TFTデバイス製造において使用されるいずれかの適切な基材であってよい。適切な基材の例としては、ケイ素、シリカ、ガラス、サファイア、ゲルマニウムなどのウエハ又はGaAsウエハなどのIII−V族化合物が含まれる。ウエハは、ケイ素含有膜又は層を含む、以前の製造ステップからのその上の複数の膜又は層を有するであろう。層はパターン化されていても、又はされていなくてもよい。適切な層の例としては、限定されないが、ケイ素(非晶質ケイ素、p−Si、結晶質ケイ素、これらのいずれもB、C、P、As及び/又はGeによってさらにp−ドープ又はn−ドープされていてよい)、シリカ、窒化ケイ素、酸化ケイ素、オキシ窒化ケイ素、Si(式中、a>0;b、c、d、e≧0)、マスク層材料、例えば、非晶質炭素、ドープされた非晶質炭素、例えば、ホウ素、窒素、硫黄、塩素、フッ素若しくは金属(Al、Zr、Ti、W)によってドープされた非晶質炭素、反射防止コーティング、フォトレジスト材料、タングステン、窒化チタン、窒化タンタル又はそれらの組合せ、エッチング停止層、例えば、窒化ケイ素、ポリケイ素、結晶質ケイ素、炭化ケイ素(SiC)、炭窒化ケイ素(SiCN)又はそれらの組合せ、デバイスチャネル材料、例えば、結晶質ケイ素、エピタキシャルケイ素、ドープされたケイ素、Si(式中、a>0;b、c、d、e≧0)、或いはそれらの組合せが含まれる。酸化ケイ素層は、有機ベースか、又は酸化ケイ素ベースの低誘電率誘電体材料(例えば、多孔性SiCOH膜)などの誘電体材料を形成し得る。模範的な低誘電率誘電体材料は、商標名Black Diamond II又はIIIでApplied Materialsによって販売される。さらに、タングステン又は貴金属(例えば、白金、パラジウム、ロジウム又は金)を含む層が使用されてもよい。さらに、ケイ素含有膜の例は、Si(式中、a>0;b、c、d、e≧0)であり得る。明細書及び請求の範囲全体で、ウエハ及びそのいずれかの関連層は基材と記載される。
プラズマエッチング条件下で半導体構造を製造するための開示されたヒドロフルオロカーボンエッチング化合物を使用する方法も開示される。開示された方法は、Si−含有膜をプラズマエッチングするためのN−含有ヒドロフルオロカーボンエッチング化合物の使用をもたらす。開示された方法は、パターン化されたフォトレジストマスク層又はパターンハードマスク層の損傷の抑制、パターン化されたフォトレジストマスク層又はパターンハードマスク層の保護、或いは基材中にアパーチャー、ホール又はトレンチを形成する間のパターン化されたフォトレジストマスク層又はパターンハードマスク層の補強ももたらす。開示された方法は、3D NAND及びDRAM応用などにおける半導体の製造において有用である。
開示された方法は、第1のケイ素含有層及び第2のケイ素含有層の交互層上のDARC層、DARC層上のパターン化されたフォトレジスト層、及びDARC層と交互層との間のハードマスク層を有する半導体構造を形成することと、開示されたヒドロフルオロカーボンエッチングガスを反応器中に導入することと、開示されたヒドロフルオロカーボンエッチングガスをプラズマ活性化することと、活性化された開示されたヒドロフルオロカーボンエッチングガスを使用して、パターン化されたフォトレジスト層に対してDARC層を選択的にエッチングし、DARC層中にアパーチャーを形成することと、ハードマスク層をエッチングするために適切なエッチングガスによって、DARC層中のアパーチャーによって曝露されたハードマスク層を選択的にプラズマエッチングし、ハードマスク層中にアパーチャーを延在させることと、上記で開示されたヒドロフルオロカーボンエッチングガスを使用して、ハードマスク層に対してハードマスク層によって被覆されていない交互層を選択的にプラズマエッチングし、交互層中にアパーチャーを延在させることとを含み、第1のケイ素含有層及び第2のケイ素含有層は非選択的にエッチングされる。開示された方法は、DARC層、ハードマスク層及び交互層をエッチングしている間にアパーチャーの側壁上にポリマー層を同時に堆積するステップも含む。
その中にアパーチャーを形成しながら、半導体構造上のパターン化されたフォトレジスト層又はパターンハードマスクを補強するための開示された方法は、エッチング標的層上のDARC層、及びDARC層上のパターン化されたフォトレジスト層を有する半導体構造を形成することと、2,2,2−トリフルオロエタンアミン(CN)、1,1,2−トリフルオロエタン−1−アミン(Iso−CN)、2,2,3,3,3−ペンタフルオロプロピルアミン(CN)、1,1,1,3,3−ペンタフルオロ−2−プロパンアミン(Iso−CN)、1,1,1,3,3−ペンタフルオロ−(2R)−2−プロパンアミン(Iso−2R−CN)及び1,1,1,3,3−ペンタフルオロ−(2S)−2−プロパンアミン(Iso−2S−CN)、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)及び1,1,2,3,3,3−ヘキサフルオロ−1−プロパンアミン(Iso−CN)からなる群から選択されるヒドロフルオロカーボンエッチング化合物を使用して、パターン化されたフォトレジスト層に対してDARC層を選択的にプラズマエッチングし、DARC層中にアパーチャーを形成するが、パターン化されたフォトレジスト層及びアパーチャーの側壁上にポリマー層を同時に堆積することとを含む。
ケイ素含有層の交互層を有する半導体構造を製造するための開示された方法は、基材上でケイ素含有層の交互層上にパターン化されたハードマスク層を有する半導体構造を形成することと、2,2,2−トリフルオロエタンアミン(CN)、1,1,2−トリフルオロエタン−1−アミン(Iso−CN)、2,2,3,3,3−ペンタフルオロプロピルアミン(CN)、1,1,1,3,3−ペンタフルオロ−2−プロパンアミン(Iso−CN)、1,1,1,3,3−ペンタフルオロ−(2R)−2−プロパンアミン(Iso−2R−CN)及び1,1,1,3,3−ペンタフルオロ−(2S)−2−プロパンアミン(Iso−2S−CN)、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)及び1,1,2,3,3,3−ヘキサフルオロ−1−プロパンアミン(Iso−CN)からなる群から選択されるヒドロフルオロカーボンエッチング化合物を使用して、パターン化されたハードマスク層に対してケイ素含有層の交互層を選択的にプラズマエッチングし、ケイ素含有層の交互層中にアパーチャーを形成するが、ハードマスク層及びアパーチャーの側壁上にポリマー層を同時に堆積することとを含み、第1のケイ素含有層及び第2のケイ素含有層は非選択的にエッチングされる。本明細書中、第1のケイ素含有層は酸化ケイ素層を含んでなり、且つ第2のケイ素含有層は窒化ケイ素層を含んでなり、且つ逆もまた同様であり;且つ第1のケイ素含有層は、酸化ケイ素層を含んでなり、且つ第2のケイ素含有層はポリケイ素層を含んでなり、且つ逆もまた同様である。
ケイ素含有層の交互層を有する半導体構造を製造するための開示された方法は、基材上でケイ素含有層の交互層上にパターン化されたハードマスク層を有する半導体構造を形成することと、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)を使用して、パターン化されたハードマスク層に対してケイ素含有層の交互層を選択的にプラズマエッチングし、ケイ素含有層の交互層中にアパーチャーを形成するが、ハードマスク層及びアパーチャーの側壁上にポリマー層を同時に堆積することとを含み、第1のケイ素含有層及び第2のケイ素含有層は非選択的にエッチングされる。本明細書中、第1のケイ素含有層は酸化ケイ素層を含んでなり、且つ第2のケイ素含有層は窒化ケイ素層を含んでなり、且つ逆もまた同様である。
以下は、エッチングのために開示されたヒドロフルオロカーボンエッチングガスが適用され得る半導体のパターン化された基材の模範的な実施形態である。
一実施形態において、図1aに示されるように、基材100は複数の層のスタックを含み得る。示されるように、n対のSiO/SiN又はONON(すなわち、104a/104b)の交互層のスタック104は、ケイ素ウエハ102(すなわち、TCAT技術又はp−BiCS技術などのONON)上に堆積される。ここでnは整数である。好ましくは、n=96である。より好ましくは、n=128若しくは256又はそれ以上である。当業者は、基材100のスタック中のSiO/SiN104の交互層の数は変動してもよいことを認識するであろう。ハードマスク層106は、SiO/SiNの交互層104上に堆積される。無機誘電体キャップ層108は、ハードマスク層106上に堆積され、そしてDARC層として機能する。有機BARC層110は、感光性の層をパターン化の間の光の反射をさらに減少させるために、無機誘電体キャップ層108上に適用される。有機BARC層110は、典型的に、ポリアミド及びポリスルホンを含んでなり、その上にパターン化されたフォトレジスト層112(すなわち、感光性の層)がコーティングされる。パターン化されたフォトレジスト層112は、フォトリソグラフィー操作によって形成された複数のパターン化されたホール(1つのホール114がここに示される)を含み、それは3D NANDスタック又はDRAM応用において複数の凹部領域(例えば、コンタクトホール、チャネルホール、トレンチなど)を画定する。
ここで当業者は、ケイ素ウエハ102は、ゲルマニウムウエハ又はSi−Geウエハで、或いはタングステン(W)ウエハで置き換えられてもよく、いくつかの応用において、SiN層104bは、p−Si層(例えば、SiO/p−Si又はOPOP)によって置き換えられてもよく、それによって、SiO/p−Si又はOPOPの整数n対の交互層のスタックがケイ素ウエハ上に形成されるであろう。
SiO/SiN(又はSiO/p−Si)の交互層104は、酸化ケイ素、窒化ケイ素、ポリケイ素、結晶質ケイ素、SiOCN、SiON、Si(式中、a>0;b、c、d及びe≧0)の層又はそれらの組合せを含み得る。SiO/SiN(又はSiO/p−Si)の交互層104は、Mg、Ca、Sr、Ba、Nb、Ta、Al、Si、Ge、Ti、Zr又はHfなどの第4族遷移金属、La、Y、Sc、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb及びLuなどのランタニド金属又はそれらの組合せを含有する材料を含み得る。或いは、SiO、SiN又はp−Si層は、Mg、Ca、Sr、Ba、Nb、Ta、Al、Si、Ge、Ti、Zr又はHfなどの第4族遷移金属、La、Y、Sc、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb及びLuなどのランタニド金属又はそれらの組合せを含有する材料を含み得る。
ハードマスク層106は、SiO/SiN層エッチングの間にエッチング抵抗を改善するために、C及びH、並びにホウ素、窒素、酸素、硫黄、塩素、フッ素、アルミニウム、タングステン、チタン、ジルコニウムなどの他の元素を含有し得る。ホウ素ドープされた炭素状材料の一例は、アドバンスドパターニングフィルム(advanced patterning film)(APF)の名称で、より特に、ホウ素ドープされたAPFcとして知られている材料のAPF属の種で、Santa Clara,CAのApplied Materials,Inc.から入手可能である。ハードマスク層106は、a−C、ドープされたa−C、例えば、ホウ素、窒素、硫黄、塩素、フッ素若しくは金属(Al、Zr、Ti、W)がドープされた非晶質炭素の熱CVD、PECVD又はスプレーオン/スピンオン堆積層であってよい。ハードマスク層106は、ケイ素含有スピンオンマスク、炭素含有スピンオンマスク、フォトレジストなどであってもよい。
無機誘電体キャップ層108は、酸窒化ケイ素(SiON)層を形成するために、少なくともケイ素を含んでなり、且つ典型的に窒素、酸素をさらに含む、単一膜又は膜の多層スタックであってよい。SiONは、典型的に、限定されないが、xが0〜2の範囲であり、yが0〜1の範囲であり、zが0〜約1の範囲であり、且つkが0〜1の範囲であるSiOの式を有する。SiON DARC層の組成を変更することによって、フォトレジスト層のイメージングの間のフォトレジスト上への反射を制御することができる。SiOがARCとして使用される場合、x、y及びzは、典型的に約0.2〜約0.5の範囲である。SiONは、一般に、光を吸収するその能力のため、フォトリソグラフィーの間に深紫外線(DUV)フォトレジストと組み合わせて、反射防止コーティングとして使用される。スピンオン有機ポリマーなどの従来のARC層は、膜の吸収特性を利用することによって、望ましくない反射を抑制するために使用されている。しかしながら、スピンオン膜の自己平坦化特性のため、有機ARC膜は、トポロジー上で厚さ変動を有し、したがって、膜を通して異なる吸収性をもたらす。ポリマーARCにおける厚さ変動は、有機フォトレジストと有機ARC膜との間に最小限のエッチング選択性があるため、エッチングの問題をもたらすであろう。したがって、相シフト削除を使用することによって機能する誘電体ARCが導入された。基材から、そしてARC表面からの反射が互いに対して相から180°である場合、反射は破壊界面によって互いを削除するであろう。DARCを通過する波の半波長の相シフトは、DARCの厚さ及び屈折指数を最適化することによって達成することができる。無機誘電体キャップ層108の組成、光学特性及び厚さは、特に特徴のフォトリソグラフィックパターニングの間に利用される波長に関して、最小反射及び高コントラストを提供するように調整され得る。無機誘電体キャップ層108は、CVD又はPECVD法によって堆積されてよい。SiO/SiNの交互層104の対の数(すなわち、n)が大きくなると、無機誘電体キャップ層108(例えば、SiON層)の厚さは厚くなり得る。模範的な実施形態において、無機誘電体キャップ層108は、約5nm〜約1000nm、より特に10nm〜800nm、さらにより特に10nm〜500nmの厚さで形成される。いくつかの実施形態において、ハードマスク層106上には無機誘電体キャップ層108のみが存在し得る。代わりの実施形態において、ハードマスク層106上には有機BARC110及び無機誘電体キャップ層108の両方が存在する必要があり得る。無機誘電体キャップ層108は、ポリマー層又はプラズマ損傷層のいずれもでないDARC層である。
無機誘電体キャップ層108は、DARC層として機能し、且つ/又は次の有機膜、例えば、スピンオン技術によって適用された有機BARC層110の接着性を改善する。有機膜は、さもなければハードマスク層106、例えばホウ素がドープされたa−Cハードマスク層に良好に接着し得ない。無機誘電体キャップ層108は、開示されたヒドロフルオロカーボンエッチング化合物によってフォトレジスト層112におけるパターンをハードマスク層106に移して、ハードマスク層106上に無機誘電体キャップ層108のマスクパターンを形成する役割も果たす。凹部領域は、さらにi)cC、C、C、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、CFN、FNO、SO及びその組合せから選択されるエッチングガスなどのハードマスクをエッチングするために適切なエッチングガスによって無機誘電体キャップ層108に対して選択的にハードマスク層106をエッチングすること、及びii)プラズマエッチング条件下でケイ素含有膜をエッチングするために適切な開示されたヒドロフルオロカーボンエッチング化合物又は他のエッチングガスによってハードマスク層106中に形成されたマスクパターンに対して選択的にケイ素含有膜(例えば、SiO/SiN又はONONの交互層104)をエッチングすることによって形成され得る。
パターン化されたフォトレジスト層110は、ポジ型及びネガ型材料の両方を含み得る。適切なポジ型材料としては、レジスト層のコーティング層の暴露領域を未暴露領域よりも湿式現像剤中により溶解性にさせる、組成物の1つ又はそれ以上の成分、酸不安定性基のフォト酸によって促進される脱保護反応を受けるポジ型化学増幅フォトレジストが含まれる。フォトレジスト樹脂の典型的なフォト酸不安定性基としては、エステルのカルボキシル酸素に共有結合した第三非環式アルキル炭素(例えばt−ブチル)又は第三脂環式炭素(例えばメチルアダマンチル)を含有するエステル基が含まれる。アセタールフォト酸不安定性基も使用される。
適切なネガ型レジストは、典型的に架橋成分を有するであろう。架橋成分は、典型的に別個のレジスト成分として存在する。メラミン、例えばCymelメラミン樹脂などのアミンベースの架橋剤が典型的である。実施形態において有用なネガ型フォトレジスト組成物は、酸への暴露時に硬化、架橋又は固まるであろう材料と、開示された実施形態の光活性成分との混合物を含む。特に有用なネガ型組成物は、フェノール樹脂などの樹脂結合剤、架橋剤成分及び光活性成分を含んでなる。樹脂結合剤成分として使用するための典型的なフェノール樹脂としては、ノボラック及びポリ(ビニルフェノール)が含まれる。典型的な架橋剤としては、メラミンを含むアミンベースの材料、グリコールウリル、ベンゾグアナミンベースの材料及び尿素ベースの材料が含まれる。メラミンホルムアルデヒド樹脂が一般に最も典型的である。そのような架橋剤は商業的に入手可能であり、例えば、Cymel 300、301及び303の商品名でCytec Industriesによって販売されるメラミン樹脂である。
当業者は、基材100中の層のスタックが模範的な目的のみのために提供されること、そして開示されたヒドロフルオロカーボンエッチングガスが、例えばa−Cマスク層106がTiN層に置き換えられるスタックに関して、他の種類の層のスタックをエッチングするために使用され得ることを認識するであろう。
図1bは、開示されたヒドロフルオロカーボンエッチング化合物を使用するプラズマエッチングによって、その中に形成された高アスペクト比ホールを示す、3D NANDスタック中の模範的な層の側断面図である。アパーチャー214は、i)プラズマエッチング条件下で開示されたヒドロフルオロカーボンエッチング化合物によって、パターン化されたフォトレジスト層212に対して選択的に無機誘電体キャップ層208をエッチングすること;ii)プラズマエッチング条件下でハードマスク層をエッチングするために適切なエッチングガスによって、パターン化されたフォトレジスト層212及び無機誘電体キャップ層208に対して選択的にハードマスク層206をエッチングすること;並びにiii)開示されたヒドロフルオロカーボンエッチング化合物又はプラズマエッチング条件下でケイ素含有層の交互層204をエッチングするために適切な他のエッチングガスによって、パターン化されたフォトレジスト層212、無機誘電体キャップ層208及びハードマスク層206に対して選択的にケイ素含有層の交互層204をエッチングすることによって形成される。本出願人は、アパーチャー214が、チャネルホール及びコンタクトホールエッチング応用において1:1〜50:1の範囲のアスペクト比、好ましくは約1:1〜200:1の範囲のアスペクト比を有し得ると考える。
ハードマスク層206をエッチングするために適切なエッチングガスは、CF、C、C、Cなどのフルオロカーボンエッチングガス(C、xは整数であり、y=2x+2又はy=2x又はy=2x−2である)、CHF、CH、CHFなどのヒドロフルオロカーボンエッチングガス(C、x及びyは整数であり、z=2x−y+2又はz=2x−y又はz=2x−y−2である)、O、COSなどの酸化剤、CFI、Cl、HBr、SF、NFなどのフッ素化合物、Nなどの不活性ガス、或いはそれらの組合せを含み得る。
ケイ素含有膜の交互層をエッチングするために適切な他のエッチングガスは、フルオロカーボン(C、xは整数であり、y=2x+2又はy=2x又はy=2x−2である)又はヒドロフルオロカーボン(C、x及びyは整数であり、z=2x−y+2又はz=2x−y又はz=2x−y−2である)、例えば、cC、C、C、CF、CHF、CHF、CH又は他のフッ素化合物、或いはS含有及びO含有化合物、例えば、COS、CFI、CI、CI、FCN、CS、SOであり得る。
図1cは、エッチングの間にアパーチャーの側壁上に堆積したポリマーを示す、3D NANDスタック中の模範的な層の側断面図である。開示されたヒドロフルオロカーボンエッチングガスは、SiON、SiO、SiN、p−Siなどのケイ素含有膜の異方性エッチング、及び本明細書中、図1cに示されるようにアパーチャーであるエッチングされる構造の側壁上でのポリマーパッシベーション層316の堆積の両方のために適切であるプラズマプロセスの間にイオン、中性、ラジカル又はフラグメントを発生する。開示されたヒドロフルオロカーボンエッチングガスは、プラズマプロセスの間にヒドロフルオロカーボンイオン及び窒素含有炭化水素イオンを発生し得る。ヒドロフルオロカーボンイオンはSiONと反応して、アパーチャー314を形成する無機誘電体キャップ層308を異方性エッチングする。窒素含有炭化水素イオンはアパーチャー314の側壁上に堆積して、ポリマーパッシベーション層316を形成し、それによってアパーチャー314の側壁がヒドロフルオロカーボンイオンの衝撃から保護される。ポリマーパッシベーション層316は、ヒドロフルオロカーボンイオンによってアパーチャー314の側壁がエッチング除去されることを阻止する。ポリマーパッシベーション層316は、アパーチャー314の側壁がヒドロフルオロカーボンイオンの衝撃によってエッチング除去されることを防ぐ耐エッチング性ポリマー層である。ポリマーパッシベーション層316は、反り又は先細りが生じずに直線の垂直プロフィールを有するパターンエッチング構造をもたらす。反りは、しばしば非晶質炭素(a−C)材料であり得るマスク層の側壁エッチングから生じ得る。a−C材料は、プラズマ中の酸素ラジカルによってエッチングされ得、それによって、マスクの開口部の増加が引き起こされ得、そして反りのような、又は曲げられた/湾曲した、エッチング構造がもたらされ得る。反りを最小化すること、そして現在の応用(例えば、コンタクトエッチング又は3D NAND)のために必要な高アスペクト比(すなわち、最高200:1)を達成することが重要である。開示されたヒドロフルオロカーボンエッチング化合物にOを添加することによって、ポリマーパッシベーション層の厚さが制御下になり、それによってポリマー堆積による側壁プロフィール変形が回避される。ポリマーパッシベーション層316は、より平滑な側壁、アパーチャー314の底部でのより少ない反り及びより少ない変形をもたらす。しかしながら、ポリマーパッシベーション層316は、当該技術分野において周知の乾式又は湿式エッチング化学物質によって容易に除去されるか、又はクリーニングされるか、又は研磨され得る。
図1a〜図1cにおける交互SiO/SiN層をSiO層によって置き換えることによって、模範的な層は、DRAMメモリを製造するために使用されるDRAMスタックとなる。DRAMスタックのエッチングプロセスは、図1a〜図1cに示される3D NANDスタックのためのものと類似である。すなわち、DRAMスタック中のアパーチャーは、i)開示されたヒドロフルオロカーボンエッチング化合物によって、パターン化されたフォトレジスト層に対して選択的に無機誘電体キャップ層をプラズマエッチングすることと;ii)CF、C、C、Cなどのフルオロカーボンエッチングガス(C、xは整数であり、y=2x+2又はy=2x又はy=2x−2である)、CHF、CH、CHFなどのヒドロフルオロカーボンエッチングガス(C、x及びyは整数であり、z=2x−y+2又はz=2x−y又はz=2x−y−2である)、O、COSなどの酸化剤、CFI、Cl、HBr、SF、NFなどのフッ素化合物、Nなどの不活性ガス、或いはそれらの組合せを含み得るハードマスクをエッチングするために適切であるエッチングガスによって、パターン化されたフォトレジスト層及び無機誘電体キャップ層に対して選択的にハードマスク層をプラズマエッチングすることと;iii)開示されたヒドロフルオロカーボンエッチング化合物又はケイ素含有膜をエッチングするために適切である他のエッチングガスのいずれかによって、パターン化されたフォトレジスト層、無機誘電体キャップ層及びハードマスク層に対して選択的に二酸化ケイ素層をプラズマエッチングすることとによって得られる。ケイ素含有膜の交互層をエッチングするために適切である他のエッチングガスは、フルオロカーボン(C、xは整数であり、y=2x+2又はy=2x又はy=2x−2である)又はヒドロフルオロカーボン(C、x及びyは整数であり、z=2x−y+2又はz=2x−y又はz=2x−y−2である)、例えば、cC、C、C、CF、CHF、CHF、CH又は他のフッ素化合物、或いはS含有及びO含有化合物、例えば、COS、CFI、CI、CI、FCN、CS、SOであり得る。アパーチャーは、チャネルホール及びコンタクトホールエッチング応用において、1:1〜50:1の範囲のアスペクト比、好ましくは約1:1〜約200:1の範囲のアスペクト比を有し得る。
また、開示されたヒドロフルオロカーボンエッチング化合物は、アパーチャーの側壁上にポリマーパッシベーション層を堆積させる。開示されたヒドロフルオロカーボンエッチング化合物にOを添加することによって、ポリマーパッシベーション層の厚さが制御下になり、それによって側壁プロフィール変形が回避される。ポリマーパッシベーション層は、DRAMスタックにおいて、より平滑な側壁、DRAMスタックにおけるアパーチャーの底部でのより少ない反りをもたらすか、若しくは反りが生じず、且つより少ない変形をもたらすか、若しくは変形が生じない。ポリマーパッシベーション層は、当該技術分野において周知の乾式又は湿式エッチング化学物質によって容易に除去され得るか、又はクリーニングされ得るか、又は研磨され得る。
当業者は、図1a〜図1cの層のスタック及び幾何構造が模範的な目的のみのために提供され、且つ開示されたヒドロフルオロカーボンエッチングガスが、層の他の種類のスタックのエッチングのために使用され得ることを認識するであろう。さらに、当業者は、スタック中の層の数が変更されてもよい(すなわち、示された層よりも多く又は少ない層を含んでもよい)ことを認識するであろう。
一実施形態において、開示されたヒドロフルオロカーボンエッチングガスは、基材及びケイ素含有膜を含有する反応チャンバー中に導入される。ガスは、約0.1sccm〜約1slmの範囲のフロー速度においてチャンバーに導入され得る。例えば、200mmのウエハ径に関して、ガスは約5sccm〜約50sccmの範囲のフロー速度においてチャンバーに導入され得る。代わりに、450mmのウエハ径に関して、ガスは約25sccm〜約250sccmの範囲のフロー速度においてチャンバーに導入され得る。当業者は、フロー速度がツールによって変動し得ることを認識するであろう。
開示されたヒドロフルオロカーボンエッチング化合物は、そのままの形態で、又はN、Ar、Kr、He、Xe、Neなどの不活性ガス又は溶媒とのブレンドのいずれかで供給され得る。開示されたヒドロフルオロカーボンエッチング化合物は、ブレンド中、様々な濃度で存在し得る。
さらに、ヒドロフルオロカーボンエッチング化合物は、95体積%〜99.999体積%の範囲の純度で送達され、又はCO、CO、N、HO、HF、HS、SO、ハロゲン化物及び他の炭化水素又はヒドロハロカーボンの除去のための周知の標準的精製技術によって精製され得る。
不活性ガスもプラズマを受けるために反応チャンバー中に導入される。不活性ガスは、He、Ar、Xe、Kr、Ne、N又はそれらの組合せであり得る。エッチングガス及び不活性ガスは、不活性ガスが、得られた混合物の約0.01%v/v〜約99.9%v/vを構成するように、チャンバーへの導入の前に混合されてもよい。代わりに、不活性ガスは連続的にチャンバーに導入され得るが、エッチングガスは断続的にチャンバーに導入される。
開示されたヒドロフルオロカーボンエッチングガス及び不活性ガスをプラズマによって活性化し、活性化エッチングガスを生成する。プラズマによってエッチング化合物はラジカル型(すなわち、活性化エッチングガス)へと分解する。プラズマは、RF又はDC電力を適用することによって発生させてもよい。プラズマは、約25W〜約20,000Wの範囲のRF電力によって発生させてもよい。プラズマは、遠位で、又は反応器自体の中で発生させてもよい。プラズマは、両電極において適用されたRFによって、デュアルCCP又はICPモードで発生させてもよい。プラズマのRF周波数は、200KHz〜1GHzの範囲であり得る。異なる周波数における異なるRF源を組み合わせて、そして同一電極において適用されてもよい。分子断片化及び基材における反応を制御するため、さらにプラズマRFパルスを使用してもよい。当業者は、そのようなプラズマ処理のために適切な方法及び装置を認識するであろう。
四重極質量分析装置(QMS)、光学発光分光器、FTIR又は他のラジカル/イオン測定ツールによって、生成した種の種類及び数を決定するために、チャンバー排出物からの活性化エッチングガスを測定してもよい。必要であれば、エッチングガス及び/又は不活性ガスのフロー速度は、発生したラジカル種の数を増加又は減少させるように調整されてもよい。
開示されたヒドロフルオロカーボンエッチングガスは、反応チャンバー中への導入の前、又は反応チャンバー中のいずれかで、他のガスと混合されてもよい。好ましくは、ガスは、混入ガスの均一な濃度を提供するために、チャンバーへの導入の前に混合されてよい。
別の選択肢において、開示されたヒドロフルオロカーボンエッチングガスは、2種以上のガスが反応する場合など、他のガスから独立してチャンバー中に導入されてよい。
別の選択肢において、開示されたヒドロフルオロカーボンエッチングガス及び酸素含有ガスは、エッチングプロセスの間に使用される2種のみのガスである。
別の選択肢において、開示されたヒドロフルオロカーボンエッチングガス、酸素含有ガス及び不活性ガスは、エッチングプロセスの間に使用される3種のみのガスである。
模範的な他のガスとしては、制限されないが、O、O、CO、CO、NO、NO、NO、SO、COS、HO及びそれらの組合せなどの酸化剤、He、Ar、Xe、Kr、Ne及びN2、好ましくはArなどの不活性ガスが含まれる。開示されたエッチングガス、酸化剤及び/又は不活性ガスは、反応チャンバー中への導入の前に一緒に混合されてもよい。
代わりに、酸化剤は連続的にチャンバーに導入され得、且つエッチングガスは断続的にチャンバーに導入される。酸化剤は、チャンバー中に導入される混合物の約0.01%v/v〜約99.99%v/vを構成してもよい(99.99%v/vは、連続導入の選択肢に関して、ほぼ純粋な酸化剤の導入を表す)。
エッチングガスと混合されてよい他の模範的なガスとしては、追加的なエッチングガス、例えば、cC、C8、、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、SO、トランス−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン(trans−C)、シス−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン(cis−C)、ヘキサフルオロイソブテン(C)、トランス−1,1,2,2,3,4−ヘキサフルオロシクロブタン(trans−C)、1,1,2,2,3−ペンタフルオロシクロブタン(C)、1,1,2,2−テトラフルオロシクロブタン(C)又はシス−1,1,2,2,3,4−ヘキサフルオロシクロブタン(cis−C)及びそれらの組合せ、好ましくは、CHF、cC、cC又はCが含まれる。
開示されたヒドロフルオロカーボンエッチングガス及び追加的なエッチングガスは、反応チャンバーへの導入の前に混合されてもよい。追加のエッチングガスは、チャンバー中に導入される混合物の約0.01%v/v〜約99.99%v/vを構成してもよい。
SiON、SiO、SiN又はp−Si膜などのケイ素含有膜及び活性化エッチングガスは反応して、反応チャンバーから除去される揮発性副産物を形成する。a−Cマスク及びフォトレジスト層は、活性化エッチングガスに対してそれほど反応性ではない。したがって、活性化エッチングガスはケイ素含有膜と選択的に反応し、揮発性副産物を形成する。
反応チャンバー中の温度及び圧力は、ケイ素含有膜が活性化エッチングガスと反応するために適切な条件に保持される。例えば、チャンバー中の圧力は、エッチングパラメーターによる必要に応じて、約0.1mTorr〜約1000Torr、好ましくは、約1mTorr〜約10Torr、より好ましくは、約10mTorr〜約1Torr、より好ましくは、約10mTorr〜約100mTorrに保持され得る。同様に、チャンバー中の基材温度は、約−196℃〜約500℃、好ましくは、約−120℃〜約300℃、より好ましくは、約−100℃〜約50℃、そしてより好ましくは、約−10℃〜約40℃の範囲であり得る。チャンバー壁部温度は、プロセス必要条件次第で、約−196℃〜約300℃の範囲であり得る。
ケイ素含有膜と活性化エッチングガスとの間の反応は、基材からのケイ素含有膜の異方性除去をもたらす。窒素、酸素及び/又は炭素原子もケイ素含有膜に存在し得る。除去は、(プラズマによって促進された)プラズマイオンからのケイ素含有膜の物理的スパッタリング及び/又はSiを、xが1〜4の範囲であるSiFなどの揮発性種に変換するためのプラズマ種の化学反応による。
プラズマ活性化された開示されたヒドロフルオロカーボンエッチングガスは、好ましくはフォトレジストに対して高い選択性を示し、そしてSiO層又はSiO/SiN若しくはSiO/p−Siの交互層上のDARCキャップ層を通してエッチングし、そしてハードマスク層に対して高い選択性を示し、そして基材上のSiO層又はSiO/SiN若しくはSiO/p−Siの交互層を通してエッチングし、それによって、3D NAND及びDRAM応用に関して重要である粗さのない垂直エッチングプロフィールが得られる。さらに、プラズマ活性化された開示されたヒドロフルオロカーボンエッチングガスは、垂直エッチングプロフィールの側壁上にポリマー層を堆積させ、特徴プロフィール変形を抑制する。
開示されたエッチングプロセスは、SiON、SiO、SiN及びp−Si層などのケイ素含有層をエッチングするための、次のプロセスステップにおいてケイ素含有膜中にチャネルホール、ゲートトレンチ、階段状コンタクト、コンデンサーホール、コンタクトホール、階段状エッチ、スリットエッチ、埋込コンタクトエッチ、コンデンサーコンタクトエッチ、シャロートレンチアイソレーションエッチなどを生じるために3D NAND又はDRAM構造においてDARCキャップ層中にパターンを形成するためのエッチングガスとして、開示されたヒドロフルオロカーボンエッチング化合物を使用する。開示されたヒドロフルオロカーボンエッチング化合物は、DARCキャップ層中にパターンを形成した後にケイ素含有膜中にアパーチャーを形成するケイ素含有膜をエッチングするためのエッチングガスとしても使用される。結果として得られるパターン及び/又はアパーチャーの側壁は、約1:1〜約200:1の範囲のアスペクト比、及び約5nm〜約100nmの範囲の直径を有し得る。開示されたヒドロフルオロカーボンエッチング化合物によってエッチングされる必要のある典型的な材料は、DARCキャップ層中のSiON、3D NANDスタック中のONON又はOPOP及びDRAMスタック中のSiOなどのケイ素含有組成物であり得る。
次の非限定的な実施例は、本発明の実施形態をさらに例示するために提供される。しかしながら、実施例は包括的であるように意図されず、且つ本明細書に記載される本発明の範囲を制限するように意図されない。
以下の実施例において、ヒドロフルオロカーボンエッチング化合物、2,2,2−トリフルオロエタンアミン(CN)、1,1,2−トリフルオロエタン−1−アミン(Iso−CN)、2,2,3,3,3−ペンタフルオロプロピルアミン(CN)、1,1,1,3,3−ペンタフルオロ−2−プロパンアミン(Iso−CN)、1,1,1,3,3−ペンタフルオロ−(2R)−2−プロパンアミン(Iso−2R−CN)及び1,1,1,3,3−ペンタフルオロ−(2S)−2−プロパンアミン(Iso−2S−CN)、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)及び1,1,2,3,3,3−ヘキサフルオロ−1−プロパンアミン(Iso−CN)のエッチング性能を評価し、そしてCHF(CAS75−46−7)及びNHと混合した従来のエッチングガスの混合物CF+CHFに対して比較する。その結果、CN、CN及びCNが最小のPR変形とともに高いSiON/PR選択性をもたらすことが示される。加えて、CNは、ONON層の非選択的エッチング及び非晶質炭素ハードマスクの無限選択性をもたらす。CNは、ONON又はOPOPの非選択的エッチング及び非晶質炭素ハードマスクの高い選択性をもたらす。CN及びCNは、両方とも少ない反り及び少ないプロフィール変形をもたらすか、又は反り及びプロフィール変形が生じず、そして半導体構造の製造のために使用され得る。
図2は、堆積及びエッチング試験において適用された模範的な反応器システムの模範的な側断面図である。示されるように、反応器900は反応器チャンバー902を含む。反応器チャンバー902の内部には、下部電極904の上部に付着されたウエハ906が反応器チャンバー902の底部に配置され、且つケイ素上部電極シャワーヘッド908が反応器チャンバー902の上部に配置される。下部電極904は、それに対して適用されたバイアス電力を有する静電チャックであってもよい。例えば、2MHzのRFバイアス電力が下部電極904に適用される。ウエハ906は、エッチングされる必要がある多層を有してもよい。ケイ素上部電極シャワーヘッド908は、ガスが通過するシャワーヘッド中の複数のホール910を有する。ガスはガス入口912を通して反応器チャンバー902中に導入されてよく、次いで均一なガス分布のためのシャワーヘッド908中のホール910を通過してもよい。ソース電力は、ケイ素上部電極シャワーヘッド908に適用されてよい。例えば、27MHzのRFソース電力がケイ素上部電極シャワーヘッド908に適用されてよい。ケイ素上部電極シャワーヘッド908と下部電極904との間はプラズマ領域である。数字914は、ケイ素上部電極シャワーヘッド908と下部電極904とのギャップ距離(二重矢印)を示す。例えば、1.35cmのギャップ距離がエッチング試験のために選択されてよい。シャワーヘッド908中のホール910を通過するガスは、プラズマ領域中でイオン化され、次いでウエハ906上でエッチングを実行する。出口916から反応器チャンバー902からガスを送り出すことによって、ガスは除去される。
エッチング試験は、市販のLAMツール(10のガスラインを有する二重周波数プラズマ)を用いて実行された。平面ウエハ及びパターン化されたウエハをAdvantive Techから購入し、そしてLAMツールによって処理した。6つの異なる平面ウエハは、Si基材上の300nmのSiON、Si基材上の300nmのPR、Si基材上の2μmのSiO、Si基材上の2μmのSiN、Si基材上の300μmのp−Si及びSi基材上の350nmのa−Cである。図3に示されるパターンウエハは、その上に80nmのBARC層及び290nmのPR層が堆積された、Si基材上に堆積されたSiON層の構造を特徴とする。SiON層は500nmである。トレンチ開口部は幅約90nmである。
30mTorr、300W〜750W(27MHz)の範囲のソース電力及び600W〜1500W(2MHz)の範囲のバイアス電力においてエッチング試験を実行した。供給混合物は、250sccmのAr、15sccmのエッチングガスを含有し、Oは0〜25sccmの範囲で変動する。試験されたエッチングガスのフロー速度は一定のままであり(例えば15sccm)、一方、Arフロー速度は150〜300sccmで変動させる。当業者は、エッチングプロセスの間、Oフロー速度、エッチング時間、ソース電力、バイアス電力及び圧力が変動し得ることを認識するであろう。
Si基板上の1.5×1.5cm、300nmのPR及びSiONクーポン上で、30mTorr、750W(27MHz)のソース電力において、基材上にバイアス電力を用いずに堆積試験を実行した。供給混合物は、250sccmのAr、15sccmのエッチングガスを含有し、Oを含有しない。バイアス電力が存在しないため、基材に達するイオンは、エッチングのために十分なエネルギーを有し得ない。加えて、表面に達する中性及び活性種は、それらの付着係数に基づき表面に付着し、ポリマー薄層を堆積する。このポリマー薄層は、側壁パッシベーションの原因となり得、且つしばしば選択性をもたらす。堆積試験実験条件は、表面上又は側壁上のいずれかのパターンのプラズマプロセス間に形成されるポリマー層のシミュレートに役立つ。試験されたエッチング化合物次第で、15秒〜30秒の範囲の堆積時間が選択された。
追加的に、エッチングガスの電子衝突イオン化を調査するために、質量分析装置が使用されてよい。この試験に関して、エッチングガスを質量分析装置チャンバーを通して流れさせ、且つ四重極質量分析装置(Hiden Analytical Inc.)検出器を使用して、電子エネルギーの関数としてのエッチングガスからのフラグメントを調査する。
比較例1
図4は、CHFによって生じた種の圧力に対する電子衝撃イオン化エネルギーを示すグラフである。x軸は電子エネルギーを表し、そしてy軸はフラグメント種の分圧を表す。CHFに関する主要な種CF及びCHFは、高いF/C比を有し、したがって、CHFによって制限されたポリマー堆積が生じ得る。活性化されたプラズマ種のF/Cの比率が減少すると、ポリマー堆積速度が増加する(例えば、Hungらへの米国特許第6,387,287号明細書を参照のこと)。
平面ウエハエッチング試験は、30mTorr、300W(27MHz)のソース電力、600W(2MHz)のバイアス電力及び1.35cmの電極ギャップにおいて実行された。供給混合物は、250sccmのAr、15sccmのエッチングガスを含有し、Oは0〜20sccmの範囲で変動する。エッチング時間は30秒である。
図5は、CHF、Ar及びOを使用する酸素フロー速度の関数としてのSiON及びPR膜のエッチング速度を示すグラフである。エッチング条件は次の通りである:CHFのフロー速度:15sccm;Arのフロー速度:250sccm;圧力:30mTorr;エッチング時間:30秒;RFソース/バイアス:300/600W;温度:20℃。示されるように、1より高いSiON/PR選択性がない。Oを添加しない場合、SiON/PRの選択性は1に近いが、1より小さい(0.81)。Oフロー速度を20sccmまで増加させると、PRエッチング速度は増加し、PR層が損傷を受けることを示し、そしてSiON/PR選択性は劇的に減少する。ポリマー堆積がPR層上を形成されないため、Oの添加は、PRをエッチング除去するプラズマ中のより多くのO種をもたらす。Oフロー速度が10〜20sccmに達すると、PRは完全にエッチング除去され得る。
図6は、CHF、Ar及びOによるエッチングの後のSiON=500nmパターン化ウエハ上のSEMイメージである。示されるように、トレンチは、13〜151nmの範囲のトレンチ幅を有する先細り及びピッチング構造を示す。プロフィール角度は83.2度であり、ここで90度はSiON層における完全垂直トレンチ側壁である。SiON/PR選択性は、エッチングされたPRの厚さによって割られた、エッチングされたSiONの厚さによって算出される。したがって、より厚いPRが残ると(nm)、SiON/PR選択性は高くなり、SiON層にエッチングされた同一トレンチ深さが保持される。この場合、SiON/PR選択性は8.46である。これは、ベースラインエッチングガスCHFに対するエッチングプロセス条件である。エッチングガス/O/Arのフロー速度は、それぞれ、15、4及び150sccmであり;P=40mTorr、t=180秒、300W(27MHz)のソース電力、900W(2MHz)のバイアス電力、下部T=20℃である。
比較例2
図7は、CHF、CF、NH、Ar及びOを使用する酸素フロー速度の関数としてのSiON及びPR膜のエッチング速度を示すグラフである。エッチング条件は次の通りである:CHFのフロー速度:15sccm;CFのフロー速度:15sccm;NHのフロー速度:15sccm;Arのフロー速度:250sccm;圧力:30mTorr;エッチング時間:30秒;RFソース/バイアス:300/600W;温度:20℃。示されるように、1より高いSiON/PR選択性がない。Oを添加しない場合、SiON/PRの選択性は、なお1より小さい(0.59)。Oフロー速度を15sccmまで増加させると、PRエッチング速度は増加し、PR層が損傷を受けることを示し、そしてSiON/PR選択性は劇的に減少する。ポリマー堆積がPR層上を形成されないため、Oの添加は、PRをエッチング除去するプラズマ中のより多くのO種をもたらす。Oフロー速度が15sccmに達すると、PRは完全にエッチング除去され得る。本実施例の目的は、従来のエッチングヒドロフルオロカーボン及びアンモニアの使用が、現在の応用のために必要とされるエッチング性能を提供しないことを示す、Trappへの従来技術の米国特許第6,569,774号明細書及び同第7,153,779号明細書との比較をすることである。
比較例3
図8は、3D NAND応用においてONON及びOPOPをエッチングするために、(0〜15sccmのフロー速度で)従来のヒドロフルオロカーボン(CF及びCHF)、アンモニア(NH)、Ar及びOを使用するSiO、SiN、p−Si及びa−Cのエッチング速度を示すグラフである。表2に、種々のOフロー速度によるSiNに対するSiO、SiOに対するSiN、a−Cに対するSiO及びp−Siに対するSiOのエッチング選択性を列挙する。エッチング条件は次の通りである:CHFのフロー速度:15sccm;CFのフロー速度:15sccm;NHのフロー速度:15sccm;Arのフロー速度:250sccm;圧力:30mTorr;エッチング時間:30秒;RFソース/バイアス:7500/1500W;温度:20℃。
Figure 2021503172
示されるように、Oフロー速度が0sccmである場合、SiO/SiN、SiN/SiOの選択性は1:1に近く、そしてSiO/a−Cの選択性は6.2である。しかしながら、NHを用いる場合、SiO/SiN、SiN/SiOの粗さ表面エッチングの増加がある。これは次の実施例5において見られる。
図9は、CNによって生じた種の圧力に対する電子衝撃イオン化エネルギーを示すグラフである。x軸は電子エネルギーを表し、そしてy軸はフラグメント種の分圧を表す。生じた優勢なフラグメント又はイオン化した生産物は、CNに関してCHN及びCHNであり、これはプラズマエッチングの間にPR層上での堆積を導くC、H及び−NH基を含有する。さらに、種CHN、CHN、CN及びCHFNは、CHFからのフラグメントよりも低いF:C及び/又はF:H比を有し、これはより高いポリマー堆積速度を導き、且つ選択性を改善し得る。
図10は、CN、Ar及びOを使用する酸素フロー速度の関数としてのSiON及びPR膜のエッチング速度を示すグラフである。エッチング条件は次の通りである:CNのフロー速度は15sccmであり;Arのフロー速度は250sccmであり;圧力は30mTorrであり;エッチング時間は30秒であり;RFソース/バイアスは300/600Wであり;且つ温度は20℃であった。0〜5sccmにおける低いOフロー速度なし及びありで、SiON/PR選択性は高く、PR層があまり損傷を受けないことを示す。Oフロー速度が増加すると(すなわち、10sccmより大きい場合)、PRエッチング速度は増加し、且つSiON層のものよりも有意に大きくなり、PR層が損傷を受け、且つSiON/PR選択性が劇的に減少することを示す。Oの添加は、PRをエッチング除去するプラズマ中のより多くのO種をもたらす。したがって、Oの添加がない場合、及び希薄O(すなわち、5sccm未満)の場合、CNは、PR膜以上にSiON膜を選択的にエッチングするために適切となり得る。
図11aは、Oを添加せず、CN及びArによるエッチング後のSiON表面のSEM断面イメージである。表面は粗く、且つ非均一であるように見える。図11bは、Oフロー速度2sccmでCN及びArによるエッチング後のSiON表面のSEM断面イメージである。表面は平坦で、且つ平滑であるように見える。同SiON平滑面は、2〜5sccmの範囲のOフロー速度で観察された。エッチング条件は次の通りである。CNのフロー速度は15sccmであり;Arのフロー速度は250sccmであり;圧力は30mTorrであり;エッチング時間は30秒であり;RFソース/バイアスは300/600Wであり;且つ温度は20℃であった。
本実施例は、Oに加えて、エッチング化合物としてCNを使用することによって、フォトレジスト上に損傷を引き起こすことなく、またSiONの表面粗さを増加させることなく、SiONはPRマスクに対して選択的にエッチングされることを示す。
図12は、CNによって生じた種の圧力に対する電子衝撃イオン化エネルギーを示すグラフである。x軸は電子エネルギーを表し、そしてy軸はフラグメント種の分圧を表す。CNに関する主要な種CN及びCHNは、低いF/C及びF/H比を有し、したがって、CNによるエッチングの間にポリマー堆積が生じる。これは−NH基を含有し、且つCHFからのフラグメントよりも低いF:C及び/又はF:H比を有し、これはより高いポリマー堆積速度を導き、且つ選択性を改善し得る。
図13は、CN、Ar及びOを使用する酸素フロー速度の関数としてのSiON及びPR膜のエッチング速度を示すグラフである。正のy軸はエッチング速度を表し、負のy軸は堆積速度を表す。x軸はsccmでのOフロー速度であり;CNフロー速度は15sccmに固定され、Arのフロー速度は250sccmであるが、Oフロー速度は0〜15sccmで変動する。示されるように、エッチング速度の結果は、SiON及びPR層の全てが、Oの添加なしで広範囲のポリマー堆積を有することを示す。それに対して、Oフロー速度が増加すると、SiON/PR選択性は増加する。SiON/PR選択性はO=15sccmにおいて6.3であり、従来のエッチングガスと比較すると有意に改善される。
図14は、CN、Ar及びOによるパターン化されたウエハのエッチングの後のSEMイメージである。SEMイメージは、SiON=500nmパターン化ウエハ上エッチング時間120秒において撮影された。図14で示されたSEMイメージは、CNエッチング化合物によって最適化パターン化された構造を示す。このイメージにおいて与えられた最も重要なメッセージは、SiON/PR選択性が22.9であり、且つプロフィール角度が87.4であり、3D NAND応用のためにより望ましいパターン構造を示すということである。このイメージのためのエッチング条件は次の通りである。CNエッチングガス/O/Arのフロー速度=15/15/150sccm、P=20mTorr、t=120秒、RFソース/バイアス300/600W、T=20℃。
図15は、CNによって生じた種の圧力に対する電子衝撃イオン化エネルギーを示すグラフである。x軸は電子エネルギーを表し、そしてy軸はフラグメント種の分圧を表す。CNに関する主要な種CHN及びCHNは、低いF/C及びF/H比を有し、したがって、CNによるエッチングの間にポリマー堆積が生じる。
図16は、種々のOフロー速度でのCN、ArによるSiON及びPRのエッチング速度を示すグラフである。示されるように、正のy軸はエッチング速度を表し、負のy軸は堆積速度を表す。x軸はsccmでのOフロー速度であり;CNフロー速度は15sccmに固定され、Arのフロー速度は250sccmであるが、Oフロー速度は0〜20sccmで変動する。示されるように、Oの添加がない場合(0sccmO条件)、SiON上でCNが堆積する。Oの添加によって、SiON/PR選択性は増加し、そしてOフロー速度=16sccmにおけるピークは21.5に達する。次いで、より多くのOの添加によって、SiON/PRの選択性がわずかに減少するが、なお良好な範囲のSiON/PR選択性が維持される。16〜20の範囲におけるOフロー速度では、CNはPRに対して損傷をほとんど有さない。
図17aは、CN、Ar及び15sccmのOによるエッチングの後のSiON表面のSEMイメージである。表面は粗く、且つ非均一であるように見える。図17bは、CN、Ar及び16sccmのOによるエッチングの後のSiON表面のSEMイメージである。この条件で、最も高いSiON/PR選択性が達成される。表面は平坦で、且つ平滑であるように見える。同SiON平滑面は、16〜20sccmの範囲のOフロー速度で観察された。エッチング条件は次の通りである。CNのフロー速度は15sccmであり;Arのフロー速度は250sccmであり;圧力は30mTorrであり;エッチング時間は30秒であり;RFソース/バイアスは300/600Wであり;且つ温度は20℃であった。
本実施例は、エッチング化合物としてCNを使用することによって、フォトレジスト上に損傷を引き起こすことなく、またSiONの表面粗さを増加させることなく、SiONはPRマスクに対して選択的にエッチングされることを示す。
図18は、CN、Ar及びOによるパターン化されたウエハのエッチングの後のSEMイメージである。このイメージは、SiON=500nmパターン化ウエハ上エッチング時間150秒において撮影されたSEMイメージである。異なるエッチング時間で異なるパターン化されたウエハによる初期SEMイメージは、PR層が損傷を受けている望ましくないエッチングプロフィールを示す。しかしながら、このSEMイメージは、無限SiON/PR選択性及び90度プロフィール角度で、CN化合物によって乾式エッチングされた最適化パターン化構造を示す。PR(16nm)の上部に堆積がある。しかしながら、CNによる乾式エッチング後、望ましくないパターン形成は観察されず、例えば、オーバーハンギングインサイドトレンチ及びブロッキングトレンチ開口部は観察されなかった。このSEMイメージのためのエッチング条件は次の通りである。CNガス/O/Arのフロー速度=15/21/150sccm、P=20mTorr、t=150秒、RFソース/バイアス300/600W、下部T=20℃。
図19は、それぞれCN及びCNによるSiON及びPR基材上の堆積速度を示すグラフである。図19中、y軸はnm/分での堆積速度を表し、そしてx軸はPR及びSiON基材を示す。CN及びCNは両方ともSiON膜よりPR膜で高い堆積を示す。上記のように、フルオロカーボンポリマー膜は−NHを含有し、これによってプラズマ下で生じるイオンの衝撃からPR膜が保護され、そして高いSiON/PR選択性に有利に作用する。CNは、SiON及びPR上でCNよりも、ほぼ2倍、より多く重合化される。これは、CN中のより長い炭素鎖及びプラズマ中のより長いフラグメントの発生によるものである。
図20は、3D NAND応用におけるONON及びOPOPエッチングに関して種々のOフロー速度でCN及びArを使用するSiO、SiN、p−Si及びa−Cのエッチング速度を示すグラフである。表3は、種々のOフロー速度によるSiNに対するSiO、SiOに対するSiN、a−Cに対するSiO及びp−Siに対するSiOのCN選択性を列挙する。エッチング条件は次の通りである:CNのフロー速度:15sccm;Arのフロー速度:250sccm;圧力:30mTorr;エッチング時間:30秒;RFソース/バイアス:7500/1500W;温度:20℃。
Figure 2021503172
示されるように、CNをエッチングガスとして使用すると、Oフロー速度が20sccmである場合、SiO/SiN、SiN/SiO及びSiO/p−Siの選択性は1:2〜2:1の範囲内で、1:1に近く、そしてSiO/a−Cの選択性は6.69である。したがって、CNは、3D NAND応用におけるONON及びOPOPエッチングに関して、SiO、SiN及びp−Si層など、a−Cハードマスク層に対してケイ素含有膜を選択的にエッチングするためのエッチング化合物として使用するために適切である。
加えて、CNエッチング後の表面の粗さを測定し、そして初期の材料のもの及び比較例3で列挙されたエッチング化合物(CF+CHF+NH)によってエッチングされた表面と比較した。NX PARK 10からの原子間力顕微鏡(Atomic Force Microscopy)(AFM)を非接触モードで使用し、エッチングプロセス前後の種々の基材(SiO、SiN、p−Si、a−C)の表面モルフォロジーを評価した。粗さを評価するために調査されたパラメーターは二乗平均(Root Mean Square)荒さ(Rq)であった。全ての調査されたOフロー速度条件(0〜25sccmの範囲のO)の中で、3D NAND、ONON又はOPOP応用に関して最も有望な結果を示す条件を選択した:CF+CHF+NHによるエッチングの場合、O=0sccmが選択され;CNの場合、O=20sccmが選択された。結果を表4に示す。
Figure 2021503172
初期の基材の粗さ値をCF+CHF+NH後のものと比較すると、SiOの表面粗さ上の高い増加及びa−C表面上のわずかな増加が観察された。CNによるエッチング後、初期の材料に対するSiO、SiN及びa−Cに関して、より低い結果に相当する結果が示されるが、p−Siの表面上で表面粗さの減少が観察される。
図21は、平面ウエハ上、種々のOフロー速度でCN及びArによるSiO、SiN、p−Si及びa−Cのエッチング速度を示すグラフである。正のy軸はエッチング速度を表し、負のy軸は堆積速度を表す。x軸はsccmでのOフロー速度であり;CNフロー速度は15sccmに固定されるが、Oフロー速度は5〜15sccmで変動し;Arのフロー速度:250sccm;圧力:30mTorr;エッチング時間:30秒;RFソース/バイアス:7500/1500W;温度:20℃。表5に、種々のOフロー速度によるSiNに対するSiO、SiOに対するSiN、a−Cに対するSiO及びp−Siに対するSiOのCN選択性を列挙する。示されるように、CNを使用するSiN及びSiOのエッチング速度はほぼ同じであり、且つ1:2〜2:1の範囲内で、1:1に近いが、a−C層及びp−Si層上で堆積が生じる。したがって、SiO/a−C及びSiO/a−C選択性は無限である。したがって、CNは、Oの添加によってSiO及びSiN層を選択的にエッチングせず、非晶質炭素ハードマスクを維持し;したがって、それは、3D NAND応用におけるONONエッチングに関して、SiO及びSiN層など、a−Cハードマスク層に対してケイ素含有膜を選択的にエッチングするためのエッチング化合物として使用するために適切である。
Figure 2021503172
要約すると、CN、CN、CNなどの−NH官能基を有する、開示されたヒドロフルオロカーボンエッチング化合物、並びにそれらの異性体は、SiON/PRの高い選択性を有し、PR変形が少ない〜ない、且つ1:1に近いSiO/SiN又はSiO/p−Si選択性及び無限大までのSiO/a−C選択性を有し、3D NAND及びDRAM応用のために非常に望ましい。−NH官能基を有する開示されたヒドロフルオロカーボンエッチング化合物は、従来のエッチングガスCHF+CF+NHと比較して、エッチング後に表面の損傷を示さないか、又はほとんど示さず、且つエッチング後に表面粗さの増加がない。実際に、初期の表面と比較して、より低いか又は同等の表面粗さが観察された。−NH官能基を有する開示されたヒドロフルオロカーボンエッチング化合物は、平滑なSiON表面を保持することによって、CHF及びCHF+CF+NHなどの従来のエッチングガスより高い(パターンウエハ上で無限の)SiON/PR選択性を示す。また、SiON/PRを選択的にエッチングすることに加えて、−NH官能基を有する開示されたヒドロフルオロカーボンエッチング化合物は、3D NAND及びDRAM応用において使用されるPR及び非晶質炭素、ホウ素、窒素、硫黄、塩素、フッ素若しくは金属(Al、Zr、Ti、W)によってドープされた非晶質炭素などのドープされた非晶質炭素に対して、SiO、SiN、p−Si、非晶質ケイ素、結晶質ケイ素、低誘電率SiCOH、SiOCN、Si(式中、a>0;b、c、d及びe≧0)又はそれらの組合せ、SiO/SiN、SiO/p−Siなどの他のケイ素−含有膜を選択的にエッチングしない。加えて、−NH官能基を有するヒドロフルオロカーボンエッチング化合物が、従来のエッチングガスの複数の混合物よりも良好なエッチング結果を有することができることから、プロセスの観点からの改善が考慮されなければならない。
本発明の実施形態が示されて、説明されているが、本発明の精神及び教示から逸脱することなく、当業者によって修正され得る。本明細書に記載の実施形態は、単に模範的なものであり、限定するものではない。組成物及び方法の多くの変形及び修正は可能であり、且つそれらは本発明の範囲内である。したがって、保護の範囲は、本明細書に記載された実施形態に限定されないが、請求の範囲の対象の全ての同等物を含む請求の範囲によってのみ限定される。
関連出願への相互参照
本出願は、全ての目的に関して、参照によって全体として本明細書に組み込まれる、2017年10月31日出願の米国特許出願第15/798,476号の利益を主張する。
半導体デバイスエッチング応用におけるケイ素含有膜のプラズマエッチングのための窒素含有ヒドロフルオロカーボンエッチング化合物及びそれを使用するプラズマエッチング方法であって、特に、3D NAND及びDRAM応用のためのパターン化されたフォトレジスト(PR)層上の誘電体反射防止コーティング(DARC)層(例えばSiON層)の選択的プラズマエッチング、並びにハードマスク層に対するSiO/SiN層の交互層及びSiO/p−Siケイ素層の交互層の選択的プラズマエッチングのためのものが開示される。
半導体アーキテクチャが益々小型化及び複雑化している中、3D構造化されたNANDは、メモリセルが互いの上に積み重ねられて、より高い密度によりキャパシティが増加し、ギガバイトあたりのコストが低くなり、且つソリッドステートメモリに期待される信頼性、速度及び性能を提供するため、非常に望ましい。3D NAND製造の分野において、一般に、フォトレジストは、次の加工ステップにおいてパターン化される種々の材料の層のスタック上に適用される。フォトレジストの空間分解能を利用するために、フォトレジストの下に反射防止コーティング(ARC)層を使用すること、フォトレジスト曝露間にスタック中の他の層からの反射を抑制することが必要である。ARC層は、典型的にARCの1つ又は複数の層、例えば、有機組成物から構成される底部反射防止コーティング(BARC)層、及び無機組成物から構成される誘電体反射防止コーティング(DARC)層を意味する。最近、深紫外線(UV)フォトレジストと組み合せて良好に作用するその能力のため、無機DARCとしての酸窒化ケイ素(SiON)の使用に対する関心が増加している。
3D NAND応用において、リソグラフィ目的に関するPRマスク層下のSiON層の厚さは、SiON層下に添加されたパターン化される種々の材料の益々多くの層のため、増加する。PRマスク層は、典型的に、プラズマによって容易に損傷を与えられるC、H及びO元素からなるスピン−オン材料である。CF、CHF、C又はCなどの従来の乾燥エッチングガスは、SiON/PR選択性を制限していた。これらのガスは等方性エッチングし、そしてPRマスク層上にオーバーハング/損傷を生じる傾向があり;したがって、PR上の損傷又はPR上の構造変化が次のエッチングステップに影響を与えるであろう。したがって、PR変形が最小である状態でSiON/PRの高い選択性を達成することは困難であり、且つ産業から有意に注目されている。
プラズマエッチング条件下でのエッチングプロセス間のPRマスク層の損傷を抑制する試み、すなわち、フォトレジスト層に対するDARC層の選択性を改善する試みが行われている。
その上にフォトレジスト層が堆積されたDARC層又は誘電体層をエッチングするためにヒドロフルオロカーボン又はフルオロカーボンが使用されてきた。例えば、Yangらへの米国特許第6,495,469号明細書は、O/N/Arと一緒にCHF、CH又はCHFを利用してDARC層をエッチングすることによって、フォトレジスト層に対するDARC層及び誘電体層の選択性が約0.87から2.45へと改善されることを開示する。
さらに、酸化ケイ及び窒化ケイ素(SiO/SiN又はON)並びに酸化ケイ素及びポリケイ素(SiO/p−Si又はOP)のスタックは、NAND型フラッシュメモリにおけるトンネル及び電荷捕捉の重要な組成物である。複数のSiO/SiN又はSiO/p−Si層のスタックのエッチングは3D NAND応用において重要である。3D NANDのエッチングの課題は、可能な限り高い同様のエッチング速度で酸化物及び窒化物の層又は酸化物及びポリケイ素(p−Si)の層をエッチングする方法である。加えて、エッチングされた構造は、曲がり(bowing)のない直線垂直プロフィール及び低いラインエッチ粗さ(line etch roughness)(LER)を有するべきである。
SiO/SiN又はSiO/p−Si層をエッチングするための従来のエッチングガスとしては、cC、C、CF、CH、CHF及び/又はCHFが含まれる。C:Fの比率が増加すると(すなわち、C>C>CF)、選択性及びポリマー堆積速度が増加することは周知である。従来のエッチングの化学的性質は、少なくとも、プラズマエッチングプロセスの間の側壁上の不十分なエッチング抵抗ポリマー堆積のため、新規用途(例えば、3DNAND)で必要とされる20:1より高いアスペクト比を有するホール又はトレンチなどのフィーチャーを提供することが不可能であり得る。側壁−C−ポリマー(式中、xは0.01〜1の範囲であり、且つyは0.01〜4の範囲である)は、エッチングに影響され得る。結果として、エッチングされたパターンは垂直ではあり得ず、且つエッチング構造は歪曲、寸法の変化、パターン崩壊及び/又は増加した粗さを示し得る。
エッチングガスとして窒素含有化合物が使用されている。例えば、Trappへの米国特許第6,569,774号明細書及び同第7,153,779号明細書は、酸化ケイ層を通して高アスペクト比接触開口部を形成するプラズマエッチング法を開示する。酸化ケイ素層上にマスキング層を維持するためにエッチング間にポリマー表面材料を堆積するため、1種又はそれ以上の窒素を含んでなるガスを含む少なくとも1種のエッチングガスが使用される。−NHの化学的性質を含有するヒドロフルオロカーボン及びフルオロカーボンの一覧が開示されているが、構造式、CAS番号又は異性体情報は提供されない。Surlaらへの米国特許第9,659,788号明細書は、ケイ素含有膜のプラズマエッチングのために−NH含有エッチングガスを使用する窒素含有を開示しており、上記明細書中、p−Si及びa−Cに対して側壁保護及び良好な選択性を提供する1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)が開示されるが、これは、いずれの酸素添加もない状態でさえSiN膜に対する選択性を失う。
これまで、DARC層及びケイ素含有層のスタックの両方をエッチングするために窒素含有ヒドロフルオロカーボンを使用することは見出されていなかった。したがって、PRマスク層に対するDARC層及びa−C層に対するケイ素含有層の高い選択性を提供し得、且つ広範囲のプロセス条件に関して高いアスペクト比を維持し得る、プラズマエッチング応用において種々の材料の層のスタックをパターン化することにおいて使用するための新規エッチングガス組成物を開発することが必要とされている。
第1のケイ素含有層及び第2のケイ素含有層の交互層上に堆積された誘電体反射防止コーティング(DARC)層と、DARC層上に形成されたパターン化されたフォトレジスト層と、DARC層と交互層との間に形成されたハードマスク層とを有する、基材上に堆積されたケイ素含有層の構造のエッチング方法であって、2,2,2−トリフルオロエタンアミン(CN)及び2,2,3,3,3−ペンタフルオロプロピルアミン(CN)からなる群から選択されるヒドロフルオロカーボンエッチング化合物を使用して、パターン化されたフォトレジスト層に対してDARC層を選択的にプラズマエッチングし、DARC層中にアパーチャーを形成するステップと、ハードマスク層をエッチングするために適切なエッチングガスによって、パターン化されたフォトレジスト層及びDARC層に対してDARC層中のアパーチャーによって曝露されたハードマスク層を選択的にプラズマエッチングし、ハードマスク層中にアパーチャーを延在させるステップと、ヒドロフルオロカーボンエッチング化合物を使用して、ハードマスク層に対してハードマスク層中のアパーチャーによって曝露された交互層を選択的にプラズマエッチングし、交互層中にアパーチャーを延在させるステップとを含んでなり、第1のケイ素含有層及び第2のケイ素含有層が非選択的にエッチングされる方法が開示される。
酸化ケイ素及び窒化ケイ素の交互層上に堆積されたDARC層と、DARC層上に形成されたパターン化されたフォトレジスト層と、DARC層と交互層との間に形成されたハードマスク層とを有する、基材上に堆積されたケイ素含有層の構造のエッチング方法であって、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)を使用して、パターン化されたフォトレジスト層に対してDARC層を選択的にプラズマエッチングし、DARC層中にアパーチャーを形成するステップと、ハードマスク層をエッチングするために適切なエッチングガスによって、パターン化されたフォトレジスト層及びDARC層に対してDARC層中のアパーチャーによって曝露されたハードマスク層を選択的にプラズマエッチングし、ハードマスク層中にアパーチャーを延在させるステップと、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)を使用して、ハードマスク層に対してハードマスク層中のアパーチャーによって曝露された酸化ケイ素及び窒化ケイ素の交互層を選択的にプラズマエッチングし、酸化ケイ素及び窒化ケイ素の交互層中にアパーチャーを延在させるステップとを含んでなり、酸化ケイ素層及び窒化ケイ素層が非選択的にエッチングされる方法も開示される。
開示された方法のいずれも次の態様の1つ又はそれ以上を含み得る:
・ヒドロフルオロカーボンエッチング化合物が、窒素含有ヒドロフルオロカーボン化合物である;
・ヒドロフルオロカーボンエッチング化合物が、窒素含有有機フッ素化合物である;
・ヒドロフルオロカーボンエッチング化合物が、末端炭素において−NH官能基を含有する;
・ヒドロフルオロカーボンエッチング化合物が、末端炭素以外において−NH官能基を含有する;
・ヒドロフルオロカーボンエッチング化合物が、1つの窒素を含有する;
・プラズマ活性化されたヒドロフルオロカーボンエッチング化合物が、ケイ素含有膜と反応して、揮発性副産物を形成する;
・揮発性副産物が、反応チャンバーから除去される;
・DARC層が、ケイ素含有膜である;
・ケイ素含有膜が、酸素、窒素、炭素、水素、炭素又はそれらの組合せを含んでなる;
・ケイ素含有膜が、SiO(式中、xは0〜2の範囲であり、yは0〜1の範囲であり、zは0〜約1の範囲であり、且つkは0〜1の範囲である)である;
・ケイ素含有膜が、SiON層を含んでなる;
・ケイ素含有膜が、SiON層である;
・DARC層が、SiON層を含んでなる;
・DARC層が、SiON層である;
・DARC層が、無機誘電体キャップ層である;
・DARC層が、ポリマー層ではない;
・DARC層が、プラズマ損傷層ではない;
・SiON層が、パターン化されたフォトレジスト層から選択的にエッチングされる;
・パターン化されたフォトレジスト層からSiON層を選択的にエッチングする;
・DARC層上にBARC層を堆積する;
・BARC層が、ポリアミド及びポリスルホンを含んでなる;
・パターン化されたフォトレジスト層に対してBARC層を選択的にプラズマエッチングする;
・パターン化されたフォトレジスト層が、エステルのカルボキシル酸素に共有結合した第三非環式アルキル炭素又は第三脂環式炭素を含有するエステル基、アセタールフォト酸不安定性基、アミンベースの架橋成分、又は樹脂結合剤として使用するためのフェノール系樹脂を含むフォトレジスト樹脂から構成される;
・パターン化されたフォトレジスト層が、アミンベースの架橋剤、樹脂結合剤及び光活性成分を含む架橋成分から構成される;
・交互層が、酸化ケイ素、窒化ケイ素、ポリシリコン、結晶シリコン、SiOCH、SiON、Si(式中、a>0;b、c、d及びe≧0)の層又はそれらの組合せを含んでなる;
・交互層が、酸素原子、窒素原子、炭素原子、水素原子又はそれらの組合せを含んでなる;
・交互層が、ケイ素含有膜である;
・交互層が、酸化ケイ素の層及び窒化ケイ素の層を含んでなる;
・交互層が、酸化ケイ素及び窒化ケイ素の交互層を含んでなる;
・交互層が、酸化ケイ素及び窒化ケイ素の交互層である;
・交互層が、酸化ケイ素の層及びポリシリコンの層を含んでなる;
・交互層が、酸化ケイ素及びポリシリコンの交互層を含んでなる;
・交互層が、酸化ケイ素及びポリシリコンの交互層である;
・第1のケイ素含有層が、酸化ケイ素層を含んでなる;
・第1のケイ素含有層が、窒化ケイ素層を含んでなる;
・第1のケイ素含有層が、ポリシリコン層を含んでなる;
・第2のケイ素含有層が、酸化ケイ素層を含んでなる;
・第2のケイ素含有層が、窒化ケイ素層を含んでなる;
・第2のケイ素含有層が、ポリシリコン層を含んでなる;
・交互層上にハードマスク層を堆積する;
・ハードマスク層が、非晶質炭素(a−C)、ドープされたa−C、例えば、ホウ素、窒素、硫黄、塩素、フッ素若しくは金属(Al、Zr、Ti、W)がドープされた非晶質炭素の熱堆積プロセスCVD、プラズマ強化プロセスPECVD又はスプレーオン/スピンオン堆積層、ケイ素含有スピンオンマスク及び炭素含有スピンオンマスクからなる群から選択される;
・ハードマスク層が、a−C層である;
・ハードマスク層が、ドープされたa−C層である;
・ハードマスク層が、ケイ素含有スピンオンマスク層である;
・ハードマスク層が、炭素含有スピンオンマスク層である;
・ハードマスク層が、パターン化されたフォトレジスト層及びDARC層から選択的にエッチングされる;
・パターン化されたフォトレジスト層及びDARC層に対してハードマスク層を選択的にプラズマエッチングする;
・ハードマスク層が、cC、C、C、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、CFN、FNO、SO及びそれらの組合せからなる群から選択されるエッチングガスによってエッチングされる;
・交互層が、ハードマスク層から選択的にエッチングされる;
・交互層が、a−C層から選択的にエッチングされる;
・交互層が、ドープされたa−C層から選択的にエッチングされる;
・交互層が、ケイ素含有スピンオンハードマスク層から選択的にエッチングされる;
・交互層が炭素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素及び窒化ケイ素の交互層が、ハードマスク層から選択的にエッチングされる;
・酸化ケイ素及び窒化ケイ素の交互層が、a−C層から選択的にエッチングされる;
・酸化ケイ素及び窒化ケイ素の交互層が、ドープされたa−C層から選択的にエッチングされる;
・酸化ケイ素及び窒化ケイ素の交互層が、ケイ素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素及び窒化ケイ素の交互層が、炭素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素及びポリシリコンの交互層が、ハードマスク層から選択的にエッチングされる;
・酸化ケイ素及びポリシリコンの交互層が、a−C層から選択的にエッチングされる;
・酸化ケイ素及びポリシリコンの交互層が、ドープされたa−C層から選択的にエッチングされる;
・酸化ケイ素及びポリシリコンの交互層が、ケイ素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素及びポリシリコンの交互層が、炭素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素層が、ハードマスク層から選択的にエッチングされる;
・酸化ケイ素層が、a−C層から選択的にエッチングされる;
・酸化ケイ素層が、ドープされたa−C層から選択的にエッチングされる;
・酸化ケイ素層が、ケイ素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素層が、炭素含有スピンオンハードマスク層から選択的にエッチングされる;
・窒化ケイ素層が、ハードマスク層から選択的にエッチングされる;
・窒化ケイ素層が、a−C層から選択的にエッチングされる;
・窒化ケイ素層が、ドープされたa−C層から選択的にエッチングされる;
・窒化ケイ素層が、ケイ素含有スピンオンハードマスク層から選択的にエッチングされる;
・窒化ケイ素層が、炭素含有スピンオンハードマスク層から選択的にエッチングされる;
・ポリシリコン層が、ハードマスク層から選択的にエッチングされる;
・ポリシリコン層が、a−C層から選択的にエッチングされる;
・ポリシリコン層が、ドープされたa−C層から選択的にエッチングされる;
・ポリシリコン層が、ケイ素含有スピンオンハードマスク層から選択的にエッチングされる;
・ポリシリコン層が、炭素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素層が、窒化ケイ素層から選択的にエッチングされない;
・酸化ケイ素層が、ポリシリコン層から選択的にエッチングされない;
・窒化ケイ素層が、酸化ケイ素層から選択的にエッチングされない;
・ポリシリコン層が、酸化ケイ素層から選択的にエッチングされない;
・約10:1〜約200:1のアスペクト比を有するアパーチャーを製造する;
・約10:1〜約200:1のアスペクト比を有するケイ素含有膜中のアパーチャーを製造する;
・約10:1〜約200:1のアスペクト比を有するSiON層中のアパーチャーを製造する;
・約10:1〜約200:1のアスペクト比を有する交互層中のアパーチャーを製造する;
・約10:1〜約200:1のアスペクト比を有するSiO及びSiN層の交互層中のアパーチャーを製造する;
・約10:1〜約200:1のアスペクト比を有するSiO及びp−Si層の交互層中のアパーチャーを製造する;
・ポリマー層が、パターン化されたフォトレジスト層及びアパーチャーの側壁上に形成される;
・プラズマ活性化されたヒドロフルオロカーボンエッチング化合物が、x=0〜2である−NH含有イオンを含み、これがエッチングの間にパターン化されたフォトレジスト層及びアパーチャーの側壁上に堆積する;
・酸化剤を反応チャンバー中に導入する;
・酸化剤を反応チャンバー中に導入しない;
・酸化剤が、O、O、CO、CO、NO、NO、NO、SO、COS、HO及びそれらの組合せからなる群から選択される;
・酸化剤が、Oである;
・反応チャンバー中に導入する前に、ヒドロフルオロカーボンエッチングガス及び酸化剤を混合する;
・酸化剤とは別にヒドロフルオロカーボンエッチングガスを反応チャンバー中に導入する;
・酸化剤を連続的に反応チャンバー中に導入し、そしてヒドロフルオロカーボンエッチングガスを断続的に反応チャンバー中に導入する;
・酸化剤が、反応チャンバー中に導入されるヒドロフルオロカーボンエッチングガス及び酸化剤の全体積の約0.01%v/v〜約99.9%v/vを構成する;
・酸化剤が、反応チャンバー中に導入されるヒドロフルオロカーボンエッチングガス及び酸化剤の全体積の約0.01%v/v〜約10%v/vを構成する;
・不活性ガスを反応チャンバー中に導入する;
・不活性ガスを反応チャンバー中に導入しない;
・不活性ガスが、He、Ar、Xe、Kr及びNeからなる群から選択される;
・不活性ガスが、Arである;
・反応チャンバー中に導入する前に、ヒドロフルオロカーボンエッチングガス及び不活性ガスを混合し、混合物を製造する;
・不活性ガスとは別にヒドロフルオロカーボンエッチングガスを反応チャンバー中に導入する;
・不活性ガス連続的に反応チャンバー中に導入し、そしてヒドロフルオロカーボンエッチングガスを断続的に反応チャンバー中に導入する;
・不活性ガスが、反応チャンバー中に導入されるヒドロフルオロカーボンエッチングガス及び不活性ガスの全体積の約0.01%v/v〜約99.9%v/vを構成する;
・不活性ガスが、反応チャンバー中に導入されるヒドロフルオロカーボンエッチングガス及び不活性ガスの全体積の約90%v/v〜約99%v/vを構成する;
・追加的なエッチングガスを反応チャンバー中に導入することによって、選択性が改善される;
・追加的なエッチングガスが、cC、C、C、CF、CHF、CHF、CH、COS、CFI、CI、CI、F−C≡N、CS、SO、trans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン(trans−C)、cis−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン(cis−C)、ヘキサフルオロイソブテン(C)、trans−1,1,2,2,3,4−ヘキサフルオロシクロブタン(trans−C)、1,1,2,2,3−ペンタフルオロシクロブタン(C)、1,1,2,2−テトラフルオロシクロブタン(C)又はcis−1,1,2,2,3,4−ヘキサフルオロシクロブタン(cis−C)からなる群から選択される;
・追加的なエッチングガスが、CHFである;
・追加的なエッチングガスが、cCである;
・追加的なエッチングガスが、cCである;
・追加的なエッチングガスが、Cである;
・反応チャンバー中に導入する前に、ヒドロフルオロカーボンエッチングガス及び追加的なエッチングガスを混合する;
・追加的なエッチングガスとは別にヒドロフルオロカーボンエッチングガスを反応チャンバー中に導入する;
・反応チャンバー中に約0.01%v/v〜約99.99%v/vの追加的なエッチングガスを導入する;
・RF電力を適用することによってプラズマを活性化する;
・約25W〜約20,000Wの範囲のRF電力によってプラズマを活性化する;
・反応チャンバーは、約1mTorr〜約10Torrの範囲の圧力を有する;
・約0.1sccm〜約1slmの範囲のフロー速度でヒドロフルオロカーボンエッチングガスを反応チャンバー中に導入する;
・約−196℃〜約500℃の範囲の温度に基材を維持する;
・約−120℃〜約300℃の範囲の温度に基材を維持する;
・約−100℃〜約50℃の範囲の温度に基材を維持する;
・約−10℃〜約40℃の範囲の温度に基材を維持する;そして
・四重極質量分析装置、光学発光分光器、FTIR又は他のラジカル/イオン測定ツールによって、プラズマ活性化されたヒドロフルオロカーボンエッチング化合物を測定する。
−NH官能基を有する窒素含有ヒドロフルオロカーボンエッチング化合物も開示される。開示された窒素含有ヒドロフルオロカーボンエッチング化合物は次の態様の1つ又はそれ以上を含む:
・ヒドロフルオロカーボンエッチング化合物が、窒素含有有機フッ素化合物である;
・ヒドロフルオロカーボンエッチング化合物が、末端−NH官能基を含有する;
・ヒドロフルオロカーボンエッチング化合物が、非末端−NH官能基を含有する;
・ヒドロフルオロカーボンエッチング化合物が、1つの窒素原子を含有する;
・ヒドロフルオロカーボンエッチング化合物が、2,2,2−トリフルオロエタンアミン(CN)である;
・ヒドロフルオロカーボンエッチング化合物が、1,1,2−トリフルオロエタン−1−アミン(Iso−CN)である;
・ヒドロフルオロカーボンエッチング化合物が、2,2,3,3,3−ペンタフルオロプロピルアミン(CN)である;
・ヒドロフルオロカーボンエッチング化合物が、1,1,1,3,3−ペンタフルオロ−2−プロパンアミン(Iso−CN)である;
・ヒドロフルオロカーボンエッチング化合物が、1,1,1,3,3−ペンタフルオロ−(2R)−2−プロパンアミン(Iso−2R−CN)である;
・ヒドロフルオロカーボンエッチング化合物が、1,1,1,3,3−ペンタフルオロ−(2S)−2−プロパンアミン(Iso−2S−CN)である;
・ヒドロフルオロカーボンエッチング化合物が、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)である;
・ヒドロフルオロカーボンエッチング化合物が、1,1,2,3,3,3−ヘキサフルオロ−1−プロパンアミン(Iso−CN)である;
・約95体積%〜約99.999体積%の範囲の純度を有する;
・約10pptv(parts per trillion by volume)〜約5体積%の微量ガス不純物を含む;
・微量ガス不純物は、水を含む;
・微量ガス不純物は、COを含む;
・微量ガス不純物は、Nを含む;並びに
・窒素含有ヒドロフルオロカーボンエッチング化合物は、20ppmw未満の含水量を有する。
表示法及び命名法
以下の詳細な説明及び請求の範囲では、一般に、当該技術分野において周知である多数の略語、記号及び用語が利用されており、且つそれらには以下が含まれる。
本明細書で使用される場合、不定冠詞「a」又は「an」は、1又は1より多いことを意味する。
本明細書で使用される場合、本文又は請求項中の「約」又は「近似」又は「およそ」は、明記された値の±10%を意味する。
本明細書で使用される場合、「エッチ」又は「エッチング」という用語は、基材に対して直角にマスク面の特徴の端部に沿って垂直側壁が形成されるように、イオン衝撃が垂直方向での化学反応を促進するプラズマエッチングプロセス(すなわち、ドライエッチングプロセス)を意味する(Manos及びFlamm,Plasma Etching an Introduction,Academic Press,Inc.1989 pp.12−13)。エッチングプロセスによって、基材中に、アパーチャー、トレンチ、チャネルホール、ゲートトレンチ、階段状コンタクト、コンデンサーホール、コンタクトホール、階段状エッチ、スリットエッチ、埋込コンタクトエッチ、コンデンサーコンタクトエッチ、シャロートレンチアイソレーションエッチなどのアパーチャーが作成される。
「パターンエッチング」又は「パターン化されたエッチング」という用語は、ケイ素含有膜のスタック上のパターン化されたハードマスク層などの非平面構造をエッチングすることを意味する。
「パターンウエハ」又は「ウエハ」という用語は、パターンエッチングのために形成された、基材上にケイ素含有膜のスタック及びケイ素含有膜のスタック上にパターン化されたハードマスク層を有するウエハを意味する。
「マスク」という用語は、エッチングに抵抗する層を示す。ハードマスク層は、エッチングされる層の上に位置し得る。
「エッチング停止」という用語は、エッチングに抵抗する下の層を保護する、エッチングされる層の下の層を意味する。
「デバイスチャネル」という用語は、実際のデバイスの一部である層を意味し、そしてそれに対するいずれのダメージもデバイス性能に影響するであろう。
「アスペクト比」という用語は、トレンチの幅(又はアパーチャーの直径)に対するトレンチ(又はアパーチャー)の高さの比率を意味する。
「選択性」という用語は、別の材料のエッチング速度に対する1つの材料のエッチング速度の比率を意味する。「選択性エッチング」又は「選択的エッチング」という用語は、別の材料よりも1つの材料をエッチングすることを意味するか、或いは換言すれば、2つの材料間のエッチング選択性が1:1より高いか、又はそれ未満であることを意味する。
「独立して」という用語は、R基の記載に関して使用される場合、対象のR基が、同一又は異なる下付き文字又は上付き文字を有する他のR基に対して独立して選択されるのみならず、同一R基のいずれかの追加の種に対しても独立して選択されることも意味するものとして理解されるべきである。例えば、Mが原子であり、xが2又は3である式MR (NR(4−x)において、2個又は3個のR基は、互いに、又はR若しくはRと同一であってもよいが、同一である必要はない。さらに、他に特記されない限り、R基の値は、異なる式で使用される場合、互いに独立していることは理解されるべきである。
本明細書中、「膜」及び「層」という用語は、互換的に使用され得ることに留意されたい。膜は層に相当し得るか、又は層に関連し得ること、及び層が膜と呼ばれてもよいことが理解される。さらに、当業者は、「膜」又は「層」という用語が、本明細書で使用される場合、表面上に適用されたか、又は延展されたいくつかの材料の厚さを意味し、且つ表面が、ウエハ全体と同程度の大きいものからトレンチ又はライン程度の小さいものまでの範囲であり得ることを認識するであろう。
本明細書中、「エッチング化合物」及び「エッチングガス」という用語は、エッチング化合物が室温及び室圧において気体状態である場合、互換的に使用されてもよいことに留意されたい。エッチング化合物がエッチングガスに相当するか、又は関連し得ること、及びエッチングガスがエッチング化合物を意味し得ることは理解される。
「ビア」、「アパーチャー」及び「正孔」という用語は、互換的に使用されることもあり、且つ一般に、直接的な電気的接続のための物理的経路を提供する中間層絶縁体中の開口部を意味する。
「トレンチ」という用語は、一般に半導体基材中にエッチングされた幾何学的特徴を意味する。
本明細書で使用される場合、「NAND」という略語は、「否定AND(Negated AND)」又は「ノットAND(Not AND)」ゲートを意味し;「2D」という略語は、平面基材上の2次元ゲート構造を意味し;「3D」という略語は、ゲート構造が垂直方向に積み重ねられる、3次元又は垂直ゲート構造を意味する。
本明細書中、元素周期表からの元素の標準的な略語が使用される。元素がこれらの略語によって示され得ることは理解されるべきである(例えば、Siはケイ素を意味し、Nは窒素を意味し、Oは酸素を意味し、Cは炭素を意味し、Hは水素を意味し、Fはフッ素を意味する、など)。
Chemical Abstract Serviceによって割り当てられたユニークなCAS登録番号(すなわち、「CAS」)は、特に開示された分子をよりよく識別するために提供される。
SiN及びSiOなどのケイ素含有膜が、それらの適切な化学量論を示さずに明細書及び請求の範囲全体に列挙されることに留意されたい。ケイ素含有膜には、結晶質Si、ポリケイ素(p−Si若しくは多結晶質Si)又は非晶質ケイ素などの純粋なケイ素(Si)層;窒化ケイ素(Si)層;酸化ケイ素(Si)層;又はその混合物が含まれてよく、ここで、k、l、m及びnは、全てを含めて0.1〜6の範囲である。好ましくは、窒化ケイ素は、k及びIがそれぞれ0.5〜1.5の範囲であるSiである。より好ましくは、窒化ケイ素はSiである。本明細書中、以下の記載においてSiNは、Si含有層を表すために使用されてもよい。好ましくは、酸化ケイ素は、nが0.5〜1.5の範囲であり、且つmが1.5〜3.5の範囲であるSiである。より好ましくは、酸化ケイ素はSiOである。本明細書中、以下の明細書中のSiOは、Si含有層を表すのに使用され得る。ケイ素含有膜は、SiOCHを有する、Applied Materials,Inc.によるBlack Diamond II又はIII材料などの、有機ベース又は酸化ケイ素ベースの低誘電率誘電体材料などの酸化ケイ素ベースの誘電体材料であることも可能である。ケイ素含有膜は、a、b、cが0.1〜6の範囲であるSiを含み得る。ケイ素含有膜は、B、C、P、As及び/又はGeなどのドーパントも含み得る。
本発明の特性及び目的のさらなる理解のために、以下の詳細な説明は、添付の図面と関連して参照されるべきである。図面中、同様の要素は、同一又は類似の参照番号が与えられる。
図1aは、当該技術分野における3D NANDゲートを製造するための3D NANDスタック中の模範的な層の模範的な側断面図である。 図1bは、開示されたヒドロフルオロカーボンエッチング化合物を使用するプラズマエッチングによって、その中に形成された高アスペクト比ホールを示す、3D NANDスタック中の模範的な層の側断面図である。 図1cは、3D NANDスタック中のエッチングの間に側壁上に堆積したポリマーを示す、3D NANDスタック中の模範的な層の模範的な側断面図である。 図2は、堆積及びエッチング試験において適用された模範的な反応器システムの側断面図である。 図3は、開示された実施形態において使用される模範的なウエハパターンの模範的な側断面図である。 図4は、CHFによって生じた種の圧力に対する電子衝撃イオン化エネルギーを示すグラフである。 図5は、CHF、Ar及びOを使用する酸素フロー速度の関数としてのSiON及びPR膜のエッチング速度を示すグラフである。 図6は、CHF、Ar及びOによるエッチングの後のSiON=500nmパターン化ウエハ上のSEMイメージである。 図7は、CHF、CF、NH、Ar及びOを使用する酸素フロー速度の関数としてのSiON及びPR膜のエッチング速度を示すグラフである。 図8は、ONON及びOPOPをエッチングするために、従来のヒドロフルオロカーボン(CF及びCHF)、アンモニア(NH3)、Ar及びOを使用するSiO、SiN、p−Si及びa−Cのエッチング速度を示すグラフである。 図9は、CNによって生じた種の圧力に対する電子衝撃イオン化エネルギーを示すグラフである。 図10は、CN、Ar及びOを使用する酸素フロー速度の関数としてのSiON及びPR膜のエッチング速度を示すグラフである。 図11aは、Oを添加せず、CN及びArによるエッチング後のSiON表面のSEMイメージである。図11bは、Oを添加して、CN及びArによるエッチング後のSiON表面のSEMイメージである。 図12は、CNによって生じた種の圧力に対する電子衝撃イオン化エネルギーを示すグラフである。 図13は、CN、Ar及びOを使用する酸素フロー速度の関数としてのSiON及びPR膜のエッチング速度を示すグラフである。 図14は、CN、Ar及びOによるパターン化されたウエハのエッチングの後のSEMイメージである。 図15は、CNによって生じた種の圧力に対する電子衝撃イオン化エネルギーを示すグラフである。 図16は、CN、Ar及びOを使用する酸素フロー速度の関数としてのSiON及びPR膜のエッチング速度を示すグラフである。 図17aは、CN、Ar及び15sccmのOによるエッチングの後のSiON表面のSEMイメージである。図17bは、CN、Ar及び16sccmのOによるエッチングの後のSiON表面のSEMイメージである。 図18は、CN、Ar及びOによるパターン化されたウエハのエッチングの後のSEMイメージである。 図19は、それぞれCN及びCNによるSiON及びPR基材上の堆積速度を示すグラフである。 図20は、3D NAND応用におけるONON及びOPOPエッチングに関して種々のOフロー速度でCN及びArを使用するSiO、SiN、p−Si及びa−Cのエッチング速度を示すグラフである。 図21は、平面ウエハ上、種々のOフロー速度でCN及びArによるSiO、SiN、p−Si及びa−Cのエッチング速度を示すグラフである。
3D NAND及びDRAM応用においてケイ素含有膜をプラズマエッチングする方法が開示される。より特に、チャネルホール、階段状コンタクト、階段状エッチ、スリットエッチ、コンタクトホール、ゲートトレンチ、コンデンサーホール、埋込コンタクトエッチ、コンデンサーコンタクトエッチ、シャロートレンチアイソレーションエッチなどをその中に形成するための半導体構造を製造する方法が開示される。次の加工ステップにおいてパターン化される種々の材料の層のスタックの上にSiON層などのDARCキャップ層をエッチングする方法が開示される。ケイ素含有層の交互層などの種々の材料の層のスタックをエッチングする方法が開示される。DARC層のエッチングの先行加工ステップの後に、SiO/SiN(ONON)又はSiO/p−Si(OPOP)層などのケイ素含有層の層をエッチングする方法が開示される。
基材上に配置されたケイ素含有層の構造のエッチング方法が開示される。この構造には、第1のケイ素含有層及び第2のケイ素含有層の交互層上に堆積されたDARC層と、DARC層上に形成されたパターン化されたフォトレジスト層と、DARC層と交互層との間に形成されたハードマスク層とが含まれる。この方法は、ヒドロフルオロカーボンエッチング化合物を使用して、パターン化されたフォトレジスト層に対してDARC層を選択的にプラズマエッチングしてDARC層中にアパーチャーを製造するステップと、ハードマスク層をエッチングするために適切なエッチングガスによって、パターン化されたフォトレジスト層及びDARC層に対してDARC層中のアパーチャーによって曝露されたハードマスク層を選択的にプラズマエッチングし、ハードマスク層中にアパーチャーを延在させるステップと、上記ヒドロフルオロカーボンエッチング化合物を使用して、ハードマスク層に対してハードマスク層によって被覆されていない交互層を選択的にプラズマエッチングし、交互層中にアパーチャーを延在させるステップとを含んでなり、第1のケイ素含有層及び第2のケイ素含有層は非選択的にエッチングされる。すなわち、第1のケイ素含有層対第2のケイ素含有層のエッチングは、約1:1の選択性を有する。
開示されたヒドロフルオロカーボンエッチング化合物は、2,2,2−トリフルオロエタンアミン(CN)であってよい。開示されたヒドロフルオロカーボンエッチング化合物は、1,1,2−トリフルオロエタン−1−アミン(Iso−CN)であってよい。開示されたヒドロフルオロカーボンエッチング化合物は、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)であってよい。開示されたヒドロフルオロカーボンエッチング化合物は、1,1,2,3,3,3−ヘキサフルオロ−1−プロパンアミン(Iso−CN)であってよい。開示されたヒドロフルオロカーボンエッチング化合物は、2,2,3,3,3−ペンタフルオロプロピルアミン(CN)であってよい。開示されたヒドロフルオロカーボンエッチング化合物は、1,1,1,3,3−ペンタフルオロ−2−プロパンアミン(Iso−CN)であってよい。開示されたヒドロフルオロカーボンエッチング化合物は、1,1,1,3,3−ペンタフルオロ−(2R)−2−プロパンアミン(Iso−2R−CN)であってよい。開示されたヒドロフルオロカーボンエッチング化合物は、1,1,1,3,3−ペンタフルオロ−(2S)−2−プロパンアミン(Iso−2S−CN)であってよい。
開示されたヒドロフルオロカーボンエッチング化合物は、−NH官能基を有する。開示されたヒドロフルオロカーボンエッチング化合物は、窒素含有有機フッ素化合物であって、且つ1つの窒素を含む。
開示されたヒドロフルオロカーボンエッチング化合物は、商業的に入手可能であり、且つそれらの構造式、CAS番号及び沸点は表1に含まれる。当業者は、これらの化合物の合成方法が、提供されたCAS番号を使用して入手され得ることを認識するであろう。
Figure 2021503172
本明細書中、開示されたヒドロフルオロカーボンエッチング化合物、2,2,2−トリフルオロエタンアミン(CN)、1,1,2−トリフルオロエタン−1−アミン(Iso−CN)、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン、1,1,2,3,3,3−ヘキサフルオロ−1−プロパンアミン、2,2,3,3,3−ペンタフルオロプロピルアミン(CN)、1,1,1,3,3−ペンタフルオロ−2−プロパンアミン(Iso−CN)、1,1,1,3,3−ペンタフルオロ−(2R)−2−プロパンアミン(Iso−2R−CN)及び1,1,1,3,3−ペンタフルオロ−(2S)−2−プロパンアミン(Iso−2S−CN)は、SiON層を含むケイ素含有膜、並びにSiO層及びSiN層(すなわち、ONON)の交互層又はSiO層及びp−Si層(すなわち、OPOP)の交互層をエッチングし得る。開示されたヒドロフルオロカーボンエッチング化合物、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)及び1,1,2,3,3,3−ヘキサフルオロ−1−プロパンアミン(Iso−CN)は、SiON層を含むケイ素含有膜、並びにSiO層及びSiN層(すなわち、ONON)の交互層をエッチングし得る。
上記ヒドロフルオロカーボンエッチング化合物は、1つの−NH基を含む。−NH基は、末端炭素にあってもよい。−NH基は、末端炭素になくてもよい。以下の実施例に示されるように、本出願人は、プラズマ活性化エッチング化合物中のN含有種がエッチング構造上への保護ポリマーの堆積を補助するため、この−NH基が優れたエッチングプロフィールを生じることの補助となると考える。
開示されたヒドロフルオロカーボンエッチング化合物は、フォトレジスト層、ハードマスク層及びエッチングストップ層及びデバイスチャネル材料に高い選択性を提供し得、且つSiO、SiN及びp−Siなどのケイ素含有層に選択性を提供し得ず、DRAM及び3D NAND応用において1:1〜200:1の範囲のアスペクト比を有するものなどの高アスペクト比構造においてプロフィール変形をもたらし得ない。
開示されたヒドロフルオロカーボンエッチング化合物は、エッチングの広いプロセス条件に対して無限の選択性をもたらし得る。本明細書中、選択性は2つの異なる層のエッチング速度比を示す。例えば、パターン化されたフォトレジスト層に対するSiON層の選択性は、パターン化されたフォトレジスト層のエッチング速度で割ったSiONのエッチング速度である。開示されたヒドロフルオロカーボンエッチング化合物は、ケイ素含有膜及びパターン化されたフォトレジスト層の間の改善された選択性をもたらし得、且つフォトレジスト材料へのより少ない損傷をもたらし得る。
開示されたヒドロフルオロカーボンエッチング化合物は、95%v/vより高い純度で、好ましくは、99.99%v/vより高い純度で、より好ましくは、99.999%v/vより高い純度で提供される。開示されたヒドロフルオロカーボンエッチング化合物は、5体積%未満の微量ガス不純物を含有し、微量ガス不純物中には、体積で150ppm未満のN及び/又はHO及び/又はCOなどの不純物ガスが含まれる。好ましくは、プラズマエッチングガス中の含水量は、重量で20ppmw未満である。精製された生成物は、蒸留、及び/又は4Åモレキュラーシーブなどの適切な吸着剤を通してガス又は液体を通過させることによって製造され得る。
本出願人は、2〜3個の炭素原子を有する分子が、C分子よりもエッチングプロセス間に良好な側壁保護をもたらし得ると考える。側壁保護に関して、本出願人は、それらがより厚いパッシベーション層を生じるであろうと考えるため、C分子が好ましい。模範的なC NH−含有エッチング化合物としては、CN及びCN及びそれらの異性体が含まれる。しかしながら、C分子は、反応器への配達がより容易であり得、又はいくつかの用途に関して、より薄いパッシベーション層が好ましくなり得る。模範的なC NH−含有エッチング化合物としては、CN及びその異性体が含まれる。
開示されたヒドロフルオロカーボンエッチング化合物は、10%v/v未満、好ましくは、1%v/v未満、より好ましくは、0.1%v/v未満、さらにより好ましくは、0.01%v/v未満のいずれかのその異性体を含有し、これは、異性体を除去するためのガス又は液体の蒸留によって精製され得、且つより良好なプロセス繰返し性を提供し得る。
代わりに、開示されたヒドロフルオロカーボンエッチング化合物は、特に異性体混合物が改善されたプロセスパラメーターを提供する場合、又は標的異性体の単離が非常に困難であるか、若しくは費用がかかる場合、5%v/v〜50%v/vのその異性体を含み得る。例えば、開示されたヒドロフルオロカーボンエッチング化合物は、約50%v/v〜約75%v/vの2,2,3,3,3−ペンタフルオロプロピルアミン(CN)及び約25%v/v〜約50%v/vの2,2,3,3−テトラフルオロプロピオニトリルを含んでなり得る。異性体の混合物は、反応チャンバーへの2以上のガスラインの必要性を減少させ得る。
開示されたヒドロフルオロカーボンエッチング化合物は、室温及び室圧において気体状態である。開示されたヒドロフルオロカーボンエッチング化合物は、SiON、SiO、SiN、p−Si膜などのケイ素含有膜のプラズマエッチングに適切である。開示されたヒドロフルオロカーボンエッチング化合物は、高アスペクト比構造の良好なプロフィールと一緒に、フォトレジスト及びハードマスク上に損傷をほとんど誘導しないか、又は全く誘導しないため、開示されたヒドロフルオロカーボンエッチング化合物は、現在利用可能なフォトレジスト及びハードマスク材料に対して適合性があるのみならず、次世代のフォトレジスト及びハードマスク材料に対しても適合性がある。これらの特性を達成するために、開示されたヒドロフルオロカーボンエッチング化合物は、エッチングプロセス間のエッチングプロフィールへの酸素及びフッ素ラジカルの直接的影響又は衝撃を減少することを補助するためにエッチングの間にエッチングされた構造の側壁上にエッチング抵抗性ポリマー層を堆積してもよい。好ましくは、開示されたヒドロフルオロカーボンエッチング化合物は、反応器/チャンバー中への送達のため、エッチングプロセスの間、適切に揮発性及び安定性である。
材料適合性試験は、いずれかの開示されたヒドロフルオロカーボンエッチング化合物がチャンバー材料と反応して、そして短期又は長期使用によってチャンバーの性能を低下させるかどうかを決定するために重要である。チャンバー、バルブなどの一部に関連する重要な材料としては、ステンレス鋼、アルミニウム、ニッケル、PCTFE、PVDF、PTFE、並びに他の金属及びポリマーが含まれる。時には、これらの材料は、それらの低下を強化し得る、高温、例えば、20℃より高い温度、及び高圧、例えば、1atmより高い圧力に暴露される。測定方法には、目視検査、重量測定、SEMにおけるナノメートルスケールでの変化の測定、引張強度、硬度などが含まれ得る。
開示されたヒドロフルオロカーボンエッチング化合物は、SiO/SiN若しくはSiO/p−Siの交互層を有する3D NANDフラッシュメモリ、又はDRAMメモリなどの半導体構造上に被覆されたSiON膜などのケイ素含有膜のプラズマエッチングのために使用されてもよい。開示されたヒドロフルオロカーボンエッチング化合物は、基材上のケイ素含有膜、例えばSiO/SiN若しくはSiO/p−Siの交互層、又はDRAMメモリ、例えば酸化ケイ素層のプラズマエッチングのためにも使用されてもよい。開示されたプラズマエッチング方法は、半導体デバイス、例えばNAND又は3D NANDゲート、或いはフラッシュ又はDRAMメモリ又はトランジスタ、例えばフィン型電界効果トランジスタ(fin−shaped field−effect transistor)(FinFET)、ラテラルゲート−オール−アラウンド(Lateral Gate−All−Around)(LGAA)デバイス及びバーティカルゲート−オール−アラウンド(Vertical Gate−All−Around)(VGAA)デバイス、バルク相補型金属酸化物半導体(complementary metal−oxide−semiconductor)(バルクCMOS)、完全空乏シリコン−オン−インシュレーター(fully depleted silicon−on−insulator)(FD−SOI)構造、Monolithich 3D(M3D)の製造において有用であり得る。開示されたヒドロフルオロカーボンエッチング化合物は、異なるフロントエンド(front end of the line)(FEOL)及びバックエンド(back end of the line)(BEOL)エッチング応用、並びに低k応用などの他の領域の用途において有用であり得る。さらに、開示されたヒドロフルオロカーボンエッチング化合物は、基材上のロジックにメモリを相互連結させるため、3D Si貫通電極(through silicon aperture)(TSV)エッチング用途においてSiをエッチングするために使用され得る。
プラズマエッチング法は、その中に配置された基材を有する反応チャンバーを提供することを含む。反応チャンバーは、限定されないが、反応性イオンエッチング(RIE)、単一又は複数周波数RF源による容量結合プラズマ(CCP)、誘導結合プラズマ(ICP)、電子サイクロトロン共鳴(ECR)又はマイクロ波プラズマ反応器、或いは選択的にケイ素含有膜の一部を除去することが可能であるか、又は活性種を生成することが可能である他の種類のエッチングシステムなどのその中でエッチング法が実行されるデバイス中のいずれかのエンクロージャ又はチャンバーであり得る。当業者は、異なるプラズマ反応チャンバー設計によって異なる電子温度制御が提供されることを認識するであろう。適切な商業的に入手可能なプラズマ反応チャンバーとしては、限定されないが、eMAX(商標)の商標で販売されるApplied Materials磁気強化反応性イオンエッチャー、又は2300(登録商標)Flex(商標)の商標名で販売されるLam Research Dual CCP反応性イオンエッチャー誘電体エッチング製品系統が含まれる。それらの中のRF電力は、プラズマ特性を制御し、それによって、エッチング性能(選択性及びダメージ)をさらに改善するために断続的であってもよい。
代わりに、プラズマ処理された反応物は、反応チャンバーの外部で生成され得る。MKS InstrumentsのASTRONi(登録商標)反応性ガス発生機は、反応チャンバー中への通過の前に反応物を処理するために使用され得る。2.45GHz、7kWプラズマ電力及び約0.5Torr〜約10Torrの範囲の圧力で作動させると、反応物Oは2つのO・ラジカルに分解し得る。好ましくは、リモートプラズマは、約1kW〜約10kW、より好ましくは、約2.5kW〜約7.5kWの範囲の電力で生成され得る。
反応チャンバーは、1つ又は1つより多くの基材を含有し得る。例えば、反応チャンバーは、25.4mm〜450mmの直径を有する1〜200のケイ素ウエハを含有し得る。基材は、半導体、光起電、フラットパネル又はLCD−TFTデバイス製造において使用されるいずれかの適切な基材であってよい。適切な基材の例としては、ケイ素、シリカ、ガラス、サファイア、ゲルマニウムなどのウエハ又はGaAsウエハなどのIII−V族化合物が含まれる。ウエハは、ケイ素含有膜又は層を含む、以前の製造ステップからのその上の複数の膜又は層を有するであろう。層はパターン化されていても、又はされていなくてもよい。適切な層の例としては、限定されないが、ケイ素(非晶質ケイ素、p−Si、結晶質ケイ素、これらのいずれもB、C、P、As及び/又はGeによってさらにp−ドープ又はn−ドープされていてよい)、シリカ、窒化ケイ素、酸化ケイ素、オキシ窒化ケイ素、Si(式中、a>0;b、c、d、e≧0)、マスク層材料、例えば、非晶質炭素、ドープされた非晶質炭素、例えば、ホウ素、窒素、硫黄、塩素、フッ素若しくは金属(Al、Zr、Ti、W)によってドープされた非晶質炭素、反射防止コーティング、フォトレジスト材料、タングステン、窒化チタン、窒化タンタル又はそれらの組合せ、エッチング停止層、例えば、窒化ケイ素、ポリケイ素、結晶質ケイ素、炭化ケイ素(SiC)、炭窒化ケイ素(SiCN)又はそれらの組合せ、デバイスチャネル材料、例えば、結晶質ケイ素、エピタキシャルケイ素、ドープされたケイ素、Si(式中、a>0;b、c、d、e≧0)、或いはそれらの組合せが含まれる。酸化ケイ素層は、有機ベースか、又は酸化ケイ素ベースの低誘電率誘電体材料(例えば、多孔性SiCOH膜)などの誘電体材料を形成し得る。模範的な低誘電率誘電体材料は、商標名Black Diamond II又はIIIでApplied Materialsによって販売される。さらに、タングステン又は貴金属(例えば、白金、パラジウム、ロジウム又は金)を含む層が使用されてもよい。さらに、ケイ素含有膜の例は、Si(式中、a>0;b、c、d、e≧0)であり得る。明細書及び請求の範囲全体で、ウエハ及びそのいずれかの関連層は基材と記載される。
プラズマエッチング条件下で半導体構造を製造するための開示されたヒドロフルオロカーボンエッチング化合物を使用する方法も開示される。開示された方法は、Si−含有膜をプラズマエッチングするためのN−含有ヒドロフルオロカーボンエッチング化合物の使用をもたらす。開示された方法は、パターン化されたフォトレジストマスク層又はパターンハードマスク層の損傷の抑制、パターン化されたフォトレジストマスク層又はパターンハードマスク層の保護、或いは基材中にアパーチャー、ホール又はトレンチを形成する間のパターン化されたフォトレジストマスク層又はパターンハードマスク層の補強ももたらす。開示された方法は、3D NAND及びDRAM応用などにおける半導体の製造において有用である。
開示された方法は、第1のケイ素含有層及び第2のケイ素含有層の交互層上のDARC層、DARC層上のパターン化されたフォトレジスト層、及びDARC層と交互層との間のハードマスク層を有する半導体構造を形成することと、開示されたヒドロフルオロカーボンエッチングガスを反応器中に導入することと、開示されたヒドロフルオロカーボンエッチングガスをプラズマ活性化することと、活性化された開示されたヒドロフルオロカーボンエッチングガスを使用して、パターン化されたフォトレジスト層に対してDARC層を選択的にエッチングし、DARC層中にアパーチャーを形成することと、ハードマスク層をエッチングするために適切なエッチングガスによって、DARC層中のアパーチャーによって曝露されたハードマスク層を選択的にプラズマエッチングし、ハードマスク層中にアパーチャーを延在させることと、上記で開示されたヒドロフルオロカーボンエッチングガスを使用して、ハードマスク層に対してハードマスク層によって被覆されていない交互層を選択的にプラズマエッチングし、交互層中にアパーチャーを延在させることとを含み、第1のケイ素含有層及び第2のケイ素含有層は非選択的にエッチングされる。開示された方法は、DARC層、ハードマスク層及び交互層をエッチングしている間にアパーチャーの側壁上にポリマー層を同時に堆積するステップも含む。
その中にアパーチャーを形成しながら、半導体構造上のパターン化されたフォトレジスト層又はパターンハードマスクを補強するための開示された方法は、エッチング標的層上のDARC層、及びDARC層上のパターン化されたフォトレジスト層を有する半導体構造を形成することと、2,2,2−トリフルオロエタンアミン(CN)、1,1,2−トリフルオロエタン−1−アミン(Iso−CN)、2,2,3,3,3−ペンタフルオロプロピルアミン(CN)、1,1,1,3,3−ペンタフルオロ−2−プロパンアミン(Iso−CN)、1,1,1,3,3−ペンタフルオロ−(2R)−2−プロパンアミン(Iso−2R−CN)及び1,1,1,3,3−ペンタフルオロ−(2S)−2−プロパンアミン(Iso−2S−CN)、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)及び1,1,2,3,3,3−ヘキサフルオロ−1−プロパンアミン(Iso−CN)からなる群から選択されるヒドロフルオロカーボンエッチング化合物を使用して、パターン化されたフォトレジスト層に対してDARC層を選択的にプラズマエッチングし、DARC層中にアパーチャーを形成するが、パターン化されたフォトレジスト層及びアパーチャーの側壁上にポリマー層を同時に堆積することとを含む。
ケイ素含有層の交互層を有する半導体構造を製造するための開示された方法は、基材上でケイ素含有層の交互層上にパターン化されたハードマスク層を有する半導体構造を形成することと、2,2,2−トリフルオロエタンアミン(CN)、1,1,2−トリフルオロエタン−1−アミン(Iso−CN)、2,2,3,3,3−ペンタフルオロプロピルアミン(CN)、1,1,1,3,3−ペンタフルオロ−2−プロパンアミン(Iso−CN)、1,1,1,3,3−ペンタフルオロ−(2R)−2−プロパンアミン(Iso−2R−CN)及び1,1,1,3,3−ペンタフルオロ−(2S)−2−プロパンアミン(Iso−2S−CN)、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)及び1,1,2,3,3,3−ヘキサフルオロ−1−プロパンアミン(Iso−CN)からなる群から選択されるヒドロフルオロカーボンエッチング化合物を使用して、パターン化されたハードマスク層に対してケイ素含有層の交互層を選択的にプラズマエッチングし、ケイ素含有層の交互層中にアパーチャーを形成するが、ハードマスク層及びアパーチャーの側壁上にポリマー層を同時に堆積することとを含み、第1のケイ素含有層及び第2のケイ素含有層は非選択的にエッチングされる。本明細書中、第1のケイ素含有層は酸化ケイ素層を含んでなり、且つ第2のケイ素含有層は窒化ケイ素層を含んでなり、且つ逆もまた同様であり;且つ第1のケイ素含有層は、酸化ケイ素層を含んでなり、且つ第2のケイ素含有層はポリケイ素層を含んでなり、且つ逆もまた同様である。
ケイ素含有層の交互層を有する半導体構造を製造するための開示された方法は、基材上でケイ素含有層の交互層上にパターン化されたハードマスク層を有する半導体構造を形成することと、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)を使用して、パターン化されたハードマスク層に対してケイ素含有層の交互層を選択的にプラズマエッチングし、ケイ素含有層の交互層中にアパーチャーを形成するが、ハードマスク層及びアパーチャーの側壁上にポリマー層を同時に堆積することとを含み、第1のケイ素含有層及び第2のケイ素含有層は非選択的にエッチングされる。本明細書中、第1のケイ素含有層は酸化ケイ素層を含んでなり、且つ第2のケイ素含有層は窒化ケイ素層を含んでなり、且つ逆もまた同様である。
以下は、エッチングのために開示されたヒドロフルオロカーボンエッチングガスが適用され得る半導体のパターン化された基材の模範的な実施形態である。
一実施形態において、図1aに示されるように、基材100は複数の層のスタックを含み得る。示されるように、n対のSiO/SiN又はONON(すなわち、104a/104b)の交互層のスタック104は、ケイ素ウエハ102(すなわち、TCAT技術又はp−BiCS技術などのONON)上に堆積される。ここでnは整数である。好ましくは、n=96である。より好ましくは、n=128若しくは256又はそれ以上である。当業者は、基材100のスタック中のSiO/SiN104の交互層の数は変動してもよいことを認識するであろう。ハードマスク層106は、SiO/SiNの交互層104上に堆積される。無機誘電体キャップ層108は、ハードマスク層106上に堆積され、そしてDARC層として機能する。有機BARC層110は、感光性の層をパターン化の間の光の反射をさらに減少させるために、無機誘電体キャップ層108上に適用される。有機BARC層110は、典型的に、ポリアミド及びポリスルホンを含んでなり、その上にパターン化されたフォトレジスト層112(すなわち、感光性の層)がコーティングされる。パターン化されたフォトレジスト層112は、フォトリソグラフィー操作によって形成された複数のパターン化されたホール(1つのホール114がここに示される)を含み、それは3D NANDスタック又はDRAM応用において複数の凹部領域(例えば、コンタクトホール、チャネルホール、トレンチなど)を画定する。
ここで当業者は、ケイ素ウエハ102は、ゲルマニウムウエハ又はSi−Geウエハで、或いはタングステン(W)ウエハで置き換えられてもよく、いくつかの応用において、SiN層104bは、p−Si層(例えば、SiO/p−Si又はOPOP)によって置き換えられてもよく、それによって、SiO/p−Si又はOPOPの整数n対の交互層のスタックがケイ素ウエハ上に形成されるであろう。
SiO/SiN(又はSiO/p−Si)の交互層104は、酸化ケイ素、窒化ケイ素、ポリケイ素、結晶質ケイ素、SiOCN、SiON、Si(式中、a>0;b、c、d及びe≧0)の層又はそれらの組合せを含み得る。SiO/SiN(又はSiO/p−Si)の交互層104は、Mg、Ca、Sr、Ba、Nb、Ta、Al、Si、Ge、Ti、Zr又はHfなどの第4族遷移金属、La、Y、Sc、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb及びLuなどのランタニド金属又はそれらの組合せを含有する材料を含み得る。或いは、SiO、SiN又はp−Si層は、Mg、Ca、Sr、Ba、Nb、Ta、Al、Si、Ge、Ti、Zr又はHfなどの第4族遷移金属、La、Y、Sc、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb及びLuなどのランタニド金属又はそれらの組合せを含有する材料を含み得る。
ハードマスク層106は、SiO/SiN層エッチングの間にエッチング抵抗を改善するために、C及びH、並びにホウ素、窒素、酸素、硫黄、塩素、フッ素、アルミニウム、タングステン、チタン、ジルコニウムなどの他の元素を含有し得る。ホウ素ドープされた炭素状材料の一例は、アドバンスドパターニングフィルム(advanced patterning film)(APF)の名称で、より特に、ホウ素ドープされたAPFcとして知られている材料のAPF属の種で、Santa Clara,CAのApplied Materials,Inc.から入手可能である。ハードマスク層106は、a−C、ドープされたa−C、例えば、ホウ素、窒素、硫黄、塩素、フッ素若しくは金属(Al、Zr、Ti、W)がドープされた非晶質炭素の熱CVD、PECVD又はスプレーオン/スピンオン堆積層であってよい。ハードマスク層106は、ケイ素含有スピンオンマスク、炭素含有スピンオンマスク、フォトレジストなどであってもよい。
無機誘電体キャップ層108は、酸窒化ケイ素(SiON)層を形成するために、少なくともケイ素を含んでなり、且つ典型的に窒素、酸素をさらに含む、単一膜又は膜の多層スタックであってよい。SiONは、典型的に、限定されないが、xが0〜2の範囲であり、yが0〜1の範囲であり、zが0〜約1の範囲であり、且つkが0〜1の範囲であるSiOの式を有する。SiON DARC層の組成を変更することによって、フォトレジスト層のイメージングの間のフォトレジスト上への反射を制御することができる。SiOがARCとして使用される場合、x、y及びzは、典型的に約0.2〜約0.5の範囲である。SiONは、一般に、光を吸収するその能力のため、フォトリソグラフィーの間に深紫外線(DUV)フォトレジストと組み合わせて、反射防止コーティングとして使用される。スピンオン有機ポリマーなどの従来のARC層は、膜の吸収特性を利用することによって、望ましくない反射を抑制するために使用されている。しかしながら、スピンオン膜の自己平坦化特性のため、有機ARC膜は、トポロジー上で厚さ変動を有し、したがって、膜を通して異なる吸収性をもたらす。ポリマーARCにおける厚さ変動は、有機フォトレジストと有機ARC膜との間に最小限のエッチング選択性があるため、エッチングの問題をもたらすであろう。したがって、相シフト削除を使用することによって機能する誘電体ARCが導入された。基材から、そしてARC表面からの反射が互いに対して相から180°である場合、反射は破壊界面によって互いを削除するであろう。DARCを通過する波の半波長の相シフトは、DARCの厚さ及び屈折指数を最適化することによって達成することができる。無機誘電体キャップ層108の組成、光学特性及び厚さは、特に特徴のフォトリソグラフィックパターニングの間に利用される波長に関して、最小反射及び高コントラストを提供するように調整され得る。無機誘電体キャップ層108は、CVD又はPECVD法によって堆積されてよい。SiO/SiNの交互層104の対の数(すなわち、n)が大きくなると、無機誘電体キャップ層108(例えば、SiON層)の厚さは厚くなり得る。模範的な実施形態において、無機誘電体キャップ層108は、約5nm〜約1000nm、より特に10nm〜800nm、さらにより特に10nm〜500nmの厚さで形成される。いくつかの実施形態において、ハードマスク層106上には無機誘電体キャップ層108のみが存在し得る。代わりの実施形態において、ハードマスク層106上には有機BARC110及び無機誘電体キャップ層108の両方が存在する必要があり得る。無機誘電体キャップ層108は、ポリマー層又はプラズマ損傷層のいずれもでないDARC層である。
無機誘電体キャップ層108は、DARC層として機能し、且つ/又は次の有機膜、例えば、スピンオン技術によって適用された有機BARC層110の接着性を改善する。有機膜は、さもなければハードマスク層106、例えばホウ素がドープされたa−Cハードマスク層に良好に接着し得ない。無機誘電体キャップ層108は、開示されたヒドロフルオロカーボンエッチング化合物によってフォトレジスト層112におけるパターンをハードマスク層106に移して、ハードマスク層106上に無機誘電体キャップ層108のマスクパターンを形成する役割も果たす。凹部領域は、さらにi)cC、C、C、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、CFN、FNO、SO及びその組合せから選択されるエッチングガスなどのハードマスクをエッチングするために適切なエッチングガスによって無機誘電体キャップ層108に対して選択的にハードマスク層106をエッチングすること、及びii)プラズマエッチング条件下でケイ素含有膜をエッチングするために適切な開示されたヒドロフルオロカーボンエッチング化合物又は他のエッチングガスによってハードマスク層106中に形成されたマスクパターンに対して選択的にケイ素含有膜(例えば、SiO/SiN又はONONの交互層104)をエッチングすることによって形成され得る。
パターン化されたフォトレジスト層110は、ポジ型及びネガ型材料の両方を含み得る。適切なポジ型材料としては、レジスト層のコーティング層の暴露領域を未暴露領域よりも湿式現像剤中により溶解性にさせる、組成物の1つ又はそれ以上の成分、酸不安定性基のフォト酸によって促進される脱保護反応を受けるポジ型化学増幅フォトレジストが含まれる。フォトレジスト樹脂の典型的なフォト酸不安定性基としては、エステルのカルボキシル酸素に共有結合した第三非環式アルキル炭素(例えばt−ブチル)又は第三脂環式炭素(例えばメチルアダマンチル)を含有するエステル基が含まれる。アセタールフォト酸不安定性基も使用される。
適切なネガ型レジストは、典型的に架橋成分を有するであろう。架橋成分は、典型的に別個のレジスト成分として存在する。メラミン、例えばCymelメラミン樹脂などのアミンベースの架橋剤が典型的である。実施形態において有用なネガ型フォトレジスト組成物は、酸への暴露時に硬化、架橋又は固まるであろう材料と、開示された実施形態の光活性成分との混合物を含む。特に有用なネガ型組成物は、フェノール樹脂などの樹脂結合剤、架橋剤成分及び光活性成分を含んでなる。樹脂結合剤成分として使用するための典型的なフェノール樹脂としては、ノボラック及びポリ(ビニルフェノール)が含まれる。典型的な架橋剤としては、メラミンを含むアミンベースの材料、グリコールウリル、ベンゾグアナミンベースの材料及び尿素ベースの材料が含まれる。メラミンホルムアルデヒド樹脂が一般に最も典型的である。そのような架橋剤は商業的に入手可能であり、例えば、Cymel 300、301及び303の商品名でCytec Industriesによって販売されるメラミン樹脂である。
当業者は、基材100中の層のスタックが模範的な目的のみのために提供されること、そして開示されたヒドロフルオロカーボンエッチングガスが、例えばa−Cマスク層106がTiN層に置き換えられるスタックに関して、他の種類の層のスタックをエッチングするために使用され得ることを認識するであろう。
図1bは、開示されたヒドロフルオロカーボンエッチング化合物を使用するプラズマエッチングによって、その中に形成された高アスペクト比ホールを示す、3D NANDスタック中の模範的な層の側断面図である。アパーチャー214は、i)プラズマエッチング条件下で開示されたヒドロフルオロカーボンエッチング化合物によって、パターン化されたフォトレジスト層212に対して選択的に無機誘電体キャップ層208をエッチングすること;ii)プラズマエッチング条件下でハードマスク層をエッチングするために適切なエッチングガスによって、パターン化されたフォトレジスト層212及び無機誘電体キャップ層208に対して選択的にハードマスク層206をエッチングすること;並びにiii)開示されたヒドロフルオロカーボンエッチング化合物又はプラズマエッチング条件下でケイ素含有層の交互層204をエッチングするために適切な他のエッチングガスによって、パターン化されたフォトレジスト層212、無機誘電体キャップ層208及びハードマスク層206に対して選択的にケイ素含有層の交互層204をエッチングすることによって形成される。本出願人は、アパーチャー214が、チャネルホール及びコンタクトホールエッチング応用において1:1〜50:1の範囲のアスペクト比、好ましくは約1:1〜200:1の範囲のアスペクト比を有し得ると考える。
ハードマスク層206をエッチングするために適切なエッチングガスは、CF、C、C、Cなどのフルオロカーボンエッチングガス(C、xは整数であり、y=2x+2又はy=2x又はy=2x−2である)、CHF、CH、CHFなどのヒドロフルオロカーボンエッチングガス(C、x及びyは整数であり、z=2x−y+2又はz=2x−y又はz=2x−y−2である)、O、COSなどの酸化剤、CFI、Cl、HBr、SF、NFなどのフッ素化合物、Nなどの不活性ガス、或いはそれらの組合せを含み得る。
ケイ素含有膜の交互層をエッチングするために適切な他のエッチングガスは、フルオロカーボン(C、xは整数であり、y=2x+2又はy=2x又はy=2x−2である)又はヒドロフルオロカーボン(C、x及びyは整数であり、z=2x−y+2又はz=2x−y又はz=2x−y−2である)、例えば、cC、C、C、CF、CHF、CHF、CH又は他のフッ素化合物、或いはS含有及びO含有化合物、例えば、COS、CFI、CI、CI、FCN、CS、SOであり得る。
図1cは、エッチングの間にアパーチャーの側壁上に堆積したポリマーを示す、3D NANDスタック中の模範的な層の側断面図である。開示されたヒドロフルオロカーボンエッチングガスは、SiON、SiO、SiN、p−Siなどのケイ素含有膜の異方性エッチング、及び本明細書中、図1cに示されるようにアパーチャーであるエッチングされる構造の側壁上でのポリマーパッシベーション層316の堆積の両方のために適切であるプラズマプロセスの間にイオン、中性、ラジカル又はフラグメントを発生する。開示されたヒドロフルオロカーボンエッチングガスは、プラズマプロセスの間にヒドロフルオロカーボンイオン及び窒素含有炭化水素イオンを発生し得る。ヒドロフルオロカーボンイオンはSiONと反応して、アパーチャー314を形成する無機誘電体キャップ層308を異方性エッチングする。窒素含有炭化水素イオンはアパーチャー314の側壁上に堆積して、ポリマーパッシベーション層316を形成し、それによってアパーチャー314の側壁がヒドロフルオロカーボンイオンの衝撃から保護される。ポリマーパッシベーション層316は、ヒドロフルオロカーボンイオンによってアパーチャー314の側壁がエッチング除去されることを阻止する。ポリマーパッシベーション層316は、アパーチャー314の側壁がヒドロフルオロカーボンイオンの衝撃によってエッチング除去されることを防ぐ耐エッチング性ポリマー層である。ポリマーパッシベーション層316は、反り又は先細りが生じずに直線の垂直プロフィールを有するパターンエッチング構造をもたらす。反りは、しばしば非晶質炭素(a−C)材料であり得るマスク層の側壁エッチングから生じ得る。a−C材料は、プラズマ中の酸素ラジカルによってエッチングされ得、それによって、マスクの開口部の増加が引き起こされ得、そして反りのような、又は曲げられた/湾曲した、エッチング構造がもたらされ得る。反りを最小化すること、そして現在の応用(例えば、コンタクトエッチング又は3D NAND)のために必要な高アスペクト比(すなわち、最高200:1)を達成することが重要である。開示されたヒドロフルオロカーボンエッチング化合物にOを添加することによって、ポリマーパッシベーション層の厚さが制御下になり、それによってポリマー堆積による側壁プロフィール変形が回避される。ポリマーパッシベーション層316は、より平滑な側壁、アパーチャー314の底部でのより少ない反り及びより少ない変形をもたらす。しかしながら、ポリマーパッシベーション層316は、当該技術分野において周知の乾式又は湿式エッチング化学物質によって容易に除去されるか、又はクリーニングされるか、又は研磨され得る。
図1a〜図1cにおける交互SiO/SiN層をSiO層によって置き換えることによって、模範的な層は、DRAMメモリを製造するために使用されるDRAMスタックとなる。DRAMスタックのエッチングプロセスは、図1a〜図1cに示される3D NANDスタックのためのものと類似である。すなわち、DRAMスタック中のアパーチャーは、i)開示されたヒドロフルオロカーボンエッチング化合物によって、パターン化されたフォトレジスト層に対して選択的に無機誘電体キャップ層をプラズマエッチングすることと;ii)CF、C、C、Cなどのフルオロカーボンエッチングガス(C、xは整数であり、y=2x+2又はy=2x又はy=2x−2である)、CHF、CH、CHFなどのヒドロフルオロカーボンエッチングガス(C、x及びyは整数であり、z=2x−y+2又はz=2x−y又はz=2x−y−2である)、O、COSなどの酸化剤、CFI、Cl、HBr、SF、NFなどのフッ素化合物、Nなどの不活性ガス、或いはそれらの組合せを含み得るハードマスクをエッチングするために適切であるエッチングガスによって、パターン化されたフォトレジスト層及び無機誘電体キャップ層に対して選択的にハードマスク層をプラズマエッチングすることと;iii)開示されたヒドロフルオロカーボンエッチング化合物又はケイ素含有膜をエッチングするために適切である他のエッチングガスのいずれかによって、パターン化されたフォトレジスト層、無機誘電体キャップ層及びハードマスク層に対して選択的に二酸化ケイ素層をプラズマエッチングすることとによって得られる。ケイ素含有膜の交互層をエッチングするために適切である他のエッチングガスは、フルオロカーボン(C、xは整数であり、y=2x+2又はy=2x又はy=2x−2である)又はヒドロフルオロカーボン(C、x及びyは整数であり、z=2x−y+2又はz=2x−y又はz=2x−y−2である)、例えば、cC、C、C、CF、CHF、CHF、CH又は他のフッ素化合物、或いはS含有及びO含有化合物、例えば、COS、CFI、CI、CI、FCN、CS、SOであり得る。アパーチャーは、チャネルホール及びコンタクトホールエッチング応用において、1:1〜50:1の範囲のアスペクト比、好ましくは約1:1〜約200:1の範囲のアスペクト比を有し得る。
また、開示されたヒドロフルオロカーボンエッチング化合物は、アパーチャーの側壁上にポリマーパッシベーション層を堆積させる。開示されたヒドロフルオロカーボンエッチング化合物にOを添加することによって、ポリマーパッシベーション層の厚さが制御下になり、それによって側壁プロフィール変形が回避される。ポリマーパッシベーション層は、DRAMスタックにおいて、より平滑な側壁、DRAMスタックにおけるアパーチャーの底部でのより少ない反りをもたらすか、若しくは反りが生じず、且つより少ない変形をもたらすか、若しくは変形が生じない。ポリマーパッシベーション層は、当該技術分野において周知の乾式又は湿式エッチング化学物質によって容易に除去され得るか、又はクリーニングされ得るか、又は研磨され得る。
当業者は、図1a〜図1cの層のスタック及び幾何構造が模範的な目的のみのために提供され、且つ開示されたヒドロフルオロカーボンエッチングガスが、層の他の種類のスタックのエッチングのために使用され得ることを認識するであろう。さらに、当業者は、スタック中の層の数が変更されてもよい(すなわち、示された層よりも多く又は少ない層を含んでもよい)ことを認識するであろう。
一実施形態において、開示されたヒドロフルオロカーボンエッチングガスは、基材及びケイ素含有膜を含有する反応チャンバー中に導入される。ガスは、約0.1sccm〜約1slmの範囲のフロー速度においてチャンバーに導入され得る。例えば、200mmのウエハ径に関して、ガスは約5sccm〜約50sccmの範囲のフロー速度においてチャンバーに導入され得る。代わりに、450mmのウエハ径に関して、ガスは約25sccm〜約250sccmの範囲のフロー速度においてチャンバーに導入され得る。当業者は、フロー速度がツールによって変動し得ることを認識するであろう。
開示されたヒドロフルオロカーボンエッチング化合物は、そのままの形態で、又はN、Ar、Kr、He、Xe、Neなどの不活性ガス又は溶媒とのブレンドのいずれかで供給され得る。開示されたヒドロフルオロカーボンエッチング化合物は、ブレンド中、様々な濃度で存在し得る。
さらに、ヒドロフルオロカーボンエッチング化合物は、95体積%〜99.999体積%の範囲の純度で送達され、又はCO、CO、N、HO、HF、HS、SO、ハロゲン化物及び他の炭化水素又はヒドロハロカーボンの除去のための周知の標準的精製技術によって精製され得る。
不活性ガスもプラズマを受けるために反応チャンバー中に導入される。不活性ガスは、He、Ar、Xe、Kr、Ne、N又はそれらの組合せであり得る。エッチングガス及び不活性ガスは、不活性ガスが、得られた混合物の約0.01%v/v〜約99.9%v/vを構成するように、チャンバーへの導入の前に混合されてもよい。代わりに、不活性ガスは連続的にチャンバーに導入され得るが、エッチングガスは断続的にチャンバーに導入される。
開示されたヒドロフルオロカーボンエッチングガス及び不活性ガスをプラズマによって活性化し、活性化エッチングガスを生成する。プラズマによってエッチング化合物はラジカル型(すなわち、活性化エッチングガス)へと分解する。プラズマは、RF又はDC電力を適用することによって発生させてもよい。プラズマは、約25W〜約20,000Wの範囲のRF電力によって発生させてもよい。プラズマは、遠位で、又は反応器自体の中で発生させてもよい。プラズマは、両電極において適用されたRFによって、デュアルCCP又はICPモードで発生させてもよい。プラズマのRF周波数は、200KHz〜1GHzの範囲であり得る。異なる周波数における異なるRF源を組み合わせて、そして同一電極において適用されてもよい。分子断片化及び基材における反応を制御するため、さらにプラズマRFパルスを使用してもよい。当業者は、そのようなプラズマ処理のために適切な方法及び装置を認識するであろう。
四重極質量分析装置(QMS)、光学発光分光器、FTIR又は他のラジカル/イオン測定ツールによって、生成した種の種類及び数を決定するために、チャンバー排出物からの活性化エッチングガスを測定してもよい。必要であれば、エッチングガス及び/又は不活性ガスのフロー速度は、発生したラジカル種の数を増加又は減少させるように調整されてもよい。
開示されたヒドロフルオロカーボンエッチングガスは、反応チャンバー中への導入の前、又は反応チャンバー中のいずれかで、他のガスと混合されてもよい。好ましくは、ガスは、混入ガスの均一な濃度を提供するために、チャンバーへの導入の前に混合されてよい。
別の選択肢において、開示されたヒドロフルオロカーボンエッチングガスは、2種以上のガスが反応する場合など、他のガスから独立してチャンバー中に導入されてよい。
別の選択肢において、開示されたヒドロフルオロカーボンエッチングガス及び酸素含有ガスは、エッチングプロセスの間に使用される2種のみのガスである。
別の選択肢において、開示されたヒドロフルオロカーボンエッチングガス、酸素含有ガス及び不活性ガスは、エッチングプロセスの間に使用される3種のみのガスである。
模範的な他のガスとしては、制限されないが、O、O、CO、CO、NO、NO、NO、SO、COS、HO及びそれらの組合せなどの酸化剤、He、Ar、Xe、Kr、Ne及びN2、好ましくはArなどの不活性ガスが含まれる。開示されたエッチングガス、酸化剤及び/又は不活性ガスは、反応チャンバー中への導入の前に一緒に混合されてもよい。
代わりに、酸化剤は連続的にチャンバーに導入され得、且つエッチングガスは断続的にチャンバーに導入される。酸化剤は、チャンバー中に導入される混合物の約0.01%v/v〜約99.99%v/vを構成してもよい(99.99%v/vは、連続導入の選択肢に関して、ほぼ純粋な酸化剤の導入を表す)。
エッチングガスと混合されてよい他の模範的なガスとしては、追加的なエッチングガス、例えば、cC、C8、、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、SO、トランス−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン(trans−C)、シス−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン(cis−C)、ヘキサフルオロイソブテン(C)、トランス−1,1,2,2,3,4−ヘキサフルオロシクロブタン(trans−C)、1,1,2,2,3−ペンタフルオロシクロブタン(C)、1,1,2,2−テトラフルオロシクロブタン(C)又はシス−1,1,2,2,3,4−ヘキサフルオロシクロブタン(cis−C)及びそれらの組合せ、好ましくは、CHF、cC、cC又はCが含まれる。
開示されたヒドロフルオロカーボンエッチングガス及び追加的なエッチングガスは、反応チャンバーへの導入の前に混合されてもよい。追加のエッチングガスは、チャンバー中に導入される混合物の約0.01%v/v〜約99.99%v/vを構成してもよい。
SiON、SiO、SiN又はp−Si膜などのケイ素含有膜及び活性化エッチングガスは反応して、反応チャンバーから除去される揮発性副産物を形成する。a−Cマスク及びフォトレジスト層は、活性化エッチングガスに対してそれほど反応性ではない。したがって、活性化エッチングガスはケイ素含有膜と選択的に反応し、揮発性副産物を形成する。
反応チャンバー中の温度及び圧力は、ケイ素含有膜が活性化エッチングガスと反応するために適切な条件に保持される。例えば、チャンバー中の圧力は、エッチングパラメーターによる必要に応じて、約0.1mTorr〜約1000Torr、好ましくは、約1mTorr〜約10Torr、より好ましくは、約10mTorr〜約1Torr、より好ましくは、約10mTorr〜約100mTorrに保持され得る。同様に、チャンバー中の基材温度は、約−196℃〜約500℃、好ましくは、約−120℃〜約300℃、より好ましくは、約−100℃〜約50℃、そしてより好ましくは、約−10℃〜約40℃の範囲であり得る。チャンバー壁部温度は、プロセス必要条件次第で、約−196℃〜約300℃の範囲であり得る。
ケイ素含有膜と活性化エッチングガスとの間の反応は、基材からのケイ素含有膜の異方性除去をもたらす。窒素、酸素及び/又は炭素原子もケイ素含有膜に存在し得る。除去は、(プラズマによって促進された)プラズマイオンからのケイ素含有膜の物理的スパッタリング及び/又はSiを、xが1〜4の範囲であるSiFなどの揮発性種に変換するためのプラズマ種の化学反応による。
プラズマ活性化された開示されたヒドロフルオロカーボンエッチングガスは、好ましくはフォトレジストに対して高い選択性を示し、そしてSiO層又はSiO/SiN若しくはSiO/p−Siの交互層上のDARCキャップ層を通してエッチングし、そしてハードマスク層に対して高い選択性を示し、そして基材上のSiO層又はSiO/SiN若しくはSiO/p−Siの交互層を通してエッチングし、それによって、3D NAND及びDRAM応用に関して重要である粗さのない垂直エッチングプロフィールが得られる。さらに、プラズマ活性化された開示されたヒドロフルオロカーボンエッチングガスは、垂直エッチングプロフィールの側壁上にポリマー層を堆積させ、特徴プロフィール変形を抑制する。
開示されたエッチングプロセスは、SiON、SiO、SiN及びp−Si層などのケイ素含有層をエッチングするための、次のプロセスステップにおいてケイ素含有膜中にチャネルホール、ゲートトレンチ、階段状コンタクト、コンデンサーホール、コンタクトホール、階段状エッチ、スリットエッチ、埋込コンタクトエッチ、コンデンサーコンタクトエッチ、シャロートレンチアイソレーションエッチなどを生じるために3D NAND又はDRAM構造においてDARCキャップ層中にパターンを形成するためのエッチングガスとして、開示されたヒドロフルオロカーボンエッチング化合物を使用する。開示されたヒドロフルオロカーボンエッチング化合物は、DARCキャップ層中にパターンを形成した後にケイ素含有膜中にアパーチャーを形成するケイ素含有膜をエッチングするためのエッチングガスとしても使用される。結果として得られるパターン及び/又はアパーチャーの側壁は、約1:1〜約200:1の範囲のアスペクト比、及び約5nm〜約100nmの範囲の直径を有し得る。開示されたヒドロフルオロカーボンエッチング化合物によってエッチングされる必要のある典型的な材料は、DARCキャップ層中のSiON、3D NANDスタック中のONON又はOPOP及びDRAMスタック中のSiOなどのケイ素含有組成物であり得る。
次の非限定的な実施例は、本発明の実施形態をさらに例示するために提供される。しかしながら、実施例は包括的であるように意図されず、且つ本明細書に記載される本発明の範囲を制限するように意図されない。
以下の実施例において、ヒドロフルオロカーボンエッチング化合物、2,2,2−トリフルオロエタンアミン(CN)、1,1,2−トリフルオロエタン−1−アミン(Iso−CN)、2,2,3,3,3−ペンタフルオロプロピルアミン(CN)、1,1,1,3,3−ペンタフルオロ−2−プロパンアミン(Iso−CN)、1,1,1,3,3−ペンタフルオロ−(2R)−2−プロパンアミン(Iso−2R−CN)及び1,1,1,3,3−ペンタフルオロ−(2S)−2−プロパンアミン(Iso−2S−CN)、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)及び1,1,2,3,3,3−ヘキサフルオロ−1−プロパンアミン(Iso−CN)のエッチング性能を評価し、そしてCHF(CAS75−46−7)及びNHと混合した従来のエッチングガスの混合物CF+CHFに対して比較する。その結果、CN、CN及びCNが最小のPR変形とともに高いSiON/PR選択性をもたらすことが示される。加えて、CNは、ONON層の非選択的エッチング及び非晶質炭素ハードマスクの無限選択性をもたらす。CNは、ONON又はOPOPの非選択的エッチング及び非晶質炭素ハードマスクの高い選択性をもたらす。CN及びCNは、両方とも少ない反り及び少ないプロフィール変形をもたらすか、又は反り及びプロフィール変形が生じず、そして半導体構造の製造のために使用され得る。
図2は、堆積及びエッチング試験において適用された模範的な反応器システムの模範的な側断面図である。示されるように、反応器900は反応器チャンバー902を含む。反応器チャンバー902の内部には、下部電極904の上部に付着されたウエハ906が反応器チャンバー902の底部に配置され、且つケイ素上部電極シャワーヘッド908が反応器チャンバー902の上部に配置される。下部電極904は、それに対して適用されたバイアス電力を有する静電チャックであってもよい。例えば、2MHzのRFバイアス電力が下部電極904に適用される。ウエハ906は、エッチングされる必要がある多層を有してもよい。ケイ素上部電極シャワーヘッド908は、ガスが通過するシャワーヘッド中の複数のホール910を有する。ガスはガス入口912を通して反応器チャンバー902中に導入されてよく、次いで均一なガス分布のためのシャワーヘッド908中のホール910を通過してもよい。ソース電力は、ケイ素上部電極シャワーヘッド908に適用されてよい。例えば、27MHzのRFソース電力がケイ素上部電極シャワーヘッド908に適用されてよい。ケイ素上部電極シャワーヘッド908と下部電極904との間はプラズマ領域である。数字914は、ケイ素上部電極シャワーヘッド908と下部電極904とのギャップ距離(二重矢印)を示す。例えば、1.35cmのギャップ距離がエッチング試験のために選択されてよい。シャワーヘッド908中のホール910を通過するガスは、プラズマ領域中でイオン化され、次いでウエハ906上でエッチングを実行する。出口916から反応器チャンバー902からガスを送り出すことによって、ガスは除去される。
エッチング試験は、市販のLAMツール(10のガスラインを有する二重周波数プラズマ)を用いて実行された。平面ウエハ及びパターン化されたウエハをAdvantive Techから購入し、そしてLAMツールによって処理した。6つの異なる平面ウエハは、Si基材上の300nmのSiON、Si基材上の300nmのPR、Si基材上の2μmのSiO、Si基材上の2μmのSiN、Si基材上の300μmのp−Si及びSi基材上の350nmのa−Cである。図3に示されるパターンウエハは、その上に80nmのBARC層及び290nmのPR層が堆積された、Si基材上に堆積されたSiON層の構造を特徴とする。SiON層は500nmである。トレンチ開口部は幅約90nmである。
30mTorr、300W〜750W(27MHz)の範囲のソース電力及び600W〜1500W(2MHz)の範囲のバイアス電力においてエッチング試験を実行した。供給混合物は、250sccmのAr、15sccmのエッチングガスを含有し、Oは0〜25sccmの範囲で変動する。試験されたエッチングガスのフロー速度は一定のままであり(例えば15sccm)、一方、Arフロー速度は150〜300sccmで変動させる。当業者は、エッチングプロセスの間、Oフロー速度、エッチング時間、ソース電力、バイアス電力及び圧力が変動し得ることを認識するであろう。
Si基板上の1.5×1.5cm、300nmのPR及びSiONクーポン上で、30mTorr、750W(27MHz)のソース電力において、基材上にバイアス電力を用いずに堆積試験を実行した。供給混合物は、250sccmのAr、15sccmのエッチングガスを含有し、Oを含有しない。バイアス電力が存在しないため、基材に達するイオンは、エッチングのために十分なエネルギーを有し得ない。加えて、表面に達する中性及び活性種は、それらの付着係数に基づき表面に付着し、ポリマー薄層を堆積する。このポリマー薄層は、側壁パッシベーションの原因となり得、且つしばしば選択性をもたらす。堆積試験実験条件は、表面上又は側壁上のいずれかのパターンのプラズマプロセス間に形成されるポリマー層のシミュレートに役立つ。試験されたエッチング化合物次第で、15秒〜30秒の範囲の堆積時間が選択された。
追加的に、エッチングガスの電子衝突イオン化を調査するために、質量分析装置が使用されてよい。この試験に関して、エッチングガスを質量分析装置チャンバーを通して流れさせ、且つ四重極質量分析装置(Hiden Analytical Inc.)検出器を使用して、電子エネルギーの関数としてのエッチングガスからのフラグメントを調査する。
比較例1
図4は、CHFによって生じた種の圧力に対する電子衝撃イオン化エネルギーを示すグラフである。x軸は電子エネルギーを表し、そしてy軸はフラグメント種の分圧を表す。CHFに関する主要な種CF及びCHFは、高いF/C比を有し、したがって、CHFによって制限されたポリマー堆積が生じ得る。活性化されたプラズマ種のF/Cの比率が減少すると、ポリマー堆積速度が増加する(例えば、Hungらへの米国特許第6,387,287号明細書を参照のこと)。
平面ウエハエッチング試験は、30mTorr、300W(27MHz)のソース電力、600W(2MHz)のバイアス電力及び1.35cmの電極ギャップにおいて実行された。供給混合物は、250sccmのAr、15sccmのエッチングガスを含有し、Oは0〜20sccmの範囲で変動する。エッチング時間は30秒である。
図5は、CHF、Ar及びOを使用する酸素フロー速度の関数としてのSiON及びPR膜のエッチング速度を示すグラフである。エッチング条件は次の通りである:CHFのフロー速度:15sccm;Arのフロー速度:250sccm;圧力:30mTorr;エッチング時間:30秒;RFソース/バイアス:300/600W;温度:20℃。示されるように、1より高いSiON/PR選択性がない。Oを添加しない場合、SiON/PRの選択性は1に近いが、1より小さい(0.81)。Oフロー速度を20sccmまで増加させると、PRエッチング速度は増加し、PR層が損傷を受けることを示し、そしてSiON/PR選択性は劇的に減少する。ポリマー堆積がPR層上を形成されないため、Oの添加は、PRをエッチング除去するプラズマ中のより多くのO種をもたらす。Oフロー速度が10〜20sccmに達すると、PRは完全にエッチング除去され得る。
図6は、CHF、Ar及びOによるエッチングの後のSiON=500nmパターン化ウエハ上のSEMイメージである。示されるように、トレンチは、13〜151nmの範囲のトレンチ幅を有する先細り及びピッチング構造を示す。プロフィール角度は83.2度であり、ここで90度はSiON層における完全垂直トレンチ側壁である。SiON/PR選択性は、エッチングされたPRの厚さによって割られた、エッチングされたSiONの厚さによって算出される。したがって、より厚いPRが残ると(nm)、SiON/PR選択性は高くなり、SiON層にエッチングされた同一トレンチ深さが保持される。この場合、SiON/PR選択性は8.46である。これは、ベースラインエッチングガスCHFに対するエッチングプロセス条件である。エッチングガス/O/Arのフロー速度は、それぞれ、15、4及び150sccmであり;P=40mTorr、t=180秒、300W(27MHz)のソース電力、900W(2MHz)のバイアス電力、下部T=20℃である。
比較例2
図7は、CHF、CF、NH、Ar及びOを使用する酸素フロー速度の関数としてのSiON及びPR膜のエッチング速度を示すグラフである。エッチング条件は次の通りである:CHFのフロー速度:15sccm;CFのフロー速度:15sccm;NHのフロー速度:15sccm;Arのフロー速度:250sccm;圧力:30mTorr;エッチング時間:30秒;RFソース/バイアス:300/600W;温度:20℃。示されるように、1より高いSiON/PR選択性がない。Oを添加しない場合、SiON/PRの選択性は、なお1より小さい(0.59)。Oフロー速度を15sccmまで増加させると、PRエッチング速度は増加し、PR層が損傷を受けることを示し、そしてSiON/PR選択性は劇的に減少する。ポリマー堆積がPR層上を形成されないため、Oの添加は、PRをエッチング除去するプラズマ中のより多くのO種をもたらす。Oフロー速度が15sccmに達すると、PRは完全にエッチング除去され得る。本実施例の目的は、従来のエッチングヒドロフルオロカーボン及びアンモニアの使用が、現在の応用のために必要とされるエッチング性能を提供しないことを示す、Trappへの従来技術の米国特許第6,569,774号明細書及び同第7,153,779号明細書との比較をすることである。
比較例3
図8は、3D NAND応用においてONON及びOPOPをエッチングするために、(0〜15sccmのフロー速度で)従来のヒドロフルオロカーボン(CF及びCHF)、アンモニア(NH)、Ar及びOを使用するSiO、SiN、p−Si及びa−Cのエッチング速度を示すグラフである。表2に、種々のOフロー速度によるSiNに対するSiO、SiOに対するSiN、a−Cに対するSiO及びp−Siに対するSiOのエッチング選択性を列挙する。エッチング条件は次の通りである:CHFのフロー速度:15sccm;CFのフロー速度:15sccm;NHのフロー速度:15sccm;Arのフロー速度:250sccm;圧力:30mTorr;エッチング時間:30秒;RFソース/バイアス:7500/1500W;温度:20℃。
Figure 2021503172
示されるように、Oフロー速度が0sccmである場合、SiO/SiN、SiN/SiOの選択性は1:1に近く、そしてSiO/a−Cの選択性は6.2である。しかしながら、NHを用いる場合、SiO/SiN、SiN/SiOの粗さ表面エッチングの増加がある。これは次の実施例5において見られる。
図9は、CNによって生じた種の圧力に対する電子衝撃イオン化エネルギーを示すグラフである。x軸は電子エネルギーを表し、そしてy軸はフラグメント種の分圧を表す。生じた優勢なフラグメント又はイオン化した生産物は、CNに関してCHN及びCHNであり、これはプラズマエッチングの間にPR層上での堆積を導くC、H及び−NH基を含有する。さらに、種CHN、CHN、CN及びCHFNは、CHFからのフラグメントよりも低いF:C及び/又はF:H比を有し、これはより高いポリマー堆積速度を導き、且つ選択性を改善し得る。
図10は、CN、Ar及びOを使用する酸素フロー速度の関数としてのSiON及びPR膜のエッチング速度を示すグラフである。エッチング条件は次の通りである:CNのフロー速度は15sccmであり;Arのフロー速度は250sccmであり;圧力は30mTorrであり;エッチング時間は30秒であり;RFソース/バイアスは300/600Wであり;且つ温度は20℃であった。0〜5sccmにおける低いOフロー速度なし及びありで、SiON/PR選択性は高く、PR層があまり損傷を受けないことを示す。Oフロー速度が増加すると(すなわち、10sccmより大きい場合)、PRエッチング速度は増加し、且つSiON層のものよりも有意に大きくなり、PR層が損傷を受け、且つSiON/PR選択性が劇的に減少することを示す。Oの添加は、PRをエッチング除去するプラズマ中のより多くのO種をもたらす。したがって、Oの添加がない場合、及び希薄O(すなわち、5sccm未満)の場合、CNは、PR膜以上にSiON膜を選択的にエッチングするために適切となり得る。
図11aは、Oを添加せず、CN及びArによるエッチング後のSiON表面のSEM断面イメージである。表面は粗く、且つ非均一であるように見える。図11bは、Oフロー速度2sccmでCN及びArによるエッチング後のSiON表面のSEM断面イメージである。表面は平坦で、且つ平滑であるように見える。同SiON平滑面は、2〜5sccmの範囲のOフロー速度で観察された。エッチング条件は次の通りである。CNのフロー速度は15sccmであり;Arのフロー速度は250sccmであり;圧力は30mTorrであり;エッチング時間は30秒であり;RFソース/バイアスは300/600Wであり;且つ温度は20℃であった。
本実施例は、Oに加えて、エッチング化合物としてCNを使用することによって、フォトレジスト上に損傷を引き起こすことなく、またSiONの表面粗さを増加させることなく、SiONはPRマスクに対して選択的にエッチングされることを示す。
図12は、CNによって生じた種の圧力に対する電子衝撃イオン化エネルギーを示すグラフである。x軸は電子エネルギーを表し、そしてy軸はフラグメント種の分圧を表す。CNに関する主要な種CN及びCHNは、低いF/C及びF/H比を有し、したがって、CNによるエッチングの間にポリマー堆積が生じる。これは−NH基を含有し、且つCHFからのフラグメントよりも低いF:C及び/又はF:H比を有し、これはより高いポリマー堆積速度を導き、且つ選択性を改善し得る。
図13は、CN、Ar及びOを使用する酸素フロー速度の関数としてのSiON及びPR膜のエッチング速度を示すグラフである。正のy軸はエッチング速度を表し、負のy軸は堆積速度を表す。x軸はsccmでのOフロー速度であり;CNフロー速度は15sccmに固定され、Arのフロー速度は250sccmであるが、Oフロー速度は0〜15sccmで変動する。示されるように、エッチング速度の結果は、SiON及びPR層の全てが、Oの添加なしで広範囲のポリマー堆積を有することを示す。それに対して、Oフロー速度が増加すると、SiON/PR選択性は増加する。SiON/PR選択性はO=15sccmにおいて6.3であり、従来のエッチングガスと比較すると有意に改善される。
図14は、CN、Ar及びOによるパターン化されたウエハのエッチングの後のSEMイメージである。SEMイメージは、SiON=500nmパターン化ウエハ上エッチング時間120秒において撮影された。図14で示されたSEMイメージは、CNエッチング化合物によって最適化パターン化された構造を示す。このイメージにおいて与えられた最も重要なメッセージは、SiON/PR選択性が22.9であり、且つプロフィール角度が87.4であり、3D NAND応用のためにより望ましいパターン構造を示すということである。このイメージのためのエッチング条件は次の通りである。CNエッチングガス/O/Arのフロー速度=15/15/150sccm、P=20mTorr、t=120秒、RFソース/バイアス300/600W、T=20℃。
図15は、CNによって生じた種の圧力に対する電子衝撃イオン化エネルギーを示すグラフである。x軸は電子エネルギーを表し、そしてy軸はフラグメント種の分圧を表す。CNに関する主要な種CHN及びCHNは、低いF/C及びF/H比を有し、したがって、CNによるエッチングの間にポリマー堆積が生じる。
図16は、種々のOフロー速度でのCN、ArによるSiON及びPRのエッチング速度を示すグラフである。示されるように、正のy軸はエッチング速度を表し、負のy軸は堆積速度を表す。x軸はsccmでのOフロー速度であり;CNフロー速度は15sccmに固定され、Arのフロー速度は250sccmであるが、Oフロー速度は0〜20sccmで変動する。示されるように、Oの添加がない場合(0sccmO条件)、SiON上でCNが堆積する。Oの添加によって、SiON/PR選択性は増加し、そしてOフロー速度=16sccmにおけるピークは21.5に達する。次いで、より多くのOの添加によって、SiON/PRの選択性がわずかに減少するが、なお良好な範囲のSiON/PR選択性が維持される。16〜20の範囲におけるOフロー速度では、CNはPRに対して損傷をほとんど有さない。
図17aは、CN、Ar及び15sccmのOによるエッチングの後のSiON表面のSEMイメージである。表面は粗く、且つ非均一であるように見える。図17bは、CN、Ar及び16sccmのOによるエッチングの後のSiON表面のSEMイメージである。この条件で、最も高いSiON/PR選択性が達成される。表面は平坦で、且つ平滑であるように見える。同SiON平滑面は、16〜20sccmの範囲のOフロー速度で観察された。エッチング条件は次の通りである。CNのフロー速度は15sccmであり;Arのフロー速度は250sccmであり;圧力は30mTorrであり;エッチング時間は30秒であり;RFソース/バイアスは300/600Wであり;且つ温度は20℃であった。
本実施例は、エッチング化合物としてCNを使用することによって、フォトレジスト上に損傷を引き起こすことなく、またSiONの表面粗さを増加させることなく、SiONはPRマスクに対して選択的にエッチングされることを示す。
図18は、CN、Ar及びOによるパターン化されたウエハのエッチングの後のSEMイメージである。このイメージは、SiON=500nmパターン化ウエハ上エッチング時間150秒において撮影されたSEMイメージである。異なるエッチング時間で異なるパターン化されたウエハによる初期SEMイメージは、PR層が損傷を受けている望ましくないエッチングプロフィールを示す。しかしながら、このSEMイメージは、無限SiON/PR選択性及び90度プロフィール角度で、CN化合物によって乾式エッチングされた最適化パターン化構造を示す。PR(16nm)の上部に堆積がある。しかしながら、CNによる乾式エッチング後、望ましくないパターン形成は観察されず、例えば、オーバーハンギングインサイドトレンチ及びブロッキングトレンチ開口部は観察されなかった。このSEMイメージのためのエッチング条件は次の通りである。CNガス/O/Arのフロー速度=15/21/150sccm、P=20mTorr、t=150秒、RFソース/バイアス300/600W、下部T=20℃。
図19は、それぞれCN及びCNによるSiON及びPR基材上の堆積速度を示すグラフである。図19中、y軸はnm/分での堆積速度を表し、そしてx軸はPR及びSiON基材を示す。CN及びCNは両方ともSiON膜よりPR膜で高い堆積を示す。上記のように、フルオロカーボンポリマー膜は−NHを含有し、これによってプラズマ下で生じるイオンの衝撃からPR膜が保護され、そして高いSiON/PR選択性に有利に作用する。CNは、SiON及びPR上でCNよりも、ほぼ2倍、より多く重合化される。これは、CN中のより長い炭素鎖及びプラズマ中のより長いフラグメントの発生によるものである。
図20は、3D NAND応用におけるONON及びOPOPエッチングに関して種々のOフロー速度でCN及びArを使用するSiO、SiN、p−Si及びa−Cのエッチング速度を示すグラフである。表3は、種々のOフロー速度によるSiNに対するSiO、SiOに対するSiN、a−Cに対するSiO及びp−Siに対するSiOのCN選択性を列挙する。エッチング条件は次の通りである:CNのフロー速度:15sccm;Arのフロー速度:250sccm;圧力:30mTorr;エッチング時間:30秒;RFソース/バイアス:7500/1500W;温度:20℃。
Figure 2021503172
示されるように、CNをエッチングガスとして使用すると、Oフロー速度が20sccmである場合、SiO/SiN、SiN/SiO及びSiO/p−Siの選択性は1:2〜2:1の範囲内で、1:1に近く、そしてSiO/a−Cの選択性は6.69である。したがって、CNは、3D NAND応用におけるONON及びOPOPエッチングに関して、SiO、SiN及びp−Si層など、a−Cハードマスク層に対してケイ素含有膜を選択的にエッチングするためのエッチング化合物として使用するために適切である。
加えて、CNエッチング後の表面の粗さを測定し、そして初期の材料のもの及び比較例3で列挙されたエッチング化合物(CF+CHF+NH)によってエッチングされた表面と比較した。NX PARK 10からの原子間力顕微鏡(Atomic Force Microscopy)(AFM)を非接触モードで使用し、エッチングプロセス前後の種々の基材(SiO、SiN、p−Si、a−C)の表面モルフォロジーを評価した。粗さを評価するために調査されたパラメーターは二乗平均(Root Mean Square)荒さ(Rq)であった。全ての調査されたOフロー速度条件(0〜25sccmの範囲のO)の中で、3D NAND、ONON又はOPOP応用に関して最も有望な結果を示す条件を選択した:CF+CHF+NHによるエッチングの場合、O=0sccmが選択され;CNの場合、O=20sccmが選択された。結果を表4に示す。
Figure 2021503172
初期の基材の粗さ値をCF+CHF+NH後のものと比較すると、SiOの表面粗さ上の高い増加及びa−C表面上のわずかな増加が観察された。CNによるエッチング後、初期の材料に対するSiO、SiN及びa−Cに関して、より低い結果に相当する結果が示されるが、p−Siの表面上で表面粗さの減少が観察される。
図21は、平面ウエハ上、種々のOフロー速度でCN及びArによるSiO、SiN、p−Si及びa−Cのエッチング速度を示すグラフである。正のy軸はエッチング速度を表し、負のy軸は堆積速度を表す。x軸はsccmでのOフロー速度であり;CNフロー速度は15sccmに固定されるが、Oフロー速度は5〜15sccmで変動し;Arのフロー速度:250sccm;圧力:30mTorr;エッチング時間:30秒;RFソース/バイアス:7500/1500W;温度:20℃。表5に、種々のOフロー速度によるSiNに対するSiO、SiOに対するSiN、a−Cに対するSiO及びp−Siに対するSiOのCN選択性を列挙する。示されるように、CNを使用するSiN及びSiOのエッチング速度はほぼ同じであり、且つ1:2〜2:1の範囲内で、1:1に近いが、a−C層及びp−Si層上で堆積が生じる。したがって、SiO/a−C及びSiO/a−C選択性は無限である。したがって、CNは、Oの添加によってSiO及びSiN層を選択的にエッチングせず、非晶質炭素ハードマスクを維持し;したがって、それは、3D NAND応用におけるONONエッチングに関して、SiO及びSiN層など、a−Cハードマスク層に対してケイ素含有膜を選択的にエッチングするためのエッチング化合物として使用するために適切である。
Figure 2021503172
要約すると、CN、CN、CNなどの−NH官能基を有する、開示されたヒドロフルオロカーボンエッチング化合物、並びにそれらの異性体は、SiON/PRの高い選択性を有し、PR変形が少ない〜ない、且つ1:1に近いSiO/SiN又はSiO/p−Si選択性及び無限大までのSiO/a−C選択性を有し、3D NAND及びDRAM応用のために非常に望ましい。−NH官能基を有する開示されたヒドロフルオロカーボンエッチング化合物は、従来のエッチングガスCHF+CF+NHと比較して、エッチング後に表面の損傷を示さないか、又はほとんど示さず、且つエッチング後に表面粗さの増加がない。実際に、初期の表面と比較して、より低いか又は同等の表面粗さが観察された。−NH官能基を有する開示されたヒドロフルオロカーボンエッチング化合物は、平滑なSiON表面を保持することによって、CHF及びCHF+CF+NHなどの従来のエッチングガスより高い(パターンウエハ上で無限の)SiON/PR選択性を示す。また、SiON/PRを選択的にエッチングすることに加えて、−NH官能基を有する開示されたヒドロフルオロカーボンエッチング化合物は、3D NAND及びDRAM応用において使用されるPR及び非晶質炭素、ホウ素、窒素、硫黄、塩素、フッ素若しくは金属(Al、Zr、Ti、W)によってドープされた非晶質炭素などのドープされた非晶質炭素に対して、SiO、SiN、p−Si、非晶質ケイ素、結晶質ケイ素、低誘電率SiCOH、SiOCN、Si(式中、a>0;b、c、d及びe≧0)又はそれらの組合せ、SiO/SiN、SiO/p−Siなどの他のケイ素−含有膜を選択的にエッチングしない。加えて、−NH官能基を有するヒドロフルオロカーボンエッチング化合物が、従来のエッチングガスの複数の混合物よりも良好なエッチング結果を有することができることから、プロセスの観点からの改善が考慮されなければならない。
本発明の実施形態が示されて、説明されているが、本発明の精神及び教示から逸脱することなく、当業者によって修正され得る。本明細書に記載の実施形態は、単に模範的なものであり、限定するものではない。組成物及び方法の多くの変形及び修正は可能であり、且つそれらは本発明の範囲内である。したがって、保護の範囲は、本明細書に記載された実施形態に限定されないが、請求の範囲の対象の全ての同等物を含む請求の範囲によってのみ限定される。

Claims (15)

  1. 第1のケイ素含有層及び第2のケイ素含有層の交互層上に堆積された誘電体反射防止コーティング(DARC)層と、前記DARC層上に形成されたパターン化されたフォトレジスト層と、前記DARC層と前記交互層との間に形成されたハードマスク層とを有する、基材上に堆積されたケイ素含有層の構造のエッチング方法であって、
    2,2,2−トリフルオロエタンアミン(CN)、1,1,2−トリフルオロエタン−1−アミン(Iso−CN)、2,2,3,3,3−ペンタフルオロプロピルアミン(CN)、1,1,1,3,3−ペンタフルオロ−2−プロパンアミン(Iso−CN)、1,1,1,3,3−ペンタフルオロ−(2R)−2−プロパンアミン(Iso−2R−CN)及び1,1,1,3,3−ペンタフルオロ−(2S)−2−プロパンアミン(Iso−2S−CN)からなる群から選択されるヒドロフルオロカーボンエッチング化合物を使用して、前記パターン化されたフォトレジスト層に対して前記DARC層を選択的にプラズマエッチングし、前記DARC層中にアパーチャーを形成するステップと、
    前記ハードマスク層をエッチングするために適切なエッチングガスによって、前記パターン化されたフォトレジスト層及び前記DARC層に対して前記DARC層中の前記アパーチャーによって曝露された前記ハードマスク層を選択的にプラズマエッチングし、前記ハードマスク層中に前記アパーチャーを延在させるステップと、
    前記ヒドロフルオロカーボンエッチング化合物を使用して、前記ハードマスク層に対して前記ハードマスク層中の前記アパーチャーによって曝露された前記交互層を選択的にプラズマエッチングし、前記交互層中に前記アパーチャーを延在させるステップと
    を含んでなり、前記第1のケイ素含有層及び前記第2のケイ素含有層が非選択的にエッチングされる方法。
  2. 前記ヒドロフルオロカーボンエッチング化合物が活性化されたプラズマである場合、前記パターン化されたフォトレジスト層上、前記ハードマスク層及び前記アパーチャーの側壁上にポリマー層を堆積するステップをさらに含んでなる、請求項1に記載の方法。
  3. 前記ヒドロフルオロカーボンエッチング化合物と一緒に酸素含有ガスを導入することをさらに含んでなる、請求項2に記載の方法。
  4. 前記酸素含有ガスが、O、O、CO、CO、NO、NO、NO、SO、COS、HO及びそれらの組合せからなる群から選択される、請求項3に記載の方法。
  5. 前記ヒドロフルオロカーボンエッチング化合物が2,2,2−トリフルオロエタンアミン(CN)である、請求項1に記載の方法。
  6. 前記ヒドロフルオロカーボンエッチング化合物が2,2,3,3,3−ペンタフルオロプロピルアミン(CN)である、請求項1に記載の方法。
  7. 前記DARC層が、xが0〜2の範囲であり、yが0〜1の範囲であり、zが0〜約1の範囲であり、且つkが0〜1の範囲であるSiOの式を有するケイ素含有膜である、請求項1〜6のいずれか一項に記載の方法。
  8. 前記DARC層がSiON層である、請求項7に記載の方法。
  9. 前記第1のケイ素含有層が酸化ケイ素層であり、且つ前記第2のケイ素含有層が窒化ケイ素層であるか、又はその逆である、請求項1〜6のいずれか一項に記載の方法。
  10. 前記第1のケイ素含有層が酸化ケイ素層であり、且つ前記第2のケイ素含有層がポリケイ素層であるか、又はその逆である、請求項1〜6のいずれか一項に記載の方法。
  11. 前記ハードマスク層が、非晶質炭素又はドープされた非晶質炭素の熱CVD、PECVD又はスプレーオン/スピンオン堆積層である、請求項1〜6のいずれか一項に記載の方法。
  12. 前記ハードマスク層をエッチングするために適切な前記エッチングガスが、cC、C、C、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、CFN、FNO、SO及びそれらの組合せからなる群から選択される、請求項1〜6のいずれか一項に記載の方法。
  13. 前記交互層中に延在する前記アパーチャーが、約1:1〜約200:1のアスペクト比を有する、請求項1〜6のいずれか一項に記載の方法。
  14. 酸化ケイ素及び窒化ケイ素の交互層上に堆積されたDARC層と、前記DARC層上に形成されたパターン化されたフォトレジスト層と、前記DARC層と前記交互層との間に形成されたハードマスク層とを有する、基材上に堆積されたケイ素含有層の構造のエッチング方法であって、
    1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)及び1,1,2,3,3,3−ヘキサフルオロ−1−プロパンアミン(Iso−CN)からなる群から選択されるヒドロフルオロカーボンエッチング化合物を使用して、前記パターン化されたフォトレジスト層に対して前記DARC層を選択的にプラズマエッチングし、前記DARC層中にアパーチャーを形成するステップと、
    前記ハードマスク層をエッチングするために適切なエッチングガスによって、前記パターン化されたフォトレジスト層及び前記DARC層に対して前記DARC層中の前記アパーチャーによって曝露された前記ハードマスク層を選択的にプラズマエッチングし、前記ハードマスク層中に前記アパーチャーを延在させるステップと、
    前記ヒドロフルオロカーボンエッチング化合物を使用して、前記ハードマスク層に対して前記ハードマスク層中の前記アパーチャーによって曝露された酸化ケイ素及び窒化ケイ素の前記交互層を選択的にプラズマエッチングし、酸化ケイ素及び窒化ケイ素の前記交互層中に前記アパーチャーを延在させるステップと
    を含んでなり、前記酸化ケイ素層及び前記窒化ケイ素層が非選択的にエッチングされる方法。
  15. 前記DARC層が、xが0〜2の範囲であり、yが0〜1の範囲であり、zが0〜約1の範囲であり、且つkが0〜1の範囲であるSiOの式を有するケイ素含有膜である、請求項14に記載の方法。
JP2020524204A 2017-10-31 2018-10-31 3d nand及びdram応用のための−nh2官能基を含有するヒドロフルオロカーボン Active JP6906107B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/798,476 2017-10-31
US15/798,476 US10410878B2 (en) 2017-10-31 2017-10-31 Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
PCT/US2018/058472 WO2019089766A1 (en) 2017-10-31 2018-10-31 Hydrofluorocarbons containing -nh2 functional group for 3d nand and dram applications

Publications (2)

Publication Number Publication Date
JP2021503172A true JP2021503172A (ja) 2021-02-04
JP6906107B2 JP6906107B2 (ja) 2021-07-21

Family

ID=66244193

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020524204A Active JP6906107B2 (ja) 2017-10-31 2018-10-31 3d nand及びdram応用のための−nh2官能基を含有するヒドロフルオロカーボン

Country Status (6)

Country Link
US (1) US10410878B2 (ja)
JP (1) JP6906107B2 (ja)
KR (1) KR102398458B1 (ja)
CN (1) CN111316405B (ja)
TW (1) TWI744559B (ja)
WO (1) WO2019089766A1 (ja)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110546742B (zh) * 2017-04-06 2023-09-29 关东电化工业株式会社 干式蚀刻气体组合物及干式蚀刻方法
JP2019179889A (ja) * 2018-03-30 2019-10-17 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
CN111627916B (zh) * 2018-04-18 2021-03-30 长江存储科技有限责任公司 用于形成三维存储器设备的沟道插塞的方法
US20200203127A1 (en) * 2018-12-20 2020-06-25 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes
US11145504B2 (en) * 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
CN113366612A (zh) * 2019-01-31 2021-09-07 朗姆研究公司 用于先进半导体应用的低应力膜
CN110349967B (zh) * 2019-06-28 2020-09-11 长江存储科技有限责任公司 一种三维存储器的形成方法及三维存储器
US11384428B2 (en) * 2019-07-19 2022-07-12 Applied Materials, Inc. Carbon layer covered mask in 3D applications
JP2021040008A (ja) * 2019-09-02 2021-03-11 キオクシア株式会社 半導体装置の製造方法
CN114616373A (zh) * 2019-09-23 2022-06-10 旭硝子欧洲玻璃公司 带有碳基涂层的织物基材及其制造方法
KR102338512B1 (ko) * 2019-10-30 2021-12-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN110993499B (zh) * 2019-11-05 2022-08-16 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
KR20220082068A (ko) 2019-11-08 2022-06-16 도쿄엘렉트론가부시키가이샤 에칭 방법
CN112786441A (zh) 2019-11-08 2021-05-11 东京毅力科创株式会社 蚀刻方法及等离子体处理装置
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
SG10202010798QA (en) * 2019-11-08 2021-06-29 Tokyo Electron Ltd Etching method and plasma processing apparatus
CN111154490A (zh) * 2020-01-02 2020-05-15 长江存储科技有限责任公司 刻蚀气体、刻蚀方法及3d存储器件制造方法
US11177137B2 (en) * 2020-01-17 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer etching process and methods thereof
US11171012B1 (en) * 2020-05-27 2021-11-09 Tokyo Electron Limited Method and apparatus for formation of protective sidewall layer for bow reduction
CN113808929A (zh) * 2020-06-12 2021-12-17 中微半导体设备(上海)股份有限公司 一种半导体结构的形成方法
JP2022101060A (ja) * 2020-12-24 2022-07-06 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
KR20220122260A (ko) * 2021-02-26 2022-09-02 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
KR20220126045A (ko) * 2021-03-08 2022-09-15 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
CN113078043A (zh) * 2021-03-24 2021-07-06 长鑫存储技术有限公司 非晶碳膜的形成方法及半导体结构
KR20220146239A (ko) * 2021-04-23 2022-11-01 삼성전자주식회사 하드 마스크 구조체를 포함하는 반도체 소자

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10303181A (ja) * 1997-04-28 1998-11-13 Mitsui Chem Inc 乾式プロセスガス
US6495469B1 (en) * 2001-12-03 2002-12-17 Taiwan Semiconductor Manufacturing Company High selectivity, low etch depth micro-loading process for non stop layer damascene etch
US20170110336A1 (en) * 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes
JP2018529225A (ja) * 2015-08-31 2018-10-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 半導体構造物をエッチングするための窒素含有化合物

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6013582A (en) 1997-12-08 2000-01-11 Applied Materials, Inc. Method for etching silicon oxynitride and inorganic antireflection coatings
KR20000004363A (ko) 1998-06-30 2000-01-25 김영환 반도체 소자의 세정방법
KR20000027930A (ko) 1998-10-29 2000-05-15 김영환 반도체 소자에서 산화방지를 위한 도전층 패턴의 표면처리 방법
JP2001077085A (ja) 1999-09-03 2001-03-23 Hitachi Ltd 試料の表面処理方法
US6569774B1 (en) 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
EP1235265A1 (en) 2001-02-23 2002-08-28 Infineon Technologies AG Method for etching a hardmask layer and a metal layer
US6812043B2 (en) * 2002-04-25 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a carbon doped oxide low-k insulating layer
KR100919596B1 (ko) 2008-02-21 2009-09-29 (주) 휴브글로벌 에칭 첨가제 및 이를 함유하는 에칭용 조성물
KR20100070231A (ko) 2008-12-17 2010-06-25 엘지디스플레이 주식회사 유기박막트랜지스터, 패턴형성방법, 이를 이용한 유기박막트랜지스터 및 액정표시소자 제조방법
CN101963756B (zh) 2009-06-26 2014-12-17 罗门哈斯电子材料有限公司 形成电子器件的方法
US20110253670A1 (en) 2010-04-19 2011-10-20 Applied Materials, Inc. Methods for etching silicon-based antireflective layers
JP6257638B2 (ja) * 2012-10-30 2018-01-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高アスペクト比酸化物エッチング用のフルオロカーボン分子
TWI642809B (zh) * 2013-09-09 2018-12-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10303181A (ja) * 1997-04-28 1998-11-13 Mitsui Chem Inc 乾式プロセスガス
US6495469B1 (en) * 2001-12-03 2002-12-17 Taiwan Semiconductor Manufacturing Company High selectivity, low etch depth micro-loading process for non stop layer damascene etch
JP2018529225A (ja) * 2015-08-31 2018-10-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 半導体構造物をエッチングするための窒素含有化合物
US20170110336A1 (en) * 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes

Also Published As

Publication number Publication date
TW201918470A (zh) 2019-05-16
KR20200064145A (ko) 2020-06-05
CN111316405A (zh) 2020-06-19
US20190131140A1 (en) 2019-05-02
TWI744559B (zh) 2021-11-01
US10410878B2 (en) 2019-09-10
CN111316405B (zh) 2023-04-04
KR102398458B1 (ko) 2022-05-13
JP6906107B2 (ja) 2021-07-21
WO2019089766A1 (en) 2019-05-09

Similar Documents

Publication Publication Date Title
JP6906107B2 (ja) 3d nand及びdram応用のための−nh2官能基を含有するヒドロフルオロカーボン
TWI781210B (zh) 用於蝕刻多個堆疊層之化學過程
JP7470834B2 (ja) 半導体構造エッチング用ヨウ素含有化合物
US10256109B2 (en) Nitrogen-containing compounds for etching semiconductor structures
TWI588240B (zh) 用於高縱橫比氧化物蝕刻之氟碳分子
US11024513B2 (en) Methods for minimizing sidewall damage during low k etch processes
US10347498B2 (en) Methods of minimizing plasma-induced sidewall damage during low K etch processes
US20050014383A1 (en) Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
EP3813097A1 (en) Plasma etching method using gas molecule containing sulfur atom
TWI838915B (zh) 使用含矽氫氟烴之蝕刻方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200428

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200428

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20200514

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20200514

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210622

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210628

R150 Certificate of patent or registration of utility model

Ref document number: 6906107

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150