JP2020503677A - 低誘電率エッチングプロセスの間の側壁ダメージを最小化する方法 - Google Patents

低誘電率エッチングプロセスの間の側壁ダメージを最小化する方法 Download PDF

Info

Publication number
JP2020503677A
JP2020503677A JP2019533634A JP2019533634A JP2020503677A JP 2020503677 A JP2020503677 A JP 2020503677A JP 2019533634 A JP2019533634 A JP 2019533634A JP 2019533634 A JP2019533634 A JP 2019533634A JP 2020503677 A JP2020503677 A JP 2020503677A
Authority
JP
Japan
Prior art keywords
etching
layer
formula
low
fluorine compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019533634A
Other languages
English (en)
Other versions
JP6974468B2 (ja
Inventor
チ−ユ・シュー
ペン・シェン
ネイサン・スタッフォード
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2020503677A publication Critical patent/JP2020503677A/ja
Application granted granted Critical
Publication of JP6974468B2 publication Critical patent/JP6974468B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

低誘電率エッチングプロセスの間の側壁ダメージを最小化する方法が開示される。この方法は、N≡C−R;(N≡C−)−(R)−(−C≡N);Rx[−C=N(Rz)]y;およびR(3−a)−N−Ha(式中、a=1〜2、x=1〜2、y=1〜2、z=0〜1、x+z=1〜3であり、かつ各Rは、独立して、a=0〜11、b=0〜11およびc=0〜5である式HaFbCcを有する)からなる群から選択される式を有する有機フッ素化合物のプラズマ活性化蒸気を使用して、低誘電率層をエッチングする。【選択図】図4

Description

関連出願への相互参照
本出願は、全ての目的に関して、参照によって全体として本明細書に組み込まれる、2016年12月31日出願の米国特許出願第15/396,486号の利益を主張する。
低誘電率(low k)エッチングプロセスの間の側壁ダメージを最小化する方法が開示される。この方法は、N≡C−R;(N≡C−)−(R)−(−C≡N);R[−C=N(R)];およびR(3−a)−N−H(式中、a=1〜2、x=1〜2、y=1〜2、z=0〜1、x+z=1〜3であり、かつ各Rは、独立して、a=0〜11、b=0〜11およびc=0〜5である式Hを有する)からなる群から選択される式を有する有機フッ素化合物のプラズマ活性化蒸気を使用して、低誘電率層をエッチングする。
マイクロプロセッサ上のトランジスタは連結され、銅線を使用して非常に複雑な電気回路を形成する。銅線は、低誘電率誘電体材料を使用して絶縁される。
低誘電率誘電体材料は、典型的に、自由表面がケイ素に結合したメチル基(CH)を末端とする、水素化炭素ドープ酸化ケイ素(SiCOH)である。典型的に、反応性イオンエッチングによって実行されるエッチングなどの処理ステップは、メチル末端を有効に除去し、ダングリングボンドまたはヒドロキシル基(Si−OH)を残す。結果として、膜はより親水性になり、そして容易に湿分を吸収する可能性がある。このダメージ、いわゆるプラズマ誘導ダメージは、次に、その度合がダメージプロセスの苛酷性次第である誘電率の増加を導く。そのようなダメージは、結果として生じるトランジスタデバイスの性能を低下させる。
炭素空乏の別の影響は、臨界寸法に対するその影響である。例えば、低誘電率の膜を通してトレンチを形成するために使用されるエッチングプロセスは、トレンチの壁部を炭素空乏状態にさせる傾向があるであろう。次の湿潤ストリッピングまたは洗浄プロセスにおいて、トレンチは有意に拡大する可能性があり、フィーチャサイズ(feature size)が減少すると、問題はさらにより重大になる。
Jiら(米国特許第5,814,563号明細書)は、フッ化炭化水素、炭素−酸素およびNH発生ガスの混合物を使用して、p−Si層に対する(SiOおよびSiNなどの)誘電体の高い選択性を達成することを開示する。Shane(米国特許出願公開第2003/0162395号明細書)は、二酸化ケイ素層をエッチングする間に選択性を改善するために、マスク上にポリマーを堆積するため、フッ化炭化水素への窒素を含んでなるガスの添加を開示する。Nemaniら(米国特許出願公開第2014/0199851号明細書)は、窒化ケイ素誘電体膜をパターン化するために、窒化ケイ素層の変性部分を除去するため、NFおよびNHのフローによって実行されるプラズマプロセスの使用を開示する。Hamrahら(米国特許第5,242,538号明細書)は、CFおよびNHエッチングガスの使用を開示し、ならびに最高100:1の酸化ケイ素対ポリケイ素の選択性が観察された。Puら(米国特許第5,843,847号明細書)は、フィーチャ寸法制御を補助するために、フッ化エッチングガスに追加の窒素ガスを添加することも開示する。
エッチングガスとして、窒素含有化合物が使用されている。例えば、Khandelwalら(“Dry removal technology for advanced CMOS devices”,Nanochip Tech.J.,vol.11,issue 2,2013,p17−19)は、NHFをエッチング剤として使用するその場乾式除去プロセスを開示する。Gargら(米国特許出願公開第2006/0062914号明細書)は、基材の表面を処理するための活性化反応性ガスを開示する。Gargらは、パラグラフ[0019]において、活性化反応性ガスとして、C、CFNなどのフルオロアミン、CN、CNおよびCFNOなどのフルオロニトリルを含む、多種多様なフッ素含有ガスが含まれ得ると記載している。Felkerら(米国特許第6,508,948号明細書)は、シアヌル酸フッ化物化合物を含む、ペルフッ化複素芳香族アミンエッチング化合物を開示する。開示されたシアヌル酸フッ化物化合物の1種は、ペンタフルオロピリジンCNである。
Trappへの米国特許第6,569,774号明細書および同第7,153,779号明細書は、酸化ケイ素層を通って高アスペクト比のコンタクト開口を形成するためのプラズマエッチングプロセスを開示する。酸化ケイ素層上にマスキング層を維持するためにエッチングの間にポリマー表面材料を堆積するため、1種以上の窒素を含んでなるガスを含む、少なくとも1種のエッチングガスが使用される。
Surlaらへの米国特許出願公開第2015/0371869号明細書は、少なくとも1個のC≡NまたはC=N官能基を含有する有機フッ素化合物を使用するケイ素含有膜のエッチング方法を開示する。
Annapragadaへの米国特許第6,413,877号明細書は、基材上にエッチングされた有機−シリケート−ガラス(OSG)層を作成するための方法を開示する。パターン化されたレジストマスクは、N/O、N/HまたはN/NHの中間密度プラズマを使用して、側壁を剥離せずに剥離される。
Annapragadaらへの米国特許第6,777,344号明細書および米国特許出願公開第2004/0211517号明細書は、それぞれ、少なくとも1層のOSG誘電体によって形成された半導体ウエハからフォトレジストを剥離するためのプロセス、ならびにフッ素含有ガスおよびアンモニア含有ガスを使用してスタックをエッチングする方法を開示する。
低誘電率エッチング/剥離のためのフッ化炭素ガスによる窒素プラズマまたは共反応物(N、NH)もいくつかの研究において報告される。例えば、Y.Miyawakiら、JJAP 52(2013)020204;SK Yangら、JKPS 52(2008)1786;H.Nagaiら、JJAP 42(2003)L212;およびX.Su,JVST B 25(2007)156を参照のこと。
したがって、マスクおよびエッチング停止層に対するプロフィール制御および選択性を維持しながら、低誘電率エッチングプロセスの間、低誘電率膜におけるダメージおよび炭素損失を減少させる、改善された低誘電率プラズマエッチングプロセスが必要とされている。
低誘電率エッチングプロセスの間に側壁ダメージを最小化する方法も開示される。低誘電率層上にパターン化マスク層を堆積させる。パターン化マスク層は、低誘電率層にアパーチャーを作成するための領域を画定する開口を有する。低誘電率層は、第1の画定された側壁幅を有するアパーチャーを有するエッチングされた低誘電率層を作成するために、窒素含有エッチング組成物のプラズマ活性化蒸気を使用してエッチングされる。窒素含有エッチング組成物は、N≡C−R;(N≡C−)−(R)−(−C≡N);R[−C=N(R)];およびR(3−a)−N−H(式中、a=1〜2、x=1〜2、y=1〜2、z=0〜1、x+z=1〜3であり、かつ各Rは、独立して、a=0〜11、b=0〜11およびc=0〜5である式Hを有する)からなる群から選択される式を有する有機フッ素化合物を含んでなる。ダメージを受けた低誘電率層はアパーチャーから除去され、第2の画定された側壁幅が作成される。第1および第2の画定された側壁幅の間の差異は、0nm〜11nmの範囲である。
開示されたプロセスのいずれも、次の態様の1つ以上を含み得る。
・有機フッ素化合物は、C≡N官能基を含有する;
・有機フッ素化合物は、式N≡C−R(式中、Rは、式Hを有し、かつa=0、b=1〜11およびc=1〜5である)を有する;
・有機フッ素化合物は、式:
Figure 2020503677
を有する、トリフルオロアセトニトリル(CN)である;
・有機フッ素化合物は、式:
Figure 2020503677
を有する、ノナフルオロペンタニトリル(CN)である;
・有機フッ素化合物は、式:
Figure 2020503677
を有する、ペンタフルオロアリルシアニド(CN)である;
・有機フッ素化合物は、式N≡C−R(式中、Rは、式Hを有し、かつa=1〜11、b=1〜11およびc=1〜5である)を有する;
・有機フッ素化合物は、式
Figure 2020503677
を有する、ジフルオロアセトニトリル(CHFN)である;
・有機フッ素化合物は、式
Figure 2020503677
を有する、2,3,3,3−テトラフルオロプロピオニトリル(CHFN)である;
・有機フッ素化合物は、式
Figure 2020503677
を有する、2,2,3,3−テトラフルオロプロピオニトリル(CHFN)である;
・有機フッ素化合物は、式
Figure 2020503677
を有する、4,4,4−トリフルオロクロトノ−ニトリル(CN)である;
・有機フッ素化合物は、式
Figure 2020503677
を有する、3,3,3−トリフルオロプロピオニトリル(CN)である;
・有機フッ素化合物は、式
Figure 2020503677
を有する、フルオロアセトニトリル(CFN)である;
・有機フッ素化合物は、式(N≡C−)−(R)−(−C≡N)(式中、Rは、式Hを有し、a=0、b=1〜11およびc=1〜5である)を有する;
・有機フッ素化合物は、式
Figure 2020503677
を有する、オクタフルオロヘキサン−1,6−ジニトリル(C)である;
・有機フッ素化合物は、式
Figure 2020503677
を有する、1,1−ビス(トリフルオロメチル)−2,2−ジシアノエチレン(C)である;
・有機フッ素化合物は、式(N≡C−)−(R)−(−C≡N)(式中、Rは、a=1〜11、b=1〜11およびc=1〜5である式Hを有する)を有する;
・有機フッ素化合物は、式
Figure 2020503677
を有する、2−[1−(ジフルオロメチル)−2,2,2−トリフルオロエチリデン]−プロパンジニトリル(CHF)である;
・有機フッ素化合物は、C=N官能基を含有する;
・有機フッ素化合物は、式R[−C=N(R)](式中、x=1〜2、y=1〜2、z=0〜1、x+z=1〜3、かつ各Rは、独立して、a=0、b=1〜11およびc=0〜5である式Hを有する)を有する;
・有機フッ素化合物は、式
Figure 2020503677
を有する、N,1,1,1,3,3,3−ヘプタフルオロ−プロパンアミン(CN)である;
・有機フッ素化合物は、式R[−C=N(R)](式中、x=1〜2、y=1〜2、z=0〜1、x+z=1〜3、かつ各Rは、独立して、a=0〜11、b=0〜11およびc=0〜5である式Hを有する)を有する;
・有機フッ素化合物は、式
Figure 2020503677
を有する、ヘキサフルオロアセトンイミン(CHFN)である;
・有機フッ素化合物は、式
Figure 2020503677
を有する、1,1,1,6,6,6−ヘキサフルオロ−3−アザヘキサ−3−エン(CN)である;
・有機フッ素化合物は、C−N官能基を含有する;
・有機フッ素化合物は、式R(3−a)−N−H(式中、a=1〜2、かつ各Rは、独立して、a=1〜11、b=1〜11およびc=1〜5である式Hを有する)を有する;
・有機フッ素化合物は、式
Figure 2020503677
を有する、2,2,2−トリフルオロエチルアミン(CN)である;
・有機フッ素化合物は、式
Figure 2020503677
を有する、2,2,3,3,3−ペンタフルオロプロピルアミン(CN)である;
・有機フッ素化合物は、式
Figure 2020503677
を有する、2,2,3,3,4,4,4−ヘプタフルオロブチルアミン(CN)である;
・有機フッ素化合物は、式
Figure 2020503677
を有する、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)である;
・有機フッ素化合物は、式
Figure 2020503677
を有する、ビス(2,2,2−トリフルオロエチル)アミン(CN)である;
・Piranha(HSO+H+HO)、SC1(1:1:100 NHOH:H:HO)、SC2(1:1000 HCl:HO)、またはHFを使用して、残留ポリマーを除去する;
・Piranha(HSO+H+HO)、SC1(1:1:100 NHOH:H:HO)、SC2(1:1000 HCl:HO)またはHFを使用して、ダメージを受けた低誘電率層を除去する;
・残留ポリマーの除去と一緒に、ダメージを受けた低誘電率層の全体を除去する;
・残留ポリマーの除去と一緒に、ダメージを受けた低誘電率層の一部を除去する;
・窒素含有エッチング組成物のプラズマ活性化蒸気は、パターン化マスク層ではなく、低誘電率層を選択的にエッチングする;
・窒素含有エッチング組成物のプラズマ活性化蒸気は、約20:1〜約120:1の範囲の低誘電率層およびパターン化マスク層間の選択性を示す;
・窒素含有エッチング組成物のプラズマ活性化蒸気は、約70:1〜約120:1の範囲の低誘電率層およびパターン化マスク層間の選択性を示す;
・活性化窒素含有エッチング組成物は、低誘電率層と反応し、揮発性副産物を形成する;
・揮発性副産物は、反応チャンバーから除去される;
・エッチングステップの間に、不活性ガスを導入することをさらに含んでなる;
・不活性ガスは、He、Ar、Xe、KrおよびNeからなる群から選択される;
・不活性ガスは、Arである;
・エッチングステップへの導入の前に、窒素含有エッチング組成物の蒸気および不活性ガスを混合する;
・エッチングステップの間に、不活性ガスとは別々に窒素含有エッチング組成物の蒸気を導入する;
・エッチングステップの間に、不活性ガスを連続的に導入し、そして窒素含有エッチング組成物の蒸気を断続的に導入する;
・不活性ガスは、エッチングステップの間に導入される窒素含有エッチング組成物の蒸気および不活性ガスの両方の全体積の約0.01%v/v〜約99.9%v/vを構成する;
・不活性ガスは、エッチングステップの間に導入される窒素含有エッチング組成物の蒸気および不活性ガスの両方の全体積の約10%v/v〜約90%v/vを構成する;
・不活性ガスは、エッチングステップの間に導入される窒素含有エッチング組成物の蒸気および不活性ガスの両方の全体積の約90%v/v〜約99%v/vを構成する;
・エッチングステップの間に、酸素含有反応物を導入することをさらに含んでなる;
・エッチングステップの間に、酸素含有反応物を導入しない;
・酸素含有反応物は、O、COS、CO、CO、NO、NO、NO、SOおよびOからなる群から選択される;
・酸素含有反応物は、Oである;
・エッチングステップの前に、窒素含有エッチング組成物の蒸気および酸素含有反応物を混合する;
・エッチングステップの間に、酸素含有反応物とは別々に窒素含有エッチング組成物の蒸気を導入する;
・エッチングステップの間に、酸素含有反応物を連続的に導入し、そして窒素含有エッチング組成物の蒸気を断続的に導入する;
・酸素含有反応物は、エッチングステップの間に導入される窒素含有エッチング組成物の蒸気および酸素含有反応物の全体積の約0.01%v/v〜約99.9%v/vを構成する;
・酸素含有反応物は、エッチングステップの間に導入される窒素含有エッチング組成物の蒸気および酸素含有反応物の全体積の約40%v/v〜約60%v/vを構成する;
・酸素含有反応物は、エッチングステップの間に導入される窒素含有エッチング組成物の蒸気および酸素含有反応物の全体積の約0.01%v/v〜約10%v/vを構成する;
・低誘電率層は、Si(式中、a>0;b、cおよびd≧0である)の層を含んでなる;
・低誘電率層は、酸素原子、炭素原子、水素原子またはそれらの組合せを含んでなる;
・低誘電率層は、多孔性である;
・低誘電率層は、a−C層から選択的にエッチングされる;
・低誘電率層は、フォトレジスト層から選択的にエッチングされる;
・低誘電率層は、窒化ケイ素層から選択的にエッチングされる;
・低誘電率層は、炭窒化ケイ素層から選択的にエッチングされる;
・低誘電率層は、ポリケイ素層から選択的にエッチングされる;
・低誘電率層は、結晶質ケイ素層から選択的にエッチングされる;
・低誘電率層は、金属コンタクト層から選択的にエッチングされる;
・低誘電率層は、窒化チタン層から選択的にエッチングされる;
・低誘電率層は、タンタル層から選択的にエッチングされる;
・低誘電率層は、酸化ケイ素層である;
・a−C層から酸化ケイ素層を選択的にエッチングする;
・フォトレジスト層から酸化ケイ素層を選択的にエッチングする;
・p−Si層から酸化ケイ素層を選択的にエッチングする;
・結晶質ケイ素層から酸化ケイ素層を選択的にエッチングする;
・金属コンタクト層から酸化ケイ素層を選択的にエッチングする;
・SiN層から酸化ケイ素層を選択的にエッチングする;
・SiCN層から酸化ケイ素層を選択的にエッチングする;
・ケイ素含有膜は、SiCOH層である;
・窒化チタン層からSiCOH層を選択的にエッチングする;
・a−C層からSiCOH層を選択的にエッチングする;
・フォトレジスト層からSiCOH層を選択的にエッチングする;
・SiN層からSiCOH層を選択的にエッチングする;
・SiCN層からSiCOH層を選択的にエッチングする;
・アパーチャーは、約10:1〜約200:1のアスペクト比を有する;
・アパーチャーは、約1:1〜約20:1のアスペクト比を有する;
・アパーチャーは、ゲートトレンチである;
・アパーチャーは、階段状コンタクトである;
・アパーチャーは、チャネルホールである;
・アパーチャーは、約60:1〜約100:1のアスペクト比を有するチャネルホールである;
・アパーチャーは、約5nm〜約100nmの範囲の直径を有するチャネルホールである;
・アパーチャーは、約10nm〜約50nmの範囲の直径を有するチャネルホールである;
・エッチングステップの間に、エッチングガスを導入することによって、選択性を改善することをさらに含んでなる;
・エッチングステップの間に、エッチングガスを導入することによって、エッチング速度を改善することをさらに含んでなる;
・エッチングガスは、cC、C、C、CF、CHF、CFH、CH、COS、CFI、CI、CI、F−C≡N、CS、SO、トランス−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン(trans−C)、シス−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン(cis−C)、ヘキサフルオロイソブテン(C)、トランス−1,1,2,2,3,4−ヘキサフルオロシクロブタン(trans−C)、1,1,2,2,3−ペンタフルオロシクロブタン(C)、1,1,2,2−テトラフルオロシクロブタン(C)またはシス−1,1,2,2,3,4−ヘキサフルオロシクロブタン(cis−C)からなる群から選択される;
・エッチングガスは、cCである;
・エッチングガスは、cCである;
・エッチングガスは、Cである;
・エッチングステップの前に、窒素含有エッチング組成物の蒸気およびエッチングガスを混合する;
・エッチングステップの間に、エッチングガスとは別々に窒素含有エッチング組成物の蒸気を導入する;
・エッチングステップの間に導入される窒素含有エッチング組成物の蒸気およびエッチングガスの全体積の約0.01%v/v〜約99.99%v/vのエッチングガスを導入する;
・RF電力を適用することによってプラズマを活性化する;
・約25W〜約10,000Wの範囲のRF電力によってプラズマを活性化する;
・約1mTorr〜約10Torrの範囲の圧力においてエッチングステップを実行する;
・エッチングステップの間に、約0.1sccm〜約1slmの範囲のフロー速度で、エッチング反応チャンバー中に窒素含有エッチング組成物の蒸気を導入する;
・約−196℃〜約500℃の範囲の温度に低誘電率層を維持する;
・約−120℃〜約300℃の範囲の温度に低誘電率層を維持する;
・約−100℃〜約50℃の範囲の温度に低誘電率層を維持するか;あるいは
・約−50℃〜約40℃の範囲の温度に低誘電率層を維持する。
また、C−N官能基を有する有機フッ素化合物を含んでなる、窒素含有エッチング組成物も開示される。開示された窒素含有エッチング組成物は、次の態様の1つ以上を含む。
・有機フッ素化合物は、式R(3−a)−N−H(式中、a=1〜2、かつRは、独立して、a=1〜11、b=1〜11およびc=1〜5である式Hを有する)を有する;
・有機フッ素化合物は、式
Figure 2020503677
を有する、2,2,2−トリフルオロエチルアミン(CN)である;
・有機フッ素化合物は、式
Figure 2020503677
を有する、2,2,3,3,3−ペンタフルオロプロピルアミン(CN)である;
・有機フッ素化合物は、式
Figure 2020503677
を有する、2,2,3,3,4,4,4−ヘプタフルオロブチルアミン(CN)である;
・有機フッ素化合物は、式
Figure 2020503677
を有する、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)である;
・有機フッ素化合物は、式
Figure 2020503677
を有する、ビス(2,2,2−トリフルオロエチル)アミン(CN)である;
・約95体積%〜約99.999体積%の範囲の純度を有する;
・約10pptv(parts per trillion by volume)〜約5体積%の微量ガス不純物を含んでなる;
・微量ガス不純物は、水を含んでなる;
・微量ガス不純物は、COを含んでなる;
・微量ガス不純物は、Nを含んでなるか;あるいは
・窒素含有エッチング組成物は、20ppmw未満の含水量を有する。
表示法および命名法
以下の詳細な説明および請求の範囲では、一般に、当該技術において周知である多数の略語、記号および用語が利用される。定義は典型的にそれぞれの頭字語によって提供されるが、便宜上、表1に、それらのそれぞれの定義と一緒に、使用された略語、記号および用語の一覧を示す。
Figure 2020503677
Figure 2020503677
本明細書で使用される場合、不定冠詞「a」または「an」は、1以上を意味する。
本明細書で使用される場合、明細書中または請求の範囲中の「約」は、明記された値の±10%を意味する。
本明細書で使用される場合、明細書中または請求の範囲中の「誘電率の増加」または「増加した誘電率」は、エッチングの前後の誘電率値の変化が≧0であることを意味する。ここで、「変化」とは、エッチング後の誘電率値の≧0の変化を意味するが、ただし、エッチング後の誘電率値は、エッチング前の誘電率値より高いか、または同じであることを条件とする。
本明細書で使用される場合、「エッチ」または「エッチング」という用語は、垂直側壁が基材に対して直角においてマスクされたフィーチャの端部に沿って形成されるように、イオン衝撃が垂直方向での化学反応を促進する、プラズマエッチングプロセス(すなわち、乾式エッチングプロセス)を意味する(Manos and Flamm,Plasma Etching An Introduction,Academic Press,Inc.1989 pp.12−13)。エッチングプロセスによって、基材中の、ビア、トレンチ、チャネルホール、ゲートトレンチ、階段状コンタクト、コンデンサーホール、コンタクトホールなどのアパーチャーが作成される。
「パターンエッチング」または「パターン化されたエッチング」という用語は、ケイ素含有膜のスタック上のパターン化されたマスク層などの非平面構造をエッチングすることを意味する。
「マスク」という用語は、エッチングに抵抗する層を示す。マスク層は、エッチングされる層の上に位置し得る。
「エッチング停止」という用語は、下の層を保護する、エッチングされる層の下に位置するエッチングに抵抗する層を意味する。
「デバイスチャネル」という用語は、実際のデバイスの一部である層を意味し、そしてそれに対するいずれのダメージもデバイス性能に影響するであろう。
「アスペクト比」という用語は、トレンチの幅(またはビアの直径)に対するトレンチ(またはビア)の高さの比率を意味する。
「選択性」という用語は、別の材料のエッチング速度に対する1つの材料のエッチング速度の比率を意味する。「選択性エッチング」または「選択的エッチング」という用語は、別の材料よりも1つの材料をエッチングすることを意味するか、あるいは換言すれば、2つの材料間のエッチング選択性が1:1より高いか、またはそれ未満であることを意味する。
「独立して」という用語は、R基の記載に関して使用される場合、対象のR基が、同一または異なる下付き文字または上付き文字を有する他のR基に対して独立して選択されるのみならず、同一R基のいずれかの追加の種に対しても独立して選択されることも意味するものとして理解されるべきである。例えば、Mが原子であり、xが2または3である式MR (NR(4−x)において、2個または3個のR基は、互いに、またはRもしくはRと同一であってもよいが、同一である必要はない。さらに、他に特記されない限り、R基の値は、異なる式で使用される場合、互いに独立していることは理解されるべきである。
本明細書中、「膜」および「層」という用語は、互換的に使用され得ることに留意されたい。膜は層に相当し得るか、または層に関連し得ること、および層が膜と呼ばれてもよいことが理解される。さらに、当業者は、「膜」または「層」という用語が、本明細書で使用される場合、表面上に適用されたか、または延展されたいくつかの材料の厚さを意味し、かつ表面が、ウエハ全体と同程度の大きいものからトレンチまたはライン程度の小さいものまでの範囲であり得ることを認識するであろう。
本明細書で使用される場合、「NAND」という略語は、「否定AND(Negated AND)」または「ノットAND(Not AND)」ゲートを意味し;「2D」という略語は、平面基材上の2次元ゲート構造を意味し;「3D」という略語は、ゲート構造が垂直方向に積み重なる、3次元または垂直ゲート構造を意味する。
本明細書中、元素周期表からの元素の標準的な略語が使用される。元素がこれらの略語によって示され得ることは理解されるべきである(例えば、Siはケイ素を意味し、Nは窒素を意味し、Oは酸素を意味し、Cは炭素を意味し、Hは水素を意味し、Fはフッ素を意味する、など)。
Chemical Abstract Serviceによって割り当てられたユニークなCAS登録番号(すなわち、「CAS」)は、特定の分子を識別するために提供される。
窒化ケイ素および酸化ケイ素などのケイ素含有膜が、それらの適切な化学量論を示さずに明細書および請求の範囲全体に列挙されることに留意されたい。ケイ素含有膜には、結晶質Si、ポリケイ素(p−Siもしくは多結晶質Si)または非晶質ケイ素などの純粋なケイ素(Si)層;窒化ケイ素(Si)層;酸化ケイ素(Si)層;またはその混合物が含まれてよく、ここで、k、l、mおよびnは、全てを含めて0.1〜6の範囲である。好ましくは、窒化ケイ素は、kおよびIがそれぞれ0.5〜1.5の範囲であるSiである。より好ましくは、窒化ケイ素はSiである。好ましくは、酸化ケイ素は、nが0.5〜1.5の範囲であり、かつmが1.5〜3.5の範囲であるSiである。より好ましくは、酸化ケイ素はSiOである。本明細書中、以下の明細書中のSiOまたは酸化ケイ素は、Si含有層を表す。ケイ素含有膜は、SiOCHを有する、Applied Materials,Inc.によるBlack Diamond IIまたはIII材料などの、有機ベースまたは酸化ケイ素ベースの低誘電率誘電体材料などの酸化ケイ素ベースの誘電体材料であることも可能である。ケイ素含有膜は、a、b、cが0.1〜6の範囲であるSiを含み得る。ケイ素含有膜は、B、C、P、Asおよび/またはGeなどのドーパントも含み得る。
本発明の特性および目的のさらなる理解のために、以下の詳細な説明は、添付の図面と関連して参照されるべきである。図面中、同様の要素は、同一または類似の参照番号が与えられる。
低誘電率層のエッチング前のバックエンド金属化における模範的な層の断面図である。 低誘電率層のエッチング後のバックエンド金属化における模範的な層の断面図である。 模範的な堆積およびエッチング試験において利用される模範的な反応器システムの断面図である。 CF、Oと一緒のCHFN、Oと一緒のCHFNまたはOと一緒のCNによる低誘電率、SiCN、SiNおよびTiNのエッチング速度を示すグラフである。 SiCN、SiNおよびTiNへの指定されたエッチングガスの低誘電率選択性を示すグラフである。 指定されたガスによるエッチングの前および後の約1300〜900cm−1における低誘電率層のFTIRスペクトルを示すグラフである。 指定されたガスによるエッチングの前および後の約1270cm−1における図5のFTIRスペクトルに焦点を合わせたグラフである。 指定されたガスによる低誘電率層のエッチングの前および後のパーセントC1を示すX線光電子分光(XPS)グラフである。 HF浸漬の前にCHFNによってエッチングされたパターン化ウエハの走査型電子顕微鏡写真である。 HF浸漬の後にCHFNによってエッチングされたパターン化ウエハの走査型電子顕微鏡写真である。 HFNを使用するプラズマ堆積によって形成されたポリマー膜のXPSグラフである。 Nを使用するプラズマ堆積によって形成されたポリマー膜のXPSグラフである。 によるエッチングの前および後の約1300〜1200cm−1における低誘電率層のFTIRスペクトルを示すグラフである。 による低誘電率層のエッチングの前および後のパーセントC1を示すXPSグラフである。 CHおよびCFによるエッチングの前および後の約1350〜1200cm−1における低誘電率層のFTIRスペクトルを示すグラフである。 CHおよびCF4による低誘電率層のエッチングの前および後のパーセントC1を示すXPSグラフである。
低誘電率エッチングプロセスの間の側壁ダメージを最小化する方法が開示される。低誘電率エッチングプロセスは、バックエンド金属化の間に低誘電率膜をプラズマエッチングするために使用され得る。
開示された方法は、i)基材上にケイ素含有膜を含有する反応チャンバー中に、C≡N、C=NまたはC−N官能基を含有する有機フッ素化合物である窒素含有エッチング組成物の蒸気を導入するステップと;ii)任意選択的に、反応チャンバー中に不活性ガスを導入するステップと;iii)プラズマを活性化し、基材からケイ素含有膜をエッチングすることが可能である活性化された窒素含有エッチング組成物を形成するステップとを含む。
C≡N官能基を含んでなる、開示された有機フッ素化合物は、一般式
N≡C−R (I)
(N≡C−)−(R)−(−C≡N) (II)
(式中、Rは、a=0〜11、b=1〜11およびc=1〜5である式Hを有する)を有する。H官能基は、直鎖、分岐鎖または環状であり得る。H官能基は、飽和または不飽和であり得る。出願人らは、C≡N官能基が、xが0.01〜1の範囲であり、かつyが0.01〜4の範囲であるCポリマーと比較して、改善されたエッチング抵抗ポリマー堆積の利点を提供し得ると考える。実施例6に示されるように、C≡N官能基は、C−N官能基によって提供されるよりも、いずれの得られるポリマーパッシベーション層においても、より少ない窒素を提供し得る。これは、より小さい構造において望ましくなり得る。有機フッ素化合物が少なくとも1つのHを含む場合(すなわち、Rが、a=1〜11、b=1〜11およびc=1〜5である式Hを有する場合)、SiNのエッチング速度は、マスク層への高い選択性を維持しながらも強化され得る。
C≡N官能基を含んでなる模範的な有機フッ素化合物としては、トリフルオロアセトニトリル(CN)、ノナフルオロペンタニトリル(CN)、ペンタフルオロアリルシアニド(CN)、ジフルオロアセトニトリル(CHFN)、2,3,3,3−テトラフルオロプロピオニトリル(CHFN)、2,2,3,3−テトラフルオロプロピオニトリル、4,4,4−トリフルオロクロトノニトリル、3,3,3−トリフルオロプロピオニトリル、フルオロアセトニトリル、オクタフルオロヘキサン−1,6−ジニトリル、1,1−ビス(トリフルオロメチル)−2,2−ジシアノエチレンまたは2−[1−(ジフルオロメチル)−2,2,2−トリフルオロエチリデン]−プロパンジニトリルが含まれる。好ましくは、有機フッ素化合物は、2,3,3,3−テトラフルオロプロピオニトリル(CHFN)またはジフルオロアセトニトリル(CHFN)である。
C≡N官能基を含んでなる、開示された有機フッ素化合物は、一般式
[−C=N(R)] (III)
(式中、x=1〜2、y=1〜2、z=0〜1、x+z=1〜3であり、かつ各Rは、独立して、a=0〜11、b=1〜11およびc=0〜5である式Hを有する)を有する。H官能基は、直鎖、分岐鎖または環状であり得る。H官能基は、飽和または不飽和であり得る。出願人らは、C=N官能基が、xが0.01〜1の範囲であり、かつyが0.01〜4の範囲であるCポリマーと比較して、ケイ素層の間で改善された選択性を提供し得ると考える。有機フッ素化合物が少なくとも1つのHを含む場合(すなわち、少なくとも1つのRが、a=1〜11、b=1〜11およびc=0〜5である式Hを有する場合、好ましくは、少なくとも1つのRがHである場合)、SiNのエッチング速度は、マスク層への高い選択性を維持しながらも強化され得る。
C=N官能基を含んでなる模範的な有機フッ素化合物としては、N,1,1,1,3,3,3−ヘプタフルオロ−プロパンアミン、ヘキサフルオロアセトンイミン(CHFN)、または1,1,1,6,6,6−ヘキサフルオロ−3−アザヘキサ−3−エンが含まれる。
C−N官能基を含んでなる、開示された有機フッ素化合物は、次の一般式
(3−a)−N−H (IV)
(式中、a=1〜2であり、かつ各Rは、独立して、a=0〜11、b=1〜11およびc=0〜5である式Hを有する)を有する。H官能基は、直鎖、分岐鎖または環状であり得る。H官能基は、飽和または不飽和であり得る。出願人らは、C−N官能基が、xが0.01〜1の範囲であり、かつyが0.01〜4の範囲であるCポリマーと比較して、ケイ素層の間で改善された選択性を提供すると考える。実施例6に示されるように、C−N官能基は、C≡N官能基によるよりも、いずれの得られるポリマーパッシベーション層においても、より多くの窒素を提供し得る。有機フッ素化合物が少なくとも1つのHを含む場合(すなわち、少なくとも1つのRが、a=1〜11、b=1〜11およびc=1〜5である式Hを有する場合)、SiNのエッチング速度は、マスク層への高い選択性を維持しながらも強化され得る。
C−N官能基を含んでなる模範的な有機フッ素化合物としては、2,2,2−トリフルオロエチルアミン(CN)、2,2,3,3,3−ペンタフルオロプロピルアミン(CN)、2,2,3,3,4,4,4−ヘプタフルオロブチルアミン(CN)、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)、またはビス(2,2,2−トリフルオロエチル)アミン(CN)が含まれる。
模範的な有機フッ素化合物を表2に列挙する。これらの化合物は、商業的に入手可能であり、そしてそれらの構造式、CAS番号および沸点も表2に含まれる。
Figure 2020503677
Figure 2020503677
Figure 2020503677
Figure 2020503677
開示された窒素含有エッチング組成物は、マスク層、エッチング停止層およびデバイスチャネル材料に対して高い選択性を提供し得、かつDRAMおよび3D NAND用途などの10:1〜200:1の範囲のアスペクト比を有するものなどの高アスペクト比構造におけるプロフィール歪みを提供し得ない。代わりに、開示された窒素含有エッチング組成物は、コンタクトエッチング用途において1:1〜50:1の範囲のアスペクト比を有するものなどのマスク層または窒化ケイ素に対して高い選択性を提供し得る。
開示された窒素含有エッチング組成物は、エッチングの広範なプロセス条件に関する無数の選択性を提供し得る。本明細書中、選択性とは、2つの異なる層のエッチング速度比を示す。例えば、SiO層対非晶質炭素(a−C)層に関する選択性は、a−C層のエッチング速度で割られたSiOのエッチング速度である。開示された窒素含有エッチング組成物は、ケイ素含有膜とマスク材料との間の改善された選択性を提供し得、チャネル領域へのダメージが低下し、かつパターン高アスペクト比構造における歪曲が減少する。また、開示された窒素含有エッチング組成物は、p−Si、SiOおよび/またはSiNの交互の層を通してエッチングし得、垂直エッチングプロフィールをもたらす(すなわち、交互の層の間に2:1〜1:2の範囲の選択性を示す)。
開示された窒素含有エッチング組成物は、95%v/vより高い有機フッ素化合物、好ましくは、99.99%v/vより高い純度、より好ましくは、99.999%v/vより高い純度を含んでなり得る。開示された窒素含有エッチング組成物は、5体積%未満の微量ガス不純物を含有し、微量ガス不純物中には、体積で150ppm未満のNおよび/またはHOおよび/またはCOなどの不純物ガスが含まれる。好ましくは、プラズマエッチングガス中の含水量は、重量で20ppmw未満である。精製された生成物は、蒸留、および/または4Aモレキュラーシーブなどの適切な吸着剤を通してガスまたは液体を通過させることによって製造され得る。
開示された窒素含有エッチング組成物は、10%v/v未満、好ましくは、1%v/v未満、より好ましくは、0.1%v/v未満、さらにより好ましくは、0.01%v/v未満のいずれかの有機フッ素化合物の異性体を含有し、これは、異性体を除去するためのガスまたは液体の蒸留によって精製され得、かつより良好なプロセス繰り返し性を提供し得る。
代わりに、開示された窒素含有エッチング組成物は、特に異性体混合物が改善されたプロセスパラメーターを提供する場合、または標的異性体の単離が非常に困難であるか、もしくは高価である場合、5%v/v〜50%v/vの有機フッ素化合物の異性体を含有し得る。例えば、開示された窒素含有エッチング組成物は、約50%v/v〜約75%v/vの2,3,3,3−テトラフルオロプロピオニトリルおよび約25%v/v〜約50%v/vの2,2,3,3−テトラフルオロプロピオニトリルを含んでなり得る。異性体の混合物は、反応チャンバーへの2つ以上のガスラインの必要性を低減させ得る。
開示された窒素含有エッチング組成物のいくつかは、室温および気圧においてガス状である。非ガス状(すなわち、液体または固体)組成物に関して、それらのガス形態は、直接蒸発などの従来的な蒸発ステップによって組成物を蒸発させることによって、または不活性ガス(N、Ar、He)によるバブリングによって生成され得る。非ガス状組成物は、それを反応器中に導入する前にそれを蒸発させる蒸発器(ダイレクト・リキッド・インジェクション(DLI))においてに液体形態で提供され得る。
開示された窒素含有エッチング組成物は、ケイ素含有膜中のチャネルホール、ゲートトレンチ、階段状コンタクト、コンデンサーホール、コンタクトホールなどの半導体構造のプラズマエッチングに適切である。開示された窒素含有エッチング組成物が、高アスペクト比構造の良好なプロフィールとともにマスク上にダメージを誘発しないか、またはわずかのみ誘発するため、開示された窒素含有エッチング組成物は、現在入手可能なマスク材料と適合性があるのみならず、次世代のマスク材料とも適合性がある。換言すれば、開示された窒素含有エッチング組成物は、最小限の歪曲、パターン崩壊または粗さを有するか、または有さない垂直エッチングパターンを作成し得る。これらの特性を達成するために、開示された窒素含有エッチング組成物は、エッチングプロセスの間に酸素およびフッ素ラジカルの直接的な影響を減少させることの補助とするため、エッチングの間にエッチング抵抗ポリマー層を堆積してもよい。開示された窒素含有エッチング組成物は、エッチングの間のp−Siまたは結晶質Siチャネル構造に対するダメージを減少させ得る。好ましくは、開示された窒素含有エッチング組成物は、反応器/チャンバー中への送達のため、エッチングプロセスの間、適切に揮発性および安定性である。
材料適合性試験は、いずれかの開示された窒素含有エッチング組成物がチャンバー材料と反応して、そして短期または長期使用によってチャンバーの性能を低下させるかどうかを決定するために重要である。チャンバー、バルブなどの一部に関連する重要な材料としては、ステンレス鋼、アルミニウム、ニッケル、PCTFE、PVDF、PTFE、ならびに他の金属およびポリマーが含まれる。時には、これらの材料は、それらの低下を強化し得る、高温、例えば、20℃より高い温度、および高圧、例えば、1atmより高い圧力に暴露される。測定方法には、目視検査、重量測定、走査型電子顕微鏡法(SEM)におけるナノメートルスケールでの変化の測定、引張強度、硬度などが含まれ得る。
開示された窒素含有エッチング組成物は、基材上にケイ素含有膜をプラズマエッチングするために使用され得る。開示されたプラズマエッチング法は、NANDまたは3DNANDゲートなどの半導体デバイス、あるいはフィン型電界効果トランジスタ(fin−shaped field−effect transistor)(FinFET)、バルク相補型金属酸化物半導体(complementary metal−oxide−semiconductor)(バルクCMOS)、完全空乏シリコン−オン−インシュレーター(fully depleted silicon−on−insulator)(FD−SOI)構造などのフラッシュまたはDRAMメモリまたはトランジスタの製造において有用であり得る。開示された窒素含有エッチング組成物は、異なるフロントエンド(front end of the line)(FEOL)およびバックエンド(back end of the line)(BEOL)エッチング用途などの他の領域の用途において有用であり得る。好ましくは、低誘電率エッチングプロセスの間に受ける最小の側壁ダメージのため、開示された窒素含有エッチング組成物は、基材上のロジックにメモリを相互連結させるため、3D Si貫通電極(through silicon via)(TSV)エッチング用途においてSiをエッチングするために使用される。
プラズマエッチング法は、その中に配置された基材を有する反応チャンバーを提供することを含む。反応チャンバーは、限定されないが、反応性イオンエッチング(RIE)、単一または複数周波数RF源による容量結合プラズマ(CCP)、誘導結合プラズマ(ICP)、またはマイクロ波プラズマ反応器、あるいは選択的にケイ素含有膜の一部を除去することが可能であるか、または活性種を生成することが可能である他の種類のエッチングシステムなどのその中でエッチング法が実行されるデバイス中のいずれかのエンクロージャまたはチャンバーであり得る。当業者は、異なるプラズマ反応チャンバー設計によって異なる電子温度制御が提供されることを認識するであろう。適切な商業的に入手可能なプラズマ反応チャンバーとしては、限定されないが、eMAX(商標)の商標で販売されるApplied Materials磁気強化反応性イオンエッチャー、または2300(登録商標)Flex(商標)の商標名で販売されるLam Research Dual CCP反応性イオンエッチャー誘電体エッチング製品系統が含まれる。それらの中のRF電力は、プラズマ特性を制御し、それによって、エッチング性能(選択性およびダメージ)をさらに改善するために断続的であってもよい。
代わりに、プラズマ処理された反応物は、反応チャンバーの外部で生成され得る。MKS InstrumentsのASTRONi(登録商標)反応性ガス発生機は、反応チャンバー中への通過の前に反応物を処理するために使用され得る。2.45GHz、7kWプラズマ電力および約0.5Torr〜約10Torrの範囲の圧力で作動させると、反応物Oは2つのO・ラジカルに分解し得る。好ましくは、リモートプラズマは、約1kW〜約10kW、より好ましくは、約2.5kW〜約7.5kWの範囲の電力で生成され得る。
反応チャンバーは、1つまたは1つより多くの基材を含有し得る。例えば、反応チャンバーは、25.4mm〜450mmの直径を有する1〜200のケイ素ウエハを含有し得る。基材は、半導体、光起電、フラットパネルまたはLCD−TFTデバイス製造において使用されるいずれかの適切な基材であってよい。適切な基材の例としては、ケイ素、シリカ、ガラスまたはGaAsウエハなどのウエハが含まれる。ウエハは、ケイ素含有膜または層を含む、以前の製造ステップからのその上の複数の膜または層を有するであろう。層はパターン化されていても、またはされていなくてもよい。適切な層の例としては、限定されないが、ケイ素(非晶質ケイ素、p−Si、結晶質ケイ素、これらのいずれもB、C、P、Asおよび/またはGeによってさらにp−ドープまたはn−ドープされていてよい)、シリカ、窒化ケイ素、酸化ケイ素、オキシ窒化ケイ素、Si(式中、a>0;b、c、d、e≧0)、マスク層材料、例えば、非晶質炭素、反射防止コーティング、フォトレジスト材料、タングステン、窒化チタン、窒化タンタルまたはそれらの組合せ、エッチング停止層、例えば、窒化ケイ素、ポリケイ素、結晶質ケイ素、炭化ケイ素、SiCNまたはそれらの組合せ、デバイスチャネル材料、例えば、結晶質ケイ素、エピタキシャルケイ素、ドープされたケイ素、Si(式中、a>0;b、c、d、e≧0)、あるいはそれらの組合せが含まれる。酸化ケイ素層は、有機ベースか、または酸化ケイ素ベースの低誘電率誘電体材料(例えば、多孔性SiCOH膜)などの誘電体材料を形成し得る。模範的な低誘電率誘電体材料は、商標名Black Diamond IIまたはIIIでApplied Materialsによって販売される。さらに、タングステンまたは貴金属(例えば、白金、パラジウム、ロジウムまたは金)を含んでなる層が使用されてもよい。さらに、ケイ素含有膜の例は、Si(式中、a>0;b、c、d、e≧0)であり得る。明細書および請求の範囲全体で、ウエハおよびそのいずれかの関連層は基材と記載される。
以下は、開示された窒素含有エッチング組成物がエッチングするために適用され得る基材の模範的な実施形態である。
一実施形態において、図1aに示されるように、基材100は複数層のスタックを含み得る。図1aは、低誘電率層のエッチング前のバックエンド金属化における模範的な層100の断面図である。図1aにおいて、銅線101は、低誘電率層102aに包囲される。エッチング停止103aは、銅線101および第2の低誘電率層102bの間に位置する。第2のエッチング停止103bは、第2の低誘電率層102bおよびハードマスク104の間に位置する。有機平坦化層105は、ハードマスク104上に位置する。底部の反射防止コーティング層106は、有機平坦化層105上に位置する。フォトレジスト107は、底部の反射防止コーティング層106上に位置する。底部の反射防止コーティング層106、有機平坦化105、ハードマスク104および第2のエッチング停止103b層は、開示のプロセスの前に、第2の低誘電率層102bの表面を曝露するように全てエッチングされる。当業者は、図1aの模範的な層が模範的な目的のみのために提供されること、および開示された窒素含有エッチング組成物が他の種類の層のスタックをエッチングするために使用され得ることを認識するであろう。
図1bは、第2の低誘電率層102bのエッチング後のバックエンド金属化における模範的な層の側面図である。低誘電率エッチングプロセスは、第2の低誘電率層102bの側壁上にダメージ102cを生じる。より特には、低誘電率エッチングプロセスによって低誘電率層のメチル末端が除去され、ダングリングボンドまたはヒドロキシル基(Si−OH)が残る。結果として、膜はより親水性になり、そして容易に湿分を吸収することが可能となる。ダメージ102cは、窒素含有エッチング組成物のプラズマの種および側壁材料の間の化学反応によって引き起こされるプラズマ誘導ダメージである。これは次に、その度合がダメージプロセスの苛酷性次第である誘電率の増加を導く。開示された窒素含有エッチング組成物は、第2の低誘電率層102bの異方性エッチングおよび第2の低誘電率層102bの側壁上のN−含有ポリマーパッシベーション層(示されず)の堆積の両方のために適切であるプラズマプロセスの間の断片を生じる。結果として、以下の実施例で示されるように、開示された窒素含有エッチング組成物は、従来技術のエッチング化合物よりも第2の低誘電率層102bに少ない損傷を引き起こす。
当業者は、図1aおよび1bの層が一定の比例に合わせて縮小拡大されていないことを認識するであろう。
開示された窒素含有エッチング組成物の蒸気は、基材およびケイ素含有膜を含有する反応チャンバー中に導入される。蒸気は、約0.1sccm〜約1slmの範囲のフロー速度においてチャンバーに導入され得る。例えば、200mmのウエハ径に関して、蒸気は約5sccm〜約50sccmの範囲のフロー速度においてチャンバーに導入され得る。代わりに、450mmのウエハ径に関して、蒸気は約25sccm〜約250sccmの範囲のフロー速度においてチャンバーに導入され得る。当業者は、フロー速度がツールによって変動し得ることを認識するであろう。
開示された窒素含有エッチング組成物は、そのままの形態で、またはN、Ar、He、Xeなどの不活性ガスまたは溶媒とのブレンドのいずれかで供給され得る。開示された窒素含有エッチング組成物は、ブレンド中、様々な濃度で存在し得る。液体窒素含有組成物に関して、窒素含有エッチング組成物の蒸気形態は、そのままの、またはブレンドされた窒素含有エッチング組成物溶液を、直接蒸留などの従来の蒸発ステップによって蒸発させることによって、またはバブリングによって生成され得る。そのままの、またはブレンドされた窒素含有エッチング組成物は、それを反応器中に導入する前にそれを蒸発させる蒸発器へと液体状態で提供され得る。
代わりに、そのままの、またはブレンドされた窒素含有エッチング組成物は、開示された窒素含有エッチング組成物を含有する容器中にキャリアガスを通過させることによって、または開示された窒素含有組成物中にキャリアガスをバブリングすることによって蒸発され得る。キャリアガスとしては、限定されないが、Ar、He、Nおよびそれらの混合物が含まれる。キャリアガスによるバブリングによって、そのままの、またはブレンドされた窒素含有エッチング組成物溶液中に存在するいずれかの溶解酸素が除去され得る。次いで、キャリアガスおよび開示された窒素含有エッチング組成物は蒸気として反応器中に導入される。
必要であれば、窒素含有エッチング組成物が液体相となり、かつエッチングツールへの送達のために十分な蒸気圧を有することを可能にする温度まで、開示された窒素含有エッチング組成物を含有する容器を加熱してもよい。容器は、例えば、約0℃〜約150℃、好ましくは、約25℃〜約100℃、より好ましくは、約25℃〜約50℃の範囲の温度に維持されてもよい。より好ましくは、容器は、エッチングツールへのラインの加熱を避けるために室温(約25℃)に維持される。当業者は、容器の温度が、蒸発させる窒素含有組成物の量を制御するために周知の様式で調整され得ることを認識する。
さらに、窒素含有エッチング組成物は、95体積%〜99.999体積%の範囲の純度で送達され、かつCO、CO、N、HO、HF、HS、SO、ハロゲン化物および他の炭化水素またはヒドロハロカーボンの除去のための周知の標準的精製技術によって精製され得る。
不活性ガスもプラズマを受けるために反応チャンバー中に導入される。不活性ガスは、He、Ar、Xe、Kr、Ne、Nまたはそれらの組合せであり得る。エッチングガスおよび不活性ガスは、不活性ガスが、得られた混合物の約0.01%v/v〜約99.9%v/vを構成するように、チャンバーへの導入の前に混合されてもよい。代わりに、不活性ガスは連続的にチャンバーに導入され得るが、エッチングガスは断続的にチャンバーに導入される。
開示されたエッチングガスの蒸気および不活性ガスをプラズマによって活性化し、活性化エッチングガスを生成する。プラズマによってエッチングガスはラジカル型(すなわち、活性化エッチングガス)へと分解する。プラズマは、RFまたはDC電力を適用することによって発生させてもよい。プラズマは、約25W〜約10,000Wの範囲のRF電力によって発生させてもよい。プラズマは、遠位で、または反応器自体の中で発生させてもよい。プラズマは、両電極において適用されたRFによって、デュアルCCPまたはICPモードで発生させてもよい。プラズマのRF周波数は、200KHz〜1GHzの範囲であり得る。異なる周波数における異なるRF源を組み合わせて、そして同一電極において適用されてもよい。分子断片化および基材における反応を制御するため、さらにプラズマRFパルスを使用してもよい。当業者は、そのようなプラズマ処理のために適切な方法および装置を認識するであろう。
四重極質量分析装置(QMS)、光学発光分光器、FTIRまたは他のラジカル/イオン測定ツールによって、生成した種の種類および数を決定するために、チャンバー排出物からの活性化エッチングガスを測定してもよい。必要であれば、エッチングガスおよび/または不活性ガスのフロー速度は、発生したラジカル種の数を増加または減少させるように調整されてもよい。
開示されたエッチングガスは、反応チャンバー中への導入の前、または反応チャンバー中のいずれかで、他のガスと混合されてもよい。好ましくは、ガスは、混入ガスの均一な濃度を提供するために、チャンバーへの導入の前に混合されてよい。
別の選択肢において、窒素含有組成物の蒸気は、2種以上のガスが反応する場合など、他のガスから独立してチャンバー中に導入されてよい。
別の選択肢において、エッチングガスおよび不活性ガスは、エッチングプロセスの間に使用される2種のみのガスである。
模範的な他のガスとしては、限定されないが、O、O、CO、CO、COS、NO、NO、NO、SOおよびそれらの組合せなどの酸化剤が含まれる。開示されたエッチングガスおよび酸化剤は、反応チャンバー中に導入される前に一緒に混合されてもよい。
代わりに、酸化剤は連続的にチャンバーに導入され得、かつエッチングガスは断続的にチャンバーに導入される。酸化剤は、チャンバー中に導入される混合物の約0.01%v/v〜約99.99%v/vを構成してもよい(99.99%v/vは、連続導入の選択肢に関して、ほぼ純粋な酸化剤の導入を表す)。
エッチングガスが混合され得る他の模範的なガスとしては、cC、C、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、SO、トランス−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン(trans−C)、シス−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン(cis−C)、ヘキサフルオロイソブテン(C)、トランス−1,1,2,2,3,4−ヘキサフルオロシクロブタン(trans−C)、1,1,2,2,3−ペンタフルオロシクロブタン(C)、1,1,2,2−テトラフルオロシクロブタン(C)またはシス−1,1,2,2,3,4−ヘキサフルオロシクロブタン(cis−C)が含まれる。
エッチングガスの蒸気および追加のガスは、反応チャンバーへの導入の前に混合されてもよい。追加のエッチングガスは、チャンバー中に導入される混合物の約0.01%v/v〜約99.99%v/vを構成してもよい。
1つの非制限的な模範的なプラズマエッチングプロセスにおいて、2,3,3,3−テトラフルオロプロピオニトリルの蒸気は、制御ガスフローデバイスを使用して、200mmデュアルCCPプラズマエッチングツールへと導入される。制御ガスフローデバイスは、所望の分子の蒸気を送達するための不活性ガスフローによるマスフロー制御器またはバブラーデザインであり得る。高沸点分子の場合、Brooks Automation(No.GF120XSD)、MKS Instrumentsなどからの特別な低圧損失マスフロー制御器が使用され得る。反応チャンバーの圧力は、約30mTorrに設定される。2,3,3,3−テトラフルオロプロピオニトリルの蒸気圧は室温において約498Torrであるため、ガス供給源の加熱は必要ではない。2つのCCP電極間の距離は1.35cmに保持され、かつ上部電極RF電力は750Wに固定される。底部電極RF電力は、分子の性能を分析するために変更される。反応チャンバーは、図1aに示されるものと同様の層を有するバックエンド金属化のための基材を含有する。第2の低誘電率層102bは、開示された窒素含有エッチング組成物(例えば、2,3,3,3−テトラフルオロプロピオニトリル)、酸素およびアルゴンのプラズマによってパターン化される。アルゴンは、独立して、250sccmフロー速度においてチャンバー中に導入される。2,3,3,3−テトラフルオロプロピオニトリルは、独立して、15sccmにおいてチャンバー中に導入される。Oは、独立して、チャンバー中に導入され、かつ最適エッチング条件を決定するために0sccm〜20sccmで様々である。約1:1〜約20:1の範囲のアスペクト比を有するアパーチャーが作成され、そこで銅線が形成されてもよい。Piranha(HSO+H+HO)、SC1(1:1:100 NHOH:H:HO)、SC2(1:1000 HCl:HO)またはHFを使用して、いずれの残留ポリマーおよび/またはいずれの残留反応生成物および/またはダメージを受けた低誘電率層102cの一部もしくは全部を基材から除去する。クリーニング後、アパーチャーはそのアスペクト比を維持しなければならない。
別の非限定的な模範的なプラズマエッチングプロセスにおいて、ジフルオロアセトニトリルは、制御ガスフローデバイスを使用して、200mmデュアルCCPプラズマエッチングツールへと導入される。制御ガスフローデバイスは、マスフロー制御器であり得る。高沸点分子の場合、Brooks Automation(No.GF120XSD)、MKS Instrumentsなどからの特別な低圧損失マスフロー制御器が使用され得る。反応チャンバーの圧力は、約30mTorrに設定される。ジフルオロアセトニトリルの蒸気圧は20℃において約900Torrであるため、ガス供給源の加熱は必要ではない。2つのCCP電極間の距離は1.35cmに保持され、かつ上部電極RF電力は750Wに固定される。底部電極RF電力は、ジフルオロアセトニトリルの性能を分析するために変更される。反応チャンバーは、図1aに示される第2の低誘電率層102aと同様の低誘電率薄層をその上に有するバックエンド金属化のための基材を含有する。低誘電率誘電体層は、式Si(式中、wは、0.01〜1の範囲であり、xは、0.01〜1の範囲であり、yは、0.01〜1の範囲であり、かつzは、0.01〜1の範囲である)を有する。低誘電率層は、その誘電率を低下させるために有用である細孔を含んでなり得る。低誘電率層は、約2.0〜約3.0の範囲の誘電率を有する。低誘電率エッチングプロセス後、低誘電率層は、2.0〜3.5の増加した誘電率を有する。低誘電率エッチングプロセス後、低誘電率層は、約0〜約10GPaの範囲のヤング弾性率を有する。
この模範的なプラズマエッチングプロセスの前に、底部の反射防止コーティング層107、有機平坦化106、ハードマスク105および第2のエッチング停止103b層は、フッ化炭化水素(例えば、CF)および/または酸素含有ガス(例えば、O)によってパターン化される。当業者は、マスク層106が、非晶質炭素、窒化チタン、フォトレジストまたは窒化ケイ素であり得ることを認識するであろう。アルゴンは、独立して、250sccmフロー速度においてチャンバー中に導入される。ジフルオロアセトニトリルは、独立して、15sccmにおいてチャンバー中に導入される。Oは、独立して、最適エッチング条件を決定するために0sccm〜20sccmにおいてチャンバー中に導入される。約1:1〜約20:1の範囲のアスペクト比を有するアパーチャーが作成され、そこで銅線が形成されてもよい。
低誘電率層および活性化エッチングガスは反応して、反応チャンバーから除去される揮発性副産物を形成する。a−Cマスク、反射防止コーティングおよびフォトレジスト層は、活性化エッチングガスに対してそれほど反応性ではない。したがって、活性化エッチングガスは低誘電率層と選択的に反応し、揮発性副産物を形成する。Piranha(HSO+H+HO)、SC1(1:1:100 NHOH:H:HO)、SC2(1:1000 HCl:HO)またはHFを使用して、いずれの残留ポリマーおよび/またはいずれの残留反応生成物および/またはダメージを受けた低誘電率層102cの一部もしくは全部をクリーニングし、そして基材から除去する。クリーニング後、アパーチャーは、同時に低誘電率層の低誘電率およびヤング弾性率を維持しながら、そのアスペクト比を維持しなければならない。アパーチャーの長さまたは幅は、その初期寸法の約0nm〜約11nm以内、好ましくは、約0nm〜約5nm以内、より好ましくは、約0nm〜約1nm以内に維持されるべきである。
反応チャンバー中の温度および圧力は、ケイ素含有膜が活性化エッチングガスと反応するために適切な条件に保持される。例えば、チャンバー中の圧力は、エッチングパラメーターによる必要に応じて、約0.1mTorr〜約1000Torr、好ましくは、約1mTorr〜約10Torr、より好ましくは、約10mTorr〜約1Torr、より好ましくは、約10mTorr〜約100mTorrに保持され得る。同様に、チャンバー中の基材温度は、約−196℃〜約500℃、好ましくは、約−120℃〜約300℃、より好ましくは、約−100℃〜約50℃、そしてより好ましくは、約−10℃〜約40℃の範囲であり得る。チャンバー壁部温度は、プロセス必要条件次第で、約−196℃〜約300℃の範囲であり得る。
低誘電率層と活性化エッチングガスとの間の反応は、基材からの低誘電率層の所望の部分の異方性除去をもたらす。炭素原子も低誘電率層に存在し得る。除去は、(プラズマによって促進された)プラズマイオンからの低誘電率層の物理的スパッタリングおよび/またはSiを、xが1〜4の範囲であるSiFなどの揮発性種に変換するためのプラズマ種の化学反応による。
開示された窒素含有エッチング組成物のプラズマ活性化蒸気は、好ましくは、マスクに対して高い選択性を示し、かつ低誘電率層を通してエッチングが生じ、歪曲または粗さのない垂直エッチングプロフィールが得られる。さらに、プラズマ活性化蒸気は、側壁上にポリマーを堆積させ、フィーチャプロフィール変形を最小化する。DRAMおよび2D NANDなどの他の用途に関して、例えば、異なるプロセス条件下でのプラズマ活性化エッチングガスは、SiNから選択的にSiOをエッチングし得る。プラズマ活性化エッチングガスは、a−C、フォトレジスト、p−Siまたは炭化ケイ素などのマスク層から;あるいはCuなどの金属コンタクト層から;あるいはSiGeまたはポリケイ素領域からなるチャネル領域から、選択的にSiOおよび/またはSiNをエッチングし得る。
開示されたエッチングプロセスは、エッチングガスはケイ素含有膜中にチャネルホール、ゲートトレンチ、階段状コンタクト、コンデンサーホール、コンタクトホールなどを作成するため、開示された窒素含有エッチング組成物を使用する。結果として生じるアパーチャーは、約10:1〜約200:1の範囲のアスペクト比および約5nm〜約50nmの範囲の直径を有し得る。例えば、当業者は、チャネルホールエッチングによって、60:1より高いアスペクト比を有するケイ素含有膜中のアパーチャーが作成されることを認識するであろう。
エッチングされる必要のある典型的な材料は、SiOであり得る。SiOのエッチングプロセスは、ボロホスホシリケートガラス(BPSG)、テトラエチルオルトシリケート(TEOS)または低堆積速度TEOS(LDTEOS)にトレンチをエッチングすることに関連し得る。エッチング停止層は、窒化ケイ素または窒化ケイ素酸素(SiON)またはポリケイ素であり得る。使用されたマスク材料は、a−C、p−Siまたはフォトレジスト材料であり得る。本明細書中、開示された窒素含有エッチング組成物は、SiO、SiN、p−Siおよび/またはa−C基材膜をエッチングするために使用される。
次の非限定的な実施例は、本発明の実施形態をさらに例示するために提供される。しかしながら、実施例は包括的であるように意図されず、かつ本明細書に記載される本発明の範囲を制限するように意図されない。
次の実施例において、CHFN(CAS番号359−12−6)、CHFN(CAS番号431−32−3)およびCN(CAS番号753−90−2)窒素含有組成物のエッチング性能を評価し、そしてCFと比較した。この結果は、CHFN、CHFNおよびCN窒素含有エッチング組成物が、低誘電率材料へのダメージを防ぎながら、エッチングプロフィールを維持するように側壁保護を提供し、かつ低誘電率エッチングなどの半導体構造のエッチングのために使用可能であることを示す。
示されるように、化合物CHFNおよびCHFNの結果は、それらが低誘電率エッチング用途のために必要とされるエッチング標的の全てを満たすため、より高い可能性を示す。
図2は、次の堆積およびエッチング試験において適用される模範的な反応器システムの側面図である。示されるように、反応器800は、反応器チャンバー802を含む。反応器チャンバー802中、底部電極804の上部に付着されたウエハ806が反応器チャンバー802の底部部分に配置されてよく、かつケイ素上部電極シャワーヘッド808が反応器チャンバー802の上部部分に配置されてよい。底部電極804は、それに対して適用されたバイアス電力を有する静電チャックであり得る。例えば、2MHz RFバイアス電力が底部電極804に適用され得る。ウエハ806は、エッチングされる必要のある複数層を有し得る。ケイ素上部電極シャワーヘッド808は、シャワーヘッド中に複数のホール810を有し、それを通してガスが通過する。ガスは、ガス入口812を通して反応器チャンバー802に導入され得、次いで、均一なガス分布のためにシャワーヘッド808中のホール810を通して通過する。電源は、ケイ素上部電極シャワーヘッド808に適用され得る。例えば、27MHz RF電源がケイ素上部電極シャワーヘッド808に適用され得る。ケイ素上部電極シャワーヘッド808および底部電極804の間がプラズマ領域である。シャワーヘッド808中のホール810を通して通過するガスは、プラズマ領域においてイオン化され得、次いで、ウエハ806上でエッチングを実行する。ガスは、出口814から反応器チャンバー802の外にガスをポンプ送出することによって除去され得る。
実施例1
エッチング実験は、それぞれが500nmの低誘電率酸化ケイ素(Black Diamond II)、SiCN、SiNまたはTiNを有する、4つの1×1cmクーポン上で実行された。エッチング速度は、エッチング時間の関数としてのエッチングの厚さの変化を測定することによって、偏光解析装置および/または走査電子顕微鏡(SEM)を使用して測定される。クーポンを直径200mmのキャリアウエハ上に配置し、そして2spi製造業者から入手した二重側面炭素テープを使用することによって、接触するように保持される。代わりに、熱ペーストを使用して、クーポンをキャリアウエハ上に積み重ねることが可能である。反応器条件は、以下の通りである:RF電力(200W)、バイアス電力(200W)、チャンバー圧力(40mTorr)、Arフロー(250sccm)、エッチングガスフロー(5sccm)およびエッチングされた基材の量(50%または約250nm)。反応器中の温度は、ほぼ室温(すなわち、約20℃〜約25℃)であった。
図3は、CF、Oと一緒のCHFN、Oと一緒のCHFN、Oと一緒のCNまたはOと一緒のCNによる低誘電率(Black Diamond IIまたはBDII)(黒塗り実線のバー)、SiCN(白抜き実線のバー)、SiN(白抜き点線のバー)およびTiN(白抜き破線のバー)のエッチング速度を示すグラフである。図3中、y軸はnm/分のエッチング速度を表し、そしてx軸はエッチングガスを指定する。CFエッチングに関して酸素は必要されなかった。N含有エッチングガスのNは、酸素を使用せずにそれをエッチングする場合よりも基材上にポリマー層を作成し得、したがって、これらの化合物を使用するエッチングプロセスに酸素が添加される。CHFNエッチングに関して10sccmのOが使用され;CHFNエッチングに関して14sccmのOが使用され;CNエッチングに関して3sccmのOが使用され、そしてCNエッチングに関して10sccmのOが使用された。
図4は、SiCN(白抜き実線のバー)、SiN(白抜き点線のバー)およびTiN(実線灰色のバー)への指定されたエッチングガスの低誘電率選択性を示すグラフである。図4中、y軸は選択性(すなわち、他の膜のエッチング速度で割った低誘電率エッチング速度)を表し、そしてx軸は比較される化合物を表す。図4は、CHFNおよびCHFNが、TiNに対して低誘電率の高い選択性(それぞれ、約120および約70)を示すことを示す。
実施例2
平面低誘電率ウエハを異なるエッチングガスによってエッチングした。エッチング実験は、1×1cmクーポン上、500nmのBDII上で実行された。クーポンを直径200mmのキャリアウエハ上に配置し、そして2spi製造業者から入手した二重側面炭素テープを使用することによって、接触するように保持される。代わりに、熱ペーストを使用して、クーポンをキャリアウエハ上に積み重ねることが可能である。反応器条件は、以下の通りである:RF電力(200W)、バイアス電力(200W)、チャンバー圧力(40mTorr)、Arフロー(250sccm)、エッチングガスフロー(5sccm)およびエッチングされた基材の量(50%または約250nm)。
低誘電率ウエハのFTIRスペクトルは、エッチングの前および後に採取した。図5は、指定されたガスによるエッチング前および後の約1300〜900cm−1における低誘電率ウエハのFTIRスペクトルを示すグラフである。約1270cm−1におけるピークはSi−CHに対するものであり、かつ約1050cm−1におけるピークはSi−O−Siに対するものである。図6は、指定されたガスによるエッチング前および後の約1270cm−1における図5のFTIRスペクトルに焦点を合わせるグラフである。エッチング前のスペクトルからエッチング後のスペクトルへのそのピークの高さの減少は、エッチングプロセス間にプラズマラジカルによってSi−CH結合が破壊され、低誘電率膜へのダメージを引き起こすことを示す。CFエッチングは、ピーク高さにおける最大減少をもたらす。
図5および6のスペクトルは、Si−O−Siピークを標準化することによって、エッチングプロセスの間に損失されたCのパーセントを計算するために使用されてもよい。より特に、パーセント減少は、(a)エッチングされた膜に対する約1050cm−1におけるSi−O−Siピーク強度によって割られた1270cm−1におけるSi−CHピーク強度を、(b)エッチングされていない膜に対する約1050cm−1におけるSi−O−Siピーク強度によって割られた1270cm−1におけるSi−CHピーク強度によって割り、そして100%から結果を引くことによって計算され得る[すなわち、C損失%=100%−[(SiCH/SiOSiエッチング)/(SiCH/SiOi未エッチング)]。図5のSi−O−Si標準化からのC損失%結果は、14(CF)、2(CHFN)、3(CHFN)、4(CN)および13(CN)である。結果として、開示されたN含有エッチング組成物は、エッチングプロセスの間にCFより少ないC損失をもたらす。
あるいは、図5および6のスペクトルは、残留膜厚を標準化することによって、エッチングプロセスの間に損失されたCのパーセントを計算するために使用されてもよい。より特に、パーセント減少は、(a)エッチングSi−CHピーク強度と未エッチングSi−CHピーク強度との差を100から引き、そして(b)250で割った残留膜厚によって掛けることによって計算され得る[すなわち、C損失%=[100%−(I1−I2)](Tfilm/250)]。図5の残留膜厚標準化からのC損失%結果は、22(CF)、10(CHFN)、9(CHFN)、9(CN)および25(CN)である。以下の表3を参照のこと。
Figure 2020503677
実施例3
実施例2と同一の低誘電率ウエハをX線光電子分光分析(XPS)によって分析した。図7は、指定されたガスによる低誘電率層のエッチング前および後のパーセントC1を示すXPSグラフである。XPS分析は、2.5nm/サイクルにおける低誘電率層の繰り返しイオンスパッタリングによって実行された。図7中、y軸は炭素のパーセンテージを表し、そしてx軸は、2.5nm/サイクルにおけるイオンスパッタリングサイクル数を表す。
炭素パーセンテージが初期の膜の炭素パーセンテージと同レベルに戻るまでサイクル数を決定することによって、ダメージを受けた層の厚さが決定され得る。変動ノイズからのいずれの影響も避けるため、厚さを決定するために95%回復点を選択する。図7のnmにおけるダメージ層の厚さは、53(CF)(95%炭素レベルまで戻るために2.5nm/サイクルにおいて約21.2サイクルである)、38(CHFN)、43(CHFN)、38(CN)および50(CN)である。
実施例4
エッチング実験は、低誘電率層の側壁ダメージを決定するために、Si基材上に上部から下部に向けて、フォトレジスト、底部反射防止コーティング、窒化ケイ素エッチング停止および低誘電率層を有するパターン化されたウエハ上で実行された。低誘電率エッチングのための反応器条件は、以下の通りであった:RF電力(200W)、バイアス電力(200W)、チャンバー圧力(40mTorr)、Arフロー(250sccm)およびエッチングガスフロー(5sccm)。反応器中の温度は、ほぼ室温(すなわち、約20℃〜約25℃)であった。CHFNエッチングガスに10sccmのOを添加し、そしてCHFNエッチングガスに14sccmのOを添加した。全部で300nmの低誘電率層がエッチングされた。ダメージは、2で割られるHF浸漬前および後のトレンチ幅における差異を測定するために、SEMを使用して測定された[すなわち、nmでの側壁ダメージ=(前HF幅−後HF幅)/2]。図8aは、HF浸漬前にCHFNによってエッチングされたパターン化ウエハの走査型電子顕微鏡写真である。図8bは、HF浸漬後にCHFNによってエッチングされたパターン化ウエハの走査型電子顕微鏡写真である。CHFNを使用する平均側壁ダメージは、3つのトレンチデータ点をベースとして9.3nm±1.5nmであった。CFを使用する平均側壁ダメージは、13.8nm±3.3nmであった。CHFNを使用する平均側壁ダメージは、10.5nm±0.5nmであった。
実施例5
エッチング実験は、実施例4におけるものよりも高い電力条件において、低誘電率層の側壁ダメージを決定するために、Si基材上に上部から下部に向けて、フォトレジスト、底部反射防止コーティング、窒化ケイ素エッチング停止および低誘電率層を有するパターン化されたウエハ上で実行された。低誘電率エッチングのための反応器条件は、以下の通りであった:RF電力(750W)、バイアス電力(750W)、チャンバー圧力(40mTorr)、Arフロー(250sccm)、エッチングガスフロー(5sccm)およびエッチングされた基材の量(50%または約150nm)。反応器中の温度は、ほぼ室温(すなわち、約20℃〜約25℃)であった。CHFNエッチングガスに8sccmのOを添加した。ダメージは、2で割られるHF浸漬前および後のトレンチ幅における差異を測定するために、SEMを使用して測定された[すなわち、nmでの側壁ダメージ=(前HF幅−後HF幅)/2]。CFを使用する平均側壁ダメージは、23nmであった。CHFNを使用する平均側壁ダメージは、13nmであった。CHを使用する平均側壁ダメージは、24nmであった。
実施例6
1×1cm低誘電率クーポン上で、30mTorrおよび750W(27MHz)の電源において、基材上にバイアス電力を用いずに堆積試験を実行した。プロセス供給混合物は、250sccmのArおよび5sccmのエッチングガスを含有する。次いで、基材上に形成された重合膜の種類を調査するために、堆積試験試料をX線光電子分光分析(XPS)分析用に送達する。
図9は、CHFNから形成されたポリマー膜のXPSグラフである。図9は、ポリマー膜に存在するC、FおよびNの存在を明らかに示す。ポリマー膜に存在する窒素は、より良好な側壁パッシベーション層の役割を果たすポリマーを形成し得、そして高アスペクト比エッチングの間に垂直プロファイルを作成するために有用であり得る。
図10は、CNから形成されたポリマー膜のXPSグラフである。図10は、ポリマー膜に存在するC、NおよびFの存在を明らかに示す。ポリマー膜に存在する窒素は、より良好な側壁パッシベーション層の役割を果たすポリマーを形成し得、そして高アスペクト比エッチングの間に垂直プロファイルを作成するために有用であり得る。
NのC−NH構造は、結果として生じるポリマー膜中に、CHFNのC≡N構造より多くのNを生じさせる。出願人らは、他のC−NHおよびC≡N分子が同様に作用するであろうと考える。
比較例1
全ての窒素含有化合物が所望のエッチング結果をもたらすわけではない。実施例2のように、平面低誘電率ウエハを2,2,2−トリフルオロアセトアミジン(C、CAS番号354−37−0)によってエッチングした。反応器条件は、以下の通りである:RF電力(200W)、バイアス電力(200W)、チャンバー圧力(40mTorr)、Arフロー(250sccm)およびエッチングガスフロー(5sccm)。反応器中の温度は、ほぼ室温(すなわち、約20℃〜約25℃)であった。エッチングから30秒後、低誘電率層の厚さの変化は観察されなかった。
低誘電率ウエハのFTIRスペクトルは、エッチングの前および後に採取した。図11は、Cによるエッチング前および後の約1300〜1200cm−1におけるFTIRスペクトルを示すグラフである。約1270cm−1におけるピークはSi−CHに対するものである。エッチング前のスペクトルからエッチング後のスペクトルへのそのピークの高さの減少は、エッチングプロセス間にプラズマラジカルによってSi−CH結合が破壊され、低誘電率膜へのダメージを引き起こすことを示す。CFエッチングは、ピーク高さにおける最大減少をもたらす。図11のSi−O−Si標準化からのC損失%結果は19%である。これは、14%であった実施例2のCFのものより高い。
図12は、Cによる低誘電率層のエッチング前および後のパーセントC1を示すXPSグラフである。XPS分析は、2.5nm/サイクルにおける低誘電率層の繰り返しイオンスパッタリングによって実行された。図12中、y軸は炭素のパーセンテージを表し、そしてx軸は、イオンスパッタリングサイクル数を表す。図12のダメージ層の厚さは、実施例3のCF(53nm)より小さい40nmであるが、他方、上面においての炭素空乏は大きい。
比較例2
さらに、Nを含有しないポリマーは、開示された窒素含有エッチング組成物と同様に作用しない。CH(CAS番号75−10−5)は、周知のポリマー形成化合物である。
平面低誘電率ウエハをCHによってエッチングした。エッチング実験は、1×1cmクーポン上、500nmのBDII上で実行された。クーポンを直径200mmのキャリアウエハ上に配置し、そして2spi製造業者から入手した二重側面炭素テープを使用することによって、接触するように保持される。代わりに、熱ペーストを使用して、クーポンをキャリアウエハ上に積み重ねることが可能である。反応器条件は、以下の通りである:RF電力(750W)、バイアス電力(750W)、チャンバー圧力(40mTorr)、Arフロー(250sccm)、エッチングガスフロー(5sccm)およびOフロー(0〜10sccm)。
低誘電率ウエハのFTIRスペクトルは、エッチングの前および後に採取した。図13は、CHおよびCFによるエッチング前および後の約1350〜1200cm−1における低誘電率ウエハのFTIRスペクトルを示すグラフである。約1270cm−1におけるピークはSi−CHに対するものである。図13に示されるように、いずれのポリマー形成にかかわらず、CHはCFと同等にSi−CHピークに影響を与える。実施例2に示されるように、CFは、開示されたN含有エッチング組成物よりも低誘電率膜におけるより多くの炭素損失をもたらす。結果として、開示されたN含有エッチング組成物は、CFおよびCHの両方よりも低誘電率膜に対して少ないダメージを与える。
低誘電率ウエハは、XPSによっても分析された。図14は、CHおよびCFによる低誘電率層のエッチング前および後のパーセントC1を示すXPSグラフである。XPS分析は、2.5nm/サイクルにおける低誘電率層の繰り返しイオンスパッタリングによって実行された。図14中、y軸は炭素のパーセンテージを表し、そしてx軸は、2.5nm/サイクルにおけるイオンスパッタリングサイクル数を表す。
炭素パーセンテージが初期の膜の炭素パーセンテージと同レベルに戻るまでサイクル数を決定することによって、ダメージを受けた層の厚さが決定され得る。図14に見ることができるように、CHは、CFより多くの炭素損失を生じ、CHによって堆積させたポリマー層が下部の低誘電率層を保護しないことを再び示す。
エッチング実験は、低誘電率層の側壁ダメージを決定するために、上記の平面ウエハにおいて使用されたものと同一の反応器条件を使用して、Si基材上に上部から下部に向けて、窒化ケイ素エッチング停止および低誘電率層を有するパターン化されたウエハ上で実行された。低誘電率層の2分の1のみがエッチングされた。ダメージは、2で割られるHF浸漬前および後のトレンチ幅における差異を測定するために、SEMを使用して測定された[すなわち、nmでの側壁ダメージ=(前HF幅−後HF幅)/2]。CFを使用する平均側壁ダメージは、23nmであり、CHを使用する平均側壁ダメージは、24nmであった。
要約すると、窒素含有エッチング組成物プラズマによる低誘電率膜の乾式エッチングの評価によって、開示された窒素含有エッチング組成物が、従来技術のフッ化炭素よりも低誘電率膜に対してマスクおよびエッチング停止に対する改善された選択性、ならびにより少ない側壁ダメージをもたらすことが示される。より少ない側壁ダメージの理由は、エッチングされた表面におけるその中にNを有する保護ポリマーの形成に帰せられる。
本発明の実施形態が示されて、説明されているが、本発明の精神および教示から逸脱することなく、当業者によって修正され得る。本明細書に記載の実施形態は、単に模範的なものであり、限定するものではない。組成物および方法の多くの変形および修正は可能であり、かつそれらは本発明の範囲内である。したがって、保護の範囲は、本明細書に記載された実施形態に限定されないが、請求の範囲の対象の全ての同等物を含む請求の範囲によってのみ限定される。

Claims (15)

  1. 低誘電率エッチングプロセスの間の側壁ダメージを、HFリンスの前後で11nm未満の差異まで最小化する方法であって、
    低誘電率層にアパーチャーを作成するための領域を画定する開口を有するパターン化マスク層を、前記低誘電率層上に堆積させるステップと;
    第1の画定された側壁幅を有する前記アパーチャーを前記低誘電率層に生じるために、N≡C−R;(N≡C−)−(R)−(−C≡N);R[−C=N(R)];およびR(3−x)−N−H(式中、x=1〜2、y=1〜2、z=0〜1、x+z=1〜3であり、かつ各Rは、独立して、a=0〜11、b=0〜11およびc=0〜5である式Hを有する)からなる群から選択される式を有する有機フッ素化合物を含んでなる窒素含有エッチング組成物のプラズマ活性化蒸気によって前記低誘電率層をエッチングするステップと;
    プラズマ誘導ダメージを前記アパーチャーから除去して、第2の画定された側壁幅を生じるステップであって、前記第1および第2の画定された側壁幅の差異が0nm〜11nmの範囲であるステップと
    を含んでなる、方法。
  2. 前記低誘電率層が、式Si(式中、wは、0.01〜1の範囲であり、xは、0.01〜1の範囲であり、yは、0.01〜1の範囲であり、かつzは、0.01〜1の範囲である)を有する、請求項1に記載の方法。
  3. 前記低誘電率エッチングプロセス後、前記低誘電率層が、2.0〜3.5の増加した誘電率を有する、請求項2に記載の方法。
  4. 前記有機フッ素化合物が、式:N≡C−R(式中、Rは、a=0、b=1〜11およびc=1〜5である式Hを有する)を有する、請求項1〜3のいずれか一項に記載の方法。
  5. 前記有機フッ素化合物が、トリフルオロアセトニトリル(CN)、ノナフルオロペンタニトリル(CN)またはペンタフルオロアリルシアニド(CN)である、請求項4に記載の方法。
  6. 前記有機フッ素化合物が、式:N≡C−R(式中、Rは、a=1〜11、b=1〜11およびc=1〜5である式Hを有する)を有する、請求項1〜3のいずれか一項に記載の方法。
  7. 前記有機フッ素化合物が、ジフルオロアセトニトリル(CHFN)、2,3,3,3−テトラフルオロプロピオノニトリル(CHFN)、2,2,3,3−テトラフルオロプロピオノニトリル、4,4,4−トリフルオロクロトノニトリル、3,3,3−トリフルオロプロピオノニトリルまたはフルオロアセトニトリルである、請求項6に記載の方法。
  8. 前記有機フッ素化合物が、式:(N≡C−)−(R)−(−C≡N)(式中、Rは、a=0、b=1〜11およびc=1〜5である式Hを有する)を有する、請求項1〜3のいずれか一項に記載の方法。
  9. 前記有機フッ素化合物が、オクタフルオロヘキサン−1,6−ジニトリルまたは1,1−ビス(トリフルオロメチル)−2,2−ジシアノエチレンである、請求項8に記載の方法。
  10. 前記有機フッ素化合物が、式:(N≡C−)−(R)−(−C≡N)(式中、Rは、a=1〜11、b=1〜11およびc=1〜5である式Hを有する)を有する、請求項1〜3のいずれか一項に記載の方法。
  11. 前記有機フッ素化合物が、2−[1−(ジフルオロメチル)−2,2,2−トリフルオロエチリデン]−プロパンジニトリルである、請求項10に記載の方法。
  12. 前記有機フッ素化合物が、式:R[−C=N(R)](式中、x=1〜2、y=1〜2、z=0〜1、x+z=1〜3であり、かつ各Rは、独立して、a=0〜11、b=0〜11およびc=0〜5である式Hを有する)を有する、請求項1〜3のいずれか一項に記載の方法。
  13. 前記有機フッ素化合物が、N,1,1,1,3,3,3−ヘプタフルオロ−プロパンアミン、ヘキサフルオロアセトンイミン(CHFN)または1,1,1,6,6,6−ヘキサフルオロ−3−アザヘキサ−3−エンである、請求項12に記載の方法。
  14. 前記有機フッ素化合物が、式:R(3−a)−N−H(式中、a=1〜2であり、かつRは、独立して、a=1〜11、b=1〜11およびc=1〜5である式Hを有する)を有する、請求項1〜3のいずれか一項に記載の方法。
  15. 前記有機フッ素化合物が、2,2,2−トリフルオロエチルアミン(CN)、2,2,3,3,3−ペンタフルオロプロピルアミン(CN)、2,2,3,3,4,4,4−ヘプタフルオロブチルアミン(CN)、1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン(CN)またはビス(2,2,2−トリフルオロエチル)アミン(CN)である、請求項14に記載の方法。
JP2019533634A 2016-12-31 2017-12-29 低誘電率エッチングプロセスの間の側壁ダメージを最小化する方法 Active JP6974468B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/396,486 2016-12-31
US15/396,486 US20170110336A1 (en) 2016-12-31 2016-12-31 Methods for minimizing sidewall damage during low k etch processes
PCT/US2017/069075 WO2018126202A1 (en) 2016-12-31 2017-12-29 Methods for minimizing sidewall damage during low k etch processes

Publications (2)

Publication Number Publication Date
JP2020503677A true JP2020503677A (ja) 2020-01-30
JP6974468B2 JP6974468B2 (ja) 2021-12-01

Family

ID=58524213

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019533634A Active JP6974468B2 (ja) 2016-12-31 2017-12-29 低誘電率エッチングプロセスの間の側壁ダメージを最小化する方法

Country Status (5)

Country Link
US (2) US20170110336A1 (ja)
JP (1) JP6974468B2 (ja)
KR (1) KR102546860B1 (ja)
TW (1) TWI746753B (ja)
WO (1) WO2018126202A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022080274A1 (ja) * 2020-10-15 2022-04-21 昭和電工株式会社 フルオロ-2-ブテンの保管方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6875811B2 (ja) * 2016-09-16 2021-05-26 株式会社Screenホールディングス パターン倒壊回復方法、基板処理方法および基板処理装置
KR102311328B1 (ko) * 2017-08-18 2021-10-14 오씨아이 주식회사 실리콘 질화막 식각 방법 및 이를 이용한 반도체 소자의 제조 방법
JP6883495B2 (ja) * 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
US10615037B2 (en) * 2018-08-17 2020-04-07 International Business Machines Corporation Tone reversal during EUV pattern transfer using surface active layer assisted selective deposition
KR20210114509A (ko) * 2019-01-23 2021-09-23 샌트랄 글래스 컴퍼니 리미티드 드라이 에칭 방법, 드라이 에칭제, 및 그 보존 용기
US11515203B2 (en) * 2020-02-05 2022-11-29 Tokyo Electron Limited Selective deposition of conductive cap for fully-aligned-via (FAV)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002289577A (ja) * 2001-03-27 2002-10-04 Ulvac Japan Ltd 基板上に堆積した有機珪素化合物を含有する材料の薄膜のエッチング法
JP2005142255A (ja) * 2003-11-05 2005-06-02 Ulvac Japan Ltd 低誘電率層間絶縁膜のドライエッチング方法
US20150371869A1 (en) * 2015-08-31 2015-12-24 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2730543A (en) 1950-05-20 1956-01-10 Minnesota Mining & Mfg Fluorinated nitriles
US2704769A (en) 1951-05-31 1955-03-22 Minnesota Mining & Mfg Preparation of alpha-hydroperfluoronitriles
US3585218A (en) 1967-05-24 1971-06-15 Minnesota Mining & Mfg Preparation of difluoramines by oxidation with 1,1-bis(fluoroxy)perfluoroalkane
US3671509A (en) 1971-03-22 1972-06-20 Du Pont Copolymers of fluoroketone imines with polymerizable unsaturated compounds
US5242538A (en) 1992-01-29 1993-09-07 Applied Materials, Inc. Reactive ion etch process including hydrogen radicals
US5814563A (en) 1996-04-29 1998-09-29 Applied Materials, Inc. Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6569774B1 (en) 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6413877B1 (en) 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
US20020121500A1 (en) 2000-12-22 2002-09-05 Rao Annapragada Method of etching with NH3 and fluorine chemistries
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US7311852B2 (en) 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US6508948B2 (en) 2001-06-13 2003-01-21 Air Products And Chemicals, Inc. Cyanuric fluoride and related compounds for anisotropic etching
US20060062914A1 (en) 2004-09-21 2006-03-23 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
US20060183055A1 (en) 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20100105595A1 (en) 2008-10-29 2010-04-29 Wai Mun Lee Composition comprising chelating agents containing amidoxime compounds
KR101660488B1 (ko) 2010-01-22 2016-09-28 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
FR2972452B1 (fr) 2011-03-09 2013-03-15 Rhodia Operations Procede de preparation du difluoroacetonitrile et de ses derives
US9093389B2 (en) 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
TWI642809B (zh) * 2013-09-09 2018-12-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002289577A (ja) * 2001-03-27 2002-10-04 Ulvac Japan Ltd 基板上に堆積した有機珪素化合物を含有する材料の薄膜のエッチング法
JP2005142255A (ja) * 2003-11-05 2005-06-02 Ulvac Japan Ltd 低誘電率層間絶縁膜のドライエッチング方法
US20150371869A1 (en) * 2015-08-31 2015-12-24 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022080274A1 (ja) * 2020-10-15 2022-04-21 昭和電工株式会社 フルオロ-2-ブテンの保管方法

Also Published As

Publication number Publication date
WO2018126202A1 (en) 2018-07-05
US20190326126A1 (en) 2019-10-24
JP6974468B2 (ja) 2021-12-01
US11024513B2 (en) 2021-06-01
KR102546860B1 (ko) 2023-06-22
TWI746753B (zh) 2021-11-21
KR20190099458A (ko) 2019-08-27
US20170110336A1 (en) 2017-04-20
TW201841879A (zh) 2018-12-01

Similar Documents

Publication Publication Date Title
JP7079872B2 (ja) 半導体構造物上に窒素含有化合物を堆積させる方法
KR102537653B1 (ko) 반도체 구조를 에칭시키기 위한 요오드-함유 화합물
JP6974468B2 (ja) 低誘電率エッチングプロセスの間の側壁ダメージを最小化する方法
TWI588240B (zh) 用於高縱橫比氧化物蝕刻之氟碳分子
US10347498B2 (en) Methods of minimizing plasma-induced sidewall damage during low K etch processes
TW202204297A (zh) 用於蝕刻半導體結構之含碘的氟碳化合物及氫氟烴化合物
TWI846218B (zh) 用於蝕刻半導體結構的含氧和碘的氫氟烴化合物

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20190701

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20190701

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210713

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211007

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211026

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211104

R150 Certificate of patent or registration of utility model

Ref document number: 6974468

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150