KR20210114509A - 드라이 에칭 방법, 드라이 에칭제, 및 그 보존 용기 - Google Patents

드라이 에칭 방법, 드라이 에칭제, 및 그 보존 용기 Download PDF

Info

Publication number
KR20210114509A
KR20210114509A KR1020217026264A KR20217026264A KR20210114509A KR 20210114509 A KR20210114509 A KR 20210114509A KR 1020217026264 A KR1020217026264 A KR 1020217026264A KR 20217026264 A KR20217026264 A KR 20217026264A KR 20210114509 A KR20210114509 A KR 20210114509A
Authority
KR
South Korea
Prior art keywords
etching
dry etching
volume
gas
dry
Prior art date
Application number
KR1020217026264A
Other languages
English (en)
Inventor
히로유키 오모리
다츠노리 가미다
신야 이케다
Original Assignee
샌트랄 글래스 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 샌트랄 글래스 컴퍼니 리미티드 filed Critical 샌트랄 글래스 컴퍼니 리미티드
Publication of KR20210114509A publication Critical patent/KR20210114509A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C19/00Acyclic saturated compounds containing halogen atoms
    • C07C19/08Acyclic saturated compounds containing halogen atoms containing fluorine
    • C07C19/16Acyclic saturated compounds containing halogen atoms containing fluorine and iodine
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/02Etching, surface-brightening or pickling compositions containing an alkali metal hydroxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C17/00Preparation of halogenated hydrocarbons
    • C07C17/093Preparation of halogenated hydrocarbons by replacement by halogens
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C17/00Preparation of halogenated hydrocarbons
    • C07C17/361Preparation of halogenated hydrocarbons by reactions involving a decrease in the number of carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C19/00Acyclic saturated compounds containing halogen atoms
    • C07C19/08Acyclic saturated compounds containing halogen atoms containing fluorine
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound

Abstract

본 개시의 실시 형태와 관련된 드라이 에칭 방법은, 드라이 에칭제를 플라즈마화하는 공정과, 플라즈마화한 플라즈마 가스를 이용하여, 실리콘 산화물 또는 실리콘 질화물을 에칭하는 공정을 가지고, 상기 드라이 에칭제가, CF3I와, 탄소수 2 또는 3의 함불소 직쇄 니트릴 화합물을, CF3I에 대한 상기 함불소 직쇄 니트릴 화합물의 농도가 1체적ppm 이상 1체적% 이하로 포함하는 것을 특징으로 한다.

Description

드라이 에칭 방법, 드라이 에칭제, 및 그 보존 용기
본 개시는, 실리콘계 재료를 플라즈마 에칭하는 방법이나, 거기에 이용하는 드라이 에칭제와 그 보존 용기에 관한 것이다.
최근, 반도체 가공에 있어서는, 미세화의 검토가 진행되어, 가공 선폭이 얇아짐과 함께, 가공 선폭과 트렌치 또는 홀의 깊이와의 비인 애스펙트비가 극적으로 증대하는 경향이 있다. 이러한 반도체 가공 기술의 발전에 따라, 에칭 공정에 있어서 사용되는 에칭제에 대해서도 개발이 진행되고 있다.
이 에칭 공정에서는, 플라즈마를 이용한 에칭 장치가 널리 사용되고, 처리 가스로서는, PR막이나 a-C막에 대하여, SiO2나 SiN막만을 고선택적으로, 예를 들면 선택비 3.0 이상이고, 또한 고속으로, 예를 들면, SiO2 에칭 속도가 50㎚/min 이상으로, 에칭하는 것이 요구된다.
종래, 이와 같은 에칭 가스로서, 예를 들면 CF4 가스, c-C4F8 가스, C4F6 가스 등의 함불소 포화 탄화수소, 혹은, 함불소 불포화 탄화수소가 알려져 있다. 그러나, 종래의 가스로는, 선택비가 충분하지 않아, 가공 시의 에칭 형상이 직선성을 유지할 수 없거나, 충분한 에칭 속도가 얻어지지 않는 등, 최근의 미세화 기술에 대응이 어려워지고 있다.
또한, 이러한 함불소 포화 탄화수소는, 대기 수명이 긴 물질이며, 높은 지구 온난화 계수(GWP)를 가지고 있는 점에서 교토 의정서(COP3)에 있어서 배출 규제 물질로 되어 있다. 반도체 산업에 있어서는, 경제성이 높고, 미세화가 가능한 저GWP의 대체 물질이 요구되어 왔다.
이러한 요건을 충족시키는 가스로서 예를 들면, 특허 문헌 1에는, 고애스펙트비 에칭을 행한 다음에, 원하는 라디칼·이온을 원하는 양만큼 생성하는 방법으로서 CF3I를 이용한 에칭 방법이 개시되어 있다. 또한, 특허 문헌 2에는, CF3I는, 레지스트층과 실리콘 함유층(유기 실리콘 산화층 등)과의 에칭 선택비가 CF4에 비해 높아지는 것이 개시되어 있다.
또한, 특허 문헌 3에는, 소정의 요오드원과, 식: CF3R의 반응물을, 금속염 촉매의 존재하에서 반응시키는 것을 특징으로 하는 CF3I의 제조 방법이 개시되어 있다.
일본공개특허 특개평11-340211호 공보 일본공개특허 특개2009-123866호 공보 일본공표특허 특표2008-523089호 공보(국제공개 제2006/063184호)
통상, CF3I 등의 액화 고압 가스를 보관하는 경우, 일반적으로 금속 용기·금속 밸브가 사용된다. CF3I는 안정된 화합물로서 알려져 있으며, 대부분의 경우, 가격면에서 유리한 점에서 스테인리스강, 탄소강, 놋쇠, 망간강 등의 재질이 용기나 밸브에 이용되고 있다. 그러나, 본 발명자들이, 99.99체적% 이상으로까지 정제하여 얻어진 고순도 CF3I를 망간강제(製) 봄베에 충전하고, 실제로 에칭 가스로서 사용한 바, 에칭 속도나 에칭 형상에 대해서는 상정한 결과가 얻어졌지만, 웨이퍼 상으로의 금속의 컨테미네이션이 발생하는 것이 판명되었다.
에칭 가스의 개발에 있어서는, 에칭 형상이나 마스크와의 선택비를 향상시키는 것에 더해, 웨이퍼 상에 발생하는 금속의 컨테미네이션의 양을 반도체 제조 공정에 있어서 0으로 할 수는 없더라도, 반도체 특성에 영향을 미치므로 최대한 저감시키는 것이 요구되고 있다. 한편, 특허 문헌 1~3에는, CF3I의 순도나 불순물, 웨이퍼 상으로의 금속의 컨테미네이션에 관한 기재가 없다.
이와 같은 배경으로부터, CF3I를 이용한 에칭에 있어서, 에칭 특성에 대해서도 영향을 미치지 않고, 금속의 컨테미네이션을 저감시키는 방법이 요구되고 있었다.
이러한 배경하, 본 발명자들이 금속 컨테미네이션의 원인 조사를 행한 바, 고순도화한 후에 CF3I를 충전하고 있던 보존 용기가 원인이며, 망간강이나 스테인리스강과의 접촉에 의해, CF3I 중에 미량의 금속 성분이 불화물 또는 요오드화물로서 혼입되는 것이 판명되었다. 따라서, 본 발명자들이 예의 검토한 결과, CF3I 중에, C≡N 결합을 가지는 탄소수 2 또는 3의 함불소 직쇄(直鎖) 니트릴 화합물을 소정량 첨가함으로써, CF3I 중으로의 보존 용기로부터의 금속의 컨테미네이션을 억제하고, 또한, 그 혼합 가스를 이용한 에칭에 있어서도, 충분한 PR과 SiO2와의 선택성을 가지고, 양호한 에칭 형상이 얻어지는 것을 찾아내어, 본 개시를 완성하기에 이르렀다.
즉, 본 개시는, 드라이 에칭제를 플라즈마화하는 공정과, 플라즈마화한 드라이 에칭제를 이용하여, 실리콘 산화물 또는 실리콘 질화물을 에칭하는 공정을 가지고, 상기 드라이 에칭제가, CF3I와, 탄소수 2 또는 3의 함불소 직쇄 니트릴 화합물을, CF3I에 대한 상기 함불소 직쇄 니트릴 화합물의 농도가 1체적ppm 이상 1체적% 이하로 포함하는, 드라이 에칭 방법 등을 제공한다.
도 1은 실시예·비교예에서 이용한 보존 시험 용기(10)의 개략도이다.
도 2는 실시예·비교예에서 이용한 반응 장치(20)의 개략도이다.
이하, 본 개시의 실시 형태에 대하여 이하에 설명한다. 또한, 본 개시의 범위는, 이들의 설명에 구속되는 경우는 없고, 이하의 예시 이외에 대해서도, 본 개시의 취지를 손상시키지 않는 범위에서 적절히 변경하여, 실시할 수 있다.
본 실시 형태에 의한 드라이 에칭 방법에서는, 드라이 에칭제를 플라즈마화하는 공정과, 플라즈마화한 드라이 에칭제를 이용하여, 실리콘 산화물 또는 실리콘 질화물을 에칭하는 공정을 가지는 드라이 에칭 방법이다. 상기 드라이 에칭제는, 적어도 CF3I와, C≡N 결합을 가지는 탄소수 2 또는 3의 함불소 직쇄 니트릴 화합물을 함유한다.
본 실시 형태에서 사용하는 CF3I는, 트리플루오로요오드메탄 또는 트리플루오로요오드화 메틸이라고도 불리고, 종래 공지의 방법으로 제조할 수 있다. 예를 들면, 특허 문헌 4에 의하면, 요오드화수소, 요오드 및 일염화요오드로 이루어지는 군으로부터 선택되는 요오드원과, 식: CF3R[식 중, R은, -SH, -S-S-CF3, -S-페닐, 및 -S-S-(CH3)3으로 이루어지는 군으로부터 선택됨]의 반응물로 이루어지는 군으로부터 선택되는 반응물을, 금속염 촉매의 존재하에서 반응시킴으로써 얻어진다.
본 실시 형태에서 사용하는 탄소수 2 또는 3의 함불소 직쇄 니트릴 화합물로서는, CH2FC≡N, CHF2C≡N, CF3C≡N, CH2FCF2C≡N, CHF2CF2C≡N, CF3CF2C≡N 등을 들 수 있다. 그 중에서도, CF3C≡N과 CF3CF2C≡N을 이용하는 것이 바람직하다. 첨가량이, CF3I에 대하여 1체적ppm 이상이면, 금속 컨테미네이션의 발생을 억제하는 충분한 효과가 인정되었다.
한편, 에칭 특성에 미치는 영향에 주목하면, 특허 문헌 1의 기재에 있어서, 목적으로 하는 것 이외의 라디칼종의 발생원으로서 CF3I 중의 미량의 불순물이 영향을 주고 있을 가능성이 시사되고 있지만, 에칭 특성에 큰 영향을 주고 있지 않은 것을 알 수 있다. 그러나, 지나치게 많은 불순물은 CF3I의 에칭 성능에 영향을 미치기 때문에, 1체적%(1만 체적ppm) 이내인 것이 바람직하고, 0.1체적%(1000체적ppm) 이하인 것이 보다 바람직하다. 본 개시의 다른 형태로서, 미리, 탄소수 2 또는 3의 함불소 직쇄 니트릴 화합물을 용기 내에 봉입하고, 용기 내면에 패시베이션 처리를 행하는 것이 상정된다.
실리콘 산화물은 SiOx(x는 1 이상 2 이하)의 화학식으로 나타나고, 통상은 SiO2이다. 또한, 실리콘 질화물은 SiNx(x는 0.3 이상 9 이하)의 화학식으로 나타나고, 통상은 Si3N4이다.
CF3I의 보존 용기로서는, 대기압 이상에 있어서, 기액 혼합물을 봉입할 수 있는 밀폐 용기이면, 특별한 구조 및 구성 재료를 필요로 하지 않고, 넓은 범위의 형태 및 기능을 가질 수 있다. 일반적인 고압 가스의 보존 용기인 망간강이나 스테인리스강으로 만들어진 봄베를 사용하는데 있어서 본 개시를 적용할 수 있다.
망간강은, 철을 97질량% 이상 포함하고, 망간을 1질량% 이상 2질량% 이하 포함하는 것이 바람직하다. 망간강에 니켈이나 크롬이 불가피적으로 혼입되는 경우여도, 니켈의 함유량은 0.25질량% 이하, 크롬의 함유량은 0.35질량% 이하인 것이 바람직하다. 망간강으로서, 예를 들면, JIS G 4053:2016에 의해 규정되는 SMn420, SMn433, SMn438, SMn443이나, JIS G 3429:2013에 의해 규정되는 STH11, STH12 등을 사용할 수 있다.
본 실시 형태에 있어서, 사용하는 CF3I는, 99.95체적% 이상으로 고순도화되어 있는 것이 바람직하다. 탄소수 2 또는 3의 함불소 직쇄 니트릴 화합물에 대해서는, 소정량이 포함되면 되기 때문에 순도가 90체적% 이상이면 문제 없다.
이어서, 본 실시 형태에 있어서의 드라이 에칭제를 이용한 에칭 방법에 대하여 설명한다.
CF3I와 함불소 직쇄 니트릴 화합물의 혼합 가스, 또는, 이 혼합 가스에 첨가 가스 및/또는 불활성 가스를 첨가한 드라이 에칭제의 바람직한 조성비를 이하에 나타낸다. 또한, 각종 가스의 체적%의 총계는 100체적%이다.
CF3I와 함불소 직쇄 니트릴 화합물의 혼합 가스만을 드라이 에칭제에 이용해도 되지만, 통상은 비용 대 효과나, 플라즈마의 안정성의 관점에서, 첨가 가스 및/또는 불활성 가스와 병용하여 이용된다. 예를 들면, CF3I와 함불소 직쇄 니트릴 화합물의 혼합 가스의, 혼합 가스, 첨가 가스 및 불활성 가스의 합계에 대한 농도는, 바람직하게는, 1~90체적%이며, 보다 바람직하게는, 5~80체적%이고, 더 바람직하게는 10~60체적%이다.
또한, 첨가 가스의, 혼합 가스, 첨가 가스 및 불활성 가스의 합계에 대한 농도는, 바람직하게는, 0~50체적%, 보다 바람직하게는, 0~10체적%이다.
또한, 불활성 가스의, 혼합 가스, 첨가 가스 및 불활성 가스의 합계에 대한 농도는, 바람직하게는, 0~98체적%, 보다 바람직하게는, 5~80체적%이며, 더 바람직하게는 300~50체적%이다.
본 실시 형태의 에칭 방법은, 각종 드라이 에칭 조건하에서 실시 가능하다. 또한, 예를 들면, 첨가 가스나 불활성 가스를 혼합하여 원하는 에칭 레이트, 에칭 선택비 및 에칭 형상이 되도록 다양한 첨가제나 불활성 가스를 더할 수 있다. 첨가 가스로서는, O2, O3, CO, CO2, COCl2, COF2, CF2(OF)2, CF3OF, NO2, NO, F2, NF3, Cl2, Br2, I2, 및 YFn(식 중 Y는 Cl, Br, 또는, I를 나타내고 n은 정수를 나타내며, 1≤n≤7이다.)으로 이루어지는 군으로부터 선택되는 적어도 1종의 가스를 사용할 수 있다. 또한, 원하는 에칭 형상이나 에칭 레이트를 얻기 위해, 1종류 이상의 환원성 가스, 플루오로카본, 하이드로플루오로카본, 함할로겐 화합물(예를 들면, H2, HF, HI, HBr, HCl, NH3, CF4, CF3H, CF2H2, CFH3, C2F6, C2F4H2, C2F5H, C3F8, C3F7H, C3F6H2, C3F5H3, C3F4H4, C3F3H5, C3F5H, C3F3H, C3ClF3H, C4F8, C4F6, C5F8, C5F10, C3F6, C3HF5, C3H2F4, 및, C3H3F3으로 이루어지는 군으로부터 선택되는 적어도 1종의 가스)을 첨가 가스로서 더해 에칭을 행해도 된다. 불활성 가스로서는, N2, He, Ar, Ne, Kr 및 Xe를 들 수 있다.
본 실시 형태의 에칭 방법은, 용량 결합형 플라즈마(CCP) 에칭, 반응성 이온 에칭(RIE), 유도 결합형 플라즈마(ICP) 에칭, 전자 사이클로트론 공명(ECR) 플라즈마 에칭 및 마이크로파 에칭 등의 각종 에칭 방법에 한정되지 않고, 행할 수 있다.
드라이 에칭제에 함유되는 가스 성분에 대해서는 각각 독립하여 챔버 내에 도입해도 되고, 또는 보존 용기의 후단에 있어서 미리 혼합 가스로서 조정한 다음에, 챔버 내에 도입해도 상관없다. 반응 챔버에 도입되는 드라이 에칭제의 총 유량은, 반응 챔버의 용적, 및 배기부의 배기 능력에 따라, 상기 농도 조건과 압력 조건을 고려하여 적절히 선택할 수 있다.
에칭을 행할 때의 압력은, 안정된 플라즈마를 얻기 위해, 및 이온의 직진성을 높여 사이드 에칭을 억제하기 위해, 5Pa 이하가 바람직하고, 1Pa 이하가 특히 바람직하다. 한편, 챔버 내의 압력이 지나치게 낮으면, 전리 이온이 적어져 충분한 플라즈마 밀도가 얻어지지 않게 되는 점에서, 0.05Pa 이상인 것이 바람직하다.
또한, 에칭을 행할 때의 기판 온도는 100℃ 이하가 바람직하고, 특히 이방성 에칭을 행하기 위해서는 50℃ 이하, 특히 바람직하게는, 20℃ 이하로 하는 것이 바람직하다. 100℃를 넘는 고온에서는, PR이나 a-C 등의 마스크재 상으로의 플루오로카본 유래의 CFn을 주성분으로 하는 보호막의 형성이 충분히 행해지지 않아, 선택성이 저하되는 경우가 있다. 또한, 고온에서는, 측벽 보호막의 형성이 충분히 행해지지 않아, 에칭 형상이 둥그스름한 형상이 되는, 이른바 보잉이라고 불리는 형상 이상이 발생하는 경우가 있다.
또한, 에칭을 행할 때에 발생시키는 전극간의 부(負)의 직류의 자기 바이어스 전압에 대해서는, 원하는 에칭 형상에 따라 선택하면 된다. 예를 들면 이방성 에칭을 행할 때에는 절대값으로 500V~10000V 정도의 전극간 전압을 발생시켜 이온을 고에너지화시키는 것이 바람직하다. 부의 직류의 자기 바이어스 전압의 절대값이 너무 크면, 이온의 에너지를 증폭하여, 선택성의 저하를 초래하는 경우가 있다.
에칭 시간은 소자 제조 프로세스의 효율을 고려하면, 200분 이내인 것이 바람직하다. 여기서, 에칭 시간이란, 챔버 내에 플라즈마를 발생시켜, 드라이 에칭제와 시료를 반응시키고 있는 시간이다.
실시예
이하에 본 개시의 실시예를 비교예와 함께 들지만, 본 개시는 이하의 실시예에 제한되는 것은 아니다.
[실시예 1]
(보존 용기에서의 보존)
도 1은, 일시적으로 정제 후의 CF3I를 보관하기 위해 실시예·비교예에서 이용한 보존 용기 10 용기의 개략도이다. 보존 용기로서, 내용적 10L의 망간강제 내압 용기(10)를 제작했다. 거기에, 미리 정제하여 99.99체적% 이상으로 고순도화한 CF3I 1000g을 봉입했다. 이어서, CF3I에 대하여, CF3C≡N을 2체적ppm 포함시켰다.
(에칭 시험)
첨가제가 에칭 특성에 미치는 영향에 대하여 조사하기 위해, CF3I와 CF3C≡N과의 혼합 가스를 이용한 에칭 시험을 실시했다. 도 2는, 실시예·비교예에서 이용한 반응 장치(20)의 개략도이다. 챔버(21) 내에는, 웨이퍼를 보지(保持)하는 기능을 가지고, 스테이지로서도 기능하는 하부 전극(24)과, 상부 전극(25)과, 압력계(22)가 설치되어 있다. 또한, 챔버(21) 상부에는, 가스 도입구(26)가 접속되어 있다. 챔버(21) 내는 압력을 조정 가능함과 함께, 고주파 전원(13.56MHz)(23)에 의해 드라이 에칭제를 여기시킬 수 있다. 이에 따라, 하부 전극(24) 상에 설치한 시료(28)에 대하여 여기시킨 드라이 에칭제를 접촉시켜, 시료(28)를 에칭할 수 있다. 드라이 에칭제를 도입한 상태에서, 고주파 전원(23)으로부터 고주파 전력을 인가하면, 플라즈마 중의 이온과 전자의 이동 속도의 차로부터, 상부 전극(25)과 하부 전극(24)의 사이에 자기 바이어스 전압이라고 불리는 직류 전압을 발생시킬 수 있도록 구성되어 있다. 챔버(21) 내의 가스는 가스 배출 라인(27)을 경유하여 배출된다.
시료(28)로서, SiO2막을 가지는 실리콘 웨이퍼 A, SiN(Si3N4)막을 가지는 실리콘 웨이퍼 B, PR(포토레지스트)막을 가지는 실리콘 웨이퍼 C를 15℃로 냉각한 스테이지 상에 설치했다. SiO2막과 SiN막은 CVD법에 의해 제작했다. 또한, PR막은 도포에 의해 제작했다. 여기에, 에칭제로서, CF3I와 CF3C≡N과의 혼합물, O2 및 Ar을 각각, 25sccm, 25sccm, 500sccm로 하고, 충분히 혼합된 이들의 가스를 챔버 내에 유통시켜 고주파 전력을 400W로 인가하여 에칭제를 플라즈마화시킴으로써, 에칭을 행했다.
에칭 후에, 실리콘 웨이퍼 A의 SiO2막, 실리콘 웨이퍼 B의 SiN막, 및 실리콘 웨이퍼 C의 PR막의 에칭 전후의 두께의 변화로부터 에칭 속도를 구했다. 또한, SiO2와 SiN의 에칭 속도를 PR의 에칭 속도로 나눈 값을 각각의 에칭 선택비로서 구했다.
(웨이퍼 상의 금속량의 측정)
이어서, SiO2막을 가지는 실리콘 웨이퍼 A 상에 부착된 금속의 양을 측정했다. 측정에 있어서는, JIS K0160:2009에 규정된 방법을 이용하여 측정했다. 즉, 불화수소산을 플라스틱제 비커에 넣어 VPD(기상 분해) 용기라고 불리는 PFA(퍼플루오로알콕시불소 수지)제의 용기 내에 두고, 에칭 후의 SiO2 성막 웨이퍼를 VPD 용기 내에 설치한 웨이퍼 스탠드에 두었다. 이어서, VPD 용기를 닫고, 불화수소산 증기로 상기 웨이퍼 상의 산화막을 10분간 분해했다. 산화물을 분해한 후의 웨이퍼의 표면에, 100μL의 주사 용액(초순수)을 적하하여, 웨이퍼의 표면 전체를 주사했다. 주사 후, 주사한 액적 전체를 건조하고, 다시 초순수로 용해한 후, ICP-MS(유도 결합 플라즈마 질량 분석계)로 분석했다. 얻어진 분석값은 용해 액량과, 웨이퍼의 표면적으로부터, 웨이퍼 1cm2당의 금속 원자수로 환산했다. 그 결과, 철의 분석값은, 6.5×1011atms/cm2였다.
(에칭 형상 평가)
전술의 에칭 시험을 행한 후, 웨이퍼 A~C를 한번 취출하고, 에칭 형상 평가용의 웨이퍼 D를, 스테이지 상에 설치했다. 웨이퍼 D는, 실리콘 웨이퍼 상에 막 두께 200㎚의 SiO2막을 성막한 후, 직경 100㎚의 원형의 홀 형상의 개구부를 가진 포토레지스트막 300㎚을 도포하여 얻어진다. 에칭 시험의 항목에 기재한 방법으로, 5분간의 에칭을 행한 후, 단면 SEM 사진을 촬영하고, 그 에칭 형상을 관찰했다. 그 결과, 견락(肩落, shoulder drop)이나 보잉과 같은 에칭 형상 이상이 없는, 에칭이 되어 있는 것을 확인했다.
[실시예 2]
CF3I에 CF3C≡N을 가해 얻어진, CF3C≡N의 함유량 25체적ppm의 CF3I를 사용한 것 이외는 실시예 1과 동일한 조건으로 보존 시험 샘플을 제작했다. 또한, 에칭 시험도 실시예 1과 마찬가지로 행했다. 에칭 형상에 대해서도 실시예 1과 마찬가지로 평가한 바, 견락이나 보잉과 같은 에칭 형상 이상이 없는, 에칭이 되어 있는 것을 확인했다.
[실시예 3]
CF3I에 CF3C≡N을 더해 얻어진, CF3C≡N의 함유량 129체적ppm의 CF3I를 사용한 것 이외는 실시예 1과 동일한 조건으로 보존 시험 샘플을 제작했다. 또한, 에칭 시험도 실시예 1과 마찬가지로 행했다. 에칭 형상에 대해서도 실시예 1과 마찬가지로 평가한 바, 견락이나 보잉과 같은 에칭 형상 이상이 없는, 에칭이 되어 있는 것을 확인했다.
[실시예 4]
CF3I에 CF3C≡N을 더해 얻어진, CF3C≡N의 함유량 1231체적ppm(약 0.1체적%)의 CF3I를 사용한 것 이외는 실시예 1과 동일한 조건으로 보존 시험 샘플을 제작했다. 또한, 에칭 시험도 실시예 1과 마찬가지로 행했다. 에칭 형상에 대해서도 실시예 1과 마찬가지로 평가한 바, 견락이나 보잉과 같은 에칭 형상 이상이 없는, 에칭이 되어 있는 것을 확인했다.
[실시예 5]
CF3I에 CF3C≡N을 더해 얻어진, CF3C≡N의 함유량 7927체적ppm(약 0.8체적%)의 CF3I를 사용한 것 이외는 실시예 1과 동일한 조건으로 보존 시험 샘플을 제작했다. 또한, 에칭 시험도 실시예 1과 마찬가지로 행했다. 에칭 형상에 대해서도 실시예 1과 마찬가지로 평가한 바, 견락이나 보잉과 같은 에칭 형상 이상이 없는, 에칭이 되어 있는 것을 확인했다.
[실시예 6]
CF3I에 CF3C≡N을 더해 얻어진, CF3C≡N의 함유량 9328체적ppm(약 0.9체적%)의 CF3I를 사용한 것 이외는 실시예 1과 동일한 조건으로 보존 시험 샘플을 제작했다. 또한, 에칭 시험도 실시예 1과 마찬가지로 행했다. 에칭 형상에 대해서도 실시예 1과 마찬가지로 평가한 바, 견락이나 보잉과 같은 에칭 형상 이상이 없는, 에칭이 되어 있는 것을 확인했다.
[실시예 7]
CF3I에 CF3CF2C≡N을 더해 얻어진, CF3CF2C≡N의 함유량 235체적ppm의 CF3I를 사용한 것 이외는 실시예 1과 동일한 조건으로 보존 시험 샘플을 제작했다. 또한, 에칭 시험도 실시예 1과 마찬가지로 행했다. 에칭 형상에 대해서도 실시예 1과 마찬가지로 평가한 바, 견락이나 보잉과 같은 에칭 형상 이상이 없는, 에칭이 되어 있는 것을 확인했다.
[비교예 1]
정제하여 얻어진, CF3C≡N의 함유량 0.1체적ppm 미만의 CF3I를 사용한 것 이외는 실시예 1과 동일한 조건으로 보존 시험 샘플을 제작했다. 또한, 에칭 시험도 실시예 1과 마찬가지로 행했다. 에칭 형상에 대해서도 실시예 1과 마찬가지로 평가한 바, 견락이나 보잉과 같은 에칭 형상 이상이 없는, 에칭이 되어 있는 것을 확인했다.
[비교예 2]
CF3I에 CF3C≡N을 더해 얻어진, CF3C≡N의 함유량 25936체적ppm(약 2.6체적%)의 CF3I를 사용한 것 이외는 실시예 1과 동일한 조건으로 보존 시험 샘플을 제작했다. 또한, 에칭 시험도 실시예 1과 마찬가지로 행했다. 에칭 형상에 대해서도 실시예 1과 마찬가지로 평가한 바, 견락이나 보잉과 같은 에칭 형상 이상은 없었지만, SiO2/PR 선택비의 저하에 따라, 포토레지스트의 에칭량이 실시예 1 내지 6에 비해 많았다.
[비교예 3]
CF3I에 CF3C≡N을 더해 얻어진, CF3C≡N의 함유량 111608체적ppm(약 11체적%)의 CF3I를 사용한 것 이외는 실시예 1과 동일한 조건으로 보존 시험 샘플을 제작했다. 또한, 에칭 시험도 실시예 1과 마찬가지로 행했다. 에칭 형상에 대해서도 실시예 1과 마찬가지로 평가한 바, 보잉은 없었지만, SiO2/PR 선택비의 저하에 따라, 포토레지스트의 에칭량이 실시예 1 내지 6에 비해 대폭 증가하여, 일부의 패턴에 있어서, 견락이 발견되었다.
[비교예 4]
CF3C≡N 대신에 CF3C≡CH를 28체적ppm 포함하는 CF3I를 사용한 것 이외는 실시예 1과 동일한 조건으로 시험을 실시했다. 또한, 에칭 시험도 실시예 1과 마찬가지로 행했다. 에칭 형상에 대해서도 실시예 1과 마찬가지로 평가한 바, 견락이나 보잉과 같은 에칭 형상 이상이 없는, 에칭이 되어 있는 것을 확인했다.
[비교예 5]
CF3C≡N 대신에, 불소를 포함하지 않는 CH3C≡N을 8523체적ppm 포함하는 CF3I를 사용한 것 이외는 실시예 1과 동일한 조건으로 시험을 실시했다. 또한, 에칭 시험도 실시예 1과 마찬가지로 행했다. 에칭 형상에 대해서도 실시예 1과 마찬가지로 평가한 바, 견락이나 보잉과 같은 에칭 형상 이상은 없었지만, SiO2/PR 선택비의 저하에 따라, 포토레지스트의 에칭량이 실시예 1 내지 6에 비해 많았다.
이상의 결과를 표 1에 정리했다.
Figure pct00001
비교예 1과 비교예 4에 있어서는, 에칭 특성은 양호했지만, 웨이퍼 상에서부터 철 성분이 검출되었다. 이것은, 탄소수 2 또는 3의 함불소 직쇄 니트릴 화합물에 의한 철 성분의 웨이퍼 상으로의 컨테미네이션의 억제가 발생하지 않았기 때문이라고 생각된다. 한편, 실시예 1~7의 결과에 있는 바와 같이, CF3C≡N 또는 CF3CF2C≡N을 함유하는 CF3I에서는, 철의 컨테미네이션이 매우 적었다. 보존 용기의 부식이 억제된 프로세스에 대해서는, 불분명한 점도 있지만, 함불소 직쇄 니트릴 화합물이 보존 용기의 내면에 부동태 막을 형성하여 보존 용기로부터 철 성분의 용출을 방지하는 것이나, 함불소 직쇄 니트릴 화합물이 CF3I 중에 포함되는 철의 컨테미네이션 원인이 되는 물질의 증기압을 대폭 낮추는 것이나, 함불소 직쇄 니트릴 화합물이 웨이퍼 상으로의 철 성분의 부착을 억제하는 것 등이 생각된다.
그러나, CF3I 중에 함불소 직쇄 니트릴 화합물을 포함시킨 경우, 함유량에 따라, PR에 대한 SiO2와 SiN의 에칭 선택비가 변화되었다. 각 실시예에 있어서는, PR의 SiO2에 대한 선택비(SiO2/PR)가 충분하고, 특히 함불소 직쇄 니트릴 화합물의 함유량이 10000체적ppm(1체적%) 이하인 실시예 1~7은, SiO2/PR 에칭 선택비가 3을 넘고 있어, 양호했다. 또한, 홀 패턴 에칭에 있어서도, 에칭 형상의 이상은 발생하지 않았다. 따라서, 1체적ppm 이상 10000체적ppm 이하의 함불소 직쇄 니트릴 화합물을 포함하는 CF3I를 이용한 경우에는, 양호한 에칭 특성이 얻어졌다고 할 수 있다.
한편, 비교예 2 및 비교예 3에 있는 바와 같이, 10000체적ppm을 초과하는 CF3C≡N을 포함한 경우에는, SiO2/PR의 에칭 선택비가 저하되는 경향이 현저히 발견되었다. 패턴 에칭에 있어서는, 레지스트 부분의 에칭량이 눈에 보이게 증가하고 있으며, 비교예 3에 이르러서는 SiO2막 표면이 에칭되고 있어, 에칭 가스로서의 성능이 크게 악화되는 결과가 되었다.
다량의 CF3C≡N이 혼입된 경우, 전술의 CFn을 주성분으로 하는 보호막의 형성에 있어서, 분자 내의 질소가 CFn막의 중합의 저해제로서 작용하여, 보호막의 형성이 불충분해진다. 이 때문에, CF3C≡N에 의해, 마스크에 대한 에칭이 촉진되어, 선택성의 저하로 이어졌다고 생각된다. 이 현상은, 다른 함불소 직쇄 니트릴 화합물을 이용한 경우도 마찬가지로 발생한다고 생각된다.
한편, 비교예 4에서는, CF3C≡N 이외의 첨가제에 의한 영향에 대하여 조사했다. 그 결과, CF3C≡CH에서는, CF3C≡N에서 보여진 바와 같은, 철의 컨테미네이션을 방지하는 효과가 확인되지 않았다.
한편, 비교예 5에서는, CF3C≡N 이외의 불소를 포함하지 않는 첨가제에 의한 영향에 대하여 조사했다. 그 결과, CH3C≡N에서는 불소를 포함하지 않는 것에 의해, CFn막을 주성분으로 하는 보호막의 생성이 불충분하였기 때문인지, SiO2/PR의 에칭 선택비가 악화되었다. 또한, 철의 컨테미네이션을 방지하는 효과에 대해서도 CF3C≡N에 비해, 작았다.
상술한 바와 같이, 본 개시에 의하면, CF3I를 이용한 에칭에 있어서, 에칭 특성에 영향을 주지 않고, 금속의 컨테미네이션 양을 저감시키는 것이 가능해진다.
10: 보존 시험 용기
11: 테스트 피스
12: 밸브
13: 덮개
14: 내압 용기
20: 반응 장치
21: 챔버
22: 압력계
23: 고주파 전원
24: 하부 전극
25: 상부 전극
26: 가스 도입구
27: 가스 배출 라인
28: 시료

Claims (9)

  1. 드라이 에칭제를 플라즈마화하는 공정과,
    플라즈마화한 드라이 에칭제를 이용하여, 실리콘 산화물 또는 실리콘 질화물을 에칭하는 공정을 가지고,
    상기 드라이 에칭제가, CF3I와, 탄소수 2 또는 3의 함불소 직쇄 니트릴 화합물을, CF3I에 대한 상기 함불소 직쇄 니트릴 화합물의 농도가 1체적ppm 이상 1체적% 이하로 포함하는, 드라이 에칭 방법.
  2. 제 1 항에 있어서,
    상기 탄소수 2 또는 3 함불소 직쇄 니트릴 화합물이, CF3C≡N 또는 CF3CF2C≡N인 것을 특징으로 하는 드라이 에칭 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 에칭제가 첨가 가스를 포함하고,
    상기 첨가 가스가, O2, O3, CO, CO2, COCl2, COF2, CF2(OF)2, CF3OF, NO2, NO, F2, NF3, Cl2, Br2, I2, 및 YFn(식 중 Y는 Cl, Br, 또는, I를 나타내고 n은 정수를 나타내며, 1≤n≤7이다.)로 이루어지는 군으로부터 선택되는 적어도 1종의 가스인 것을 특징으로 하는 드라이 에칭 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 에칭제가 첨가 가스를 포함하고,
    상기 첨가 가스가, H2, HF, HI, HBr, HCl, NH3, CF4, CF3H, CF2H2, CFH3, C2F6, C2F4H2, C2F5H, C3F8, C3F7H, C3F6H2, C3F5H3, C3F4H4, C3F3H5, C3F5H, C3F3H, C3ClF3H, C4F8, C4F6, C5F8, C5F10, C3F6, C3HF5, C3H2F4, 및, C3H3F3으로 이루어지는 군으로부터 선택되는 적어도 1종의 가스인 것을 특징으로 하는 드라이 에칭 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    추가로, 상기 드라이 에칭제가 불활성 가스를 포함하고,
    상기 불활성 가스가 N2, He, Ar, Ne, Kr 및 Xe로 이루어지는 군으로부터 선택되는 것을 특징으로 하는 드라이 에칭 방법.
  6. CF3I와, 탄소수 2 또는 3의 함불소 직쇄 니트릴 화합물을, CF3I에 대한 상기 함불소 직쇄 니트릴 화합물의 농도가 1체적ppm 이상 1체적% 이하로 포함하는 드라이 에칭제.
  7. 제 6 항에 기재된 드라이 에칭제가 충전되어 밀폐된 보존 용기.
  8. CF3I와, 탄소수 2 또는 3의 함불소 직쇄 니트릴 화합물을, CF3I에 대한 상기 함불소 직쇄 니트릴 화합물의 농도가 1체적ppm 이상 1체적% 이하로 포함하는 혼합물이, 충전되어 밀폐된 보존 용기.
  9. 제 7 항 또는 제 8 항에 있어서,
    상기 보존 용기의 재질이, 망간강 또는 스테인리스강인 것을 특징으로 하는 보존 용기.
KR1020217026264A 2019-01-23 2019-12-20 드라이 에칭 방법, 드라이 에칭제, 및 그 보존 용기 KR20210114509A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2019008990 2019-01-23
JPJP-P-2019-008990 2019-01-23
PCT/JP2019/049999 WO2020153066A1 (ja) 2019-01-23 2019-12-20 ドライエッチング方法、ドライエッチング剤、及びその保存容器

Publications (1)

Publication Number Publication Date
KR20210114509A true KR20210114509A (ko) 2021-09-23

Family

ID=71735737

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217026264A KR20210114509A (ko) 2019-01-23 2019-12-20 드라이 에칭 방법, 드라이 에칭제, 및 그 보존 용기

Country Status (7)

Country Link
US (1) US20220115240A1 (ko)
JP (2) JPWO2020153066A1 (ko)
KR (1) KR20210114509A (ko)
CN (1) CN113330539A (ko)
SG (1) SG11202107622UA (ko)
TW (1) TWI824098B (ko)
WO (1) WO2020153066A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6956288B2 (ja) * 2020-04-30 2021-11-02 東京エレクトロン株式会社 基板処理方法、プラズマ処理装置、及びエッチングガス組成物

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11340211A (ja) 1998-03-27 1999-12-10 Nec Corp 基板処理方法および基板処理装置
JP2008523089A (ja) 2004-12-08 2008-07-03 ハネウェル・インターナショナル・インコーポレーテッド ヨウ化トリフルオロメチルの直接一段合成
JP2009123866A (ja) 2007-11-14 2009-06-04 Nec Electronics Corp 半導体装置の製造方法、および被エッチング膜の加工方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005088185A1 (ja) * 2004-03-10 2005-09-22 Zeon Corporation ガス製造設備、ガス供給容器、及び電子装置製造用ガス
JP4826235B2 (ja) * 2005-12-01 2011-11-30 三菱瓦斯化学株式会社 半導体表面処理剤
US20080191163A1 (en) * 2007-02-09 2008-08-14 Mocella Michael T Laser-Assisted Etching Using Gas Compositions Comprising Unsaturated Fluorocarbons
KR101970858B1 (ko) * 2012-03-14 2019-04-19 가부시키가이샤 후지미인코퍼레이티드 연마용 조성물 및 반도체 기판의 제조 방법
US9659788B2 (en) * 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
WO2017159544A1 (ja) * 2016-03-15 2017-09-21 日本ゼオン株式会社 ドライエッチング用組成物およびドライエッチング用組成物充填済み容器
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US20170110336A1 (en) * 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes
US10347498B2 (en) * 2016-12-31 2019-07-09 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods of minimizing plasma-induced sidewall damage during low K etch processes

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11340211A (ja) 1998-03-27 1999-12-10 Nec Corp 基板処理方法および基板処理装置
JP2008523089A (ja) 2004-12-08 2008-07-03 ハネウェル・インターナショナル・インコーポレーテッド ヨウ化トリフルオロメチルの直接一段合成
JP2009123866A (ja) 2007-11-14 2009-06-04 Nec Electronics Corp 半導体装置の製造方法、および被エッチング膜の加工方法

Also Published As

Publication number Publication date
US20220115240A1 (en) 2022-04-14
WO2020153066A1 (ja) 2020-07-30
TW202037759A (zh) 2020-10-16
JPWO2020153066A1 (ja) 2021-12-02
CN113330539A (zh) 2021-08-31
TWI824098B (zh) 2023-12-01
SG11202107622UA (en) 2021-08-30
JP2024016143A (ja) 2024-02-06

Similar Documents

Publication Publication Date Title
JP6997237B2 (ja) 3d nandフラッシュメモリを製造する方法
JP7079872B2 (ja) 半導体構造物上に窒素含有化合物を堆積させる方法
US10529581B2 (en) SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications
CN111052318A (zh) 用于蚀刻多个堆叠层的化学过程
WO2014070838A1 (en) Fluorocarbon molecules for high aspect ratio oxide etch
JP6788177B2 (ja) ドライエッチング方法、ドライエッチング剤及び半導体装置の製造方法
JP6544215B2 (ja) ドライエッチング方法
JP2024016143A (ja) ドライエッチング方法、ドライエッチング剤、及びその保存容器
CN110036460B (zh) 干式蚀刻剂组合物及干式蚀刻方法
KR20210136102A (ko) 드라이 에칭 방법 및 반도체 디바이스의 제조 방법
WO2023234305A1 (ja) エッチング方法
WO2023074511A1 (ja) エッチングガス及びそれを用いたエッチング方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E601 Decision to refuse application