CN113330539A - 干蚀刻方法、干蚀刻剂及其保存容器 - Google Patents

干蚀刻方法、干蚀刻剂及其保存容器 Download PDF

Info

Publication number
CN113330539A
CN113330539A CN201980090097.0A CN201980090097A CN113330539A CN 113330539 A CN113330539 A CN 113330539A CN 201980090097 A CN201980090097 A CN 201980090097A CN 113330539 A CN113330539 A CN 113330539A
Authority
CN
China
Prior art keywords
etching
fluorine
gas
dry
volume
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980090097.0A
Other languages
English (en)
Inventor
大森启之
上田辰德
池田晋也
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Central Glass Co Ltd
Original Assignee
Central Glass Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Central Glass Co Ltd filed Critical Central Glass Co Ltd
Publication of CN113330539A publication Critical patent/CN113330539A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C19/00Acyclic saturated compounds containing halogen atoms
    • C07C19/08Acyclic saturated compounds containing halogen atoms containing fluorine
    • C07C19/16Acyclic saturated compounds containing halogen atoms containing fluorine and iodine
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/02Etching, surface-brightening or pickling compositions containing an alkali metal hydroxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C17/00Preparation of halogenated hydrocarbons
    • C07C17/093Preparation of halogenated hydrocarbons by replacement by halogens
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C17/00Preparation of halogenated hydrocarbons
    • C07C17/361Preparation of halogenated hydrocarbons by reactions involving a decrease in the number of carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C19/00Acyclic saturated compounds containing halogen atoms
    • C07C19/08Acyclic saturated compounds containing halogen atoms containing fluorine
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

本公开的实施方式的干蚀刻方法的特征在于,其具有:对干蚀刻剂进行等离子化的工序;和、使用进行了等离子化的等离子气体对硅氧化物或硅氮化物进行蚀刻的工序,前述干蚀刻剂以碳数2或3的含氟直链腈化合物相对于CF3I的浓度为1体积ppm以上且1体积%以下包含CF3I和所述碳数2或3的含氟直链腈化合物。

Description

干蚀刻方法、干蚀刻剂及其保存容器
技术领域
本公开涉及对硅系材料进行等离子蚀刻的方法、其中使用的干蚀刻剂及其保存容器。
近年来,半导体加工中,进行微细化的研究,加工线宽变细并且作为加工线宽与沟槽或孔的深度的比的长宽比有大幅增大的倾向。随着这些半导体加工技术的发展,也在进行对蚀刻工序中使用的蚀刻剂的开发。
该蚀刻工序中,使用等离子的蚀刻装置被广泛使用,作为处理气体,要求对于PR膜、a-C膜,例如以选择比3.0以上、且高速地例如以SiO2蚀刻速率为50nm/分钟以上,仅对SiO2、SiN膜进行高选择性地蚀刻。
以往,作为像这样的蚀刻气体,例如已知CF4气体、c-C4F8气体、C4F6气体等含氟饱和烃、或含氟不饱和烃。然而,以往的气体选择比不充分、加工时的蚀刻形状无法保持直线性、无法得到充分的蚀刻速率等,逐渐难以应对近年的微细化技术。
另外,这些含氟饱和烃为大气寿命长的物质、具有高地球温室效应系数(GWP),因此为京都议定书(COP3)中的排出限制物质。半导体产业中,开始需求经济性高、能够微细化的低GWP的代替物质。
作为满足这些要求的气体,例如专利文献1公开了一种使用了CF3I的蚀刻方法作为在进行高长宽比蚀刻的基础上、仅生成期望的量的期望的自由基/离子的方法。另外,专利文献2公开了CF3I的抗蚀层与含硅层(有机硅氧化层等)的蚀刻选择比比CF4高。
需要说明的是,专利文献3公开了一种CF3I的制造方法,其特征在于,在金属盐催化剂的存在下使规定的碘源与式:CF3R的反应物反应。
现有技术文献
专利文献
专利文献1:日本特开平11-340211号公报
专利文献2:日本特开2009-123866号公报
专利文献3:日本特表2008-523089号公报(国际公开第2006/063184号)
发明内容
通常,对CF3I等液化高压气体进行存储时,通常使用金属容器/金属阀。已知CF3I作为稳定的化合物,大多情况下,从价格上有利的方面出发,不锈钢、碳钢、黄铜、锰钢等材质被用于容器、阀中。然而,本发明人等将纯化至99.99体积%以上得到的高纯度CF3I填充在锰钢制储气罐中,并实际上将其用作蚀刻气体,结果虽然在蚀刻速率、蚀刻形状上得到了预想的结果,但发现晶圆上发生金属的污染。
蚀刻气体的开发中,除改善蚀刻形状、与掩模的选择比外,由于会对半导体特性造成影响,虽然无法在半导体制造工序中将晶圆上发生的金属的污染的量降为0,但也要求使其尽量减少。另一方面,专利文献1~3中没有涉及CF3I的纯度、杂质、晶圆上的金属的污染的记载。
基于像这样的背景,在使用CF3I的蚀刻中,要求不对蚀刻特性造成影响并使金属的污染减少的方法。
出于这些背景,本发明人等进行金属污染的原因调査,结果发现:填充有高纯度化后的CF3I的保存容器为原因,通过与锰钢、不锈钢的接触,微量的金属成分以氟化物或碘化物的形式混入CF3I中。因此,本发明人等进行深入研究,结果发现:通过在CF3I中添加规定量的具有C≡N键的碳数2或3的含氟直链腈化合物,可以抑制源自保存容器的金属对CF3I的污染,另外,使用该混合气体的蚀刻中,也具有充分的PR与SiO2的选择性,可以得到良好的蚀刻形状,从而完成了本公开。
即,本公开提供干蚀刻方法等,其具有:对干蚀刻剂进行等离子化的工序;和、使用进行了等离子化的干蚀刻剂对硅氧化物或硅氮化物进行蚀刻的工序,前述干蚀刻剂以碳数2或3的含氟直链腈化合物相对于CF3I的浓度为1体积ppm以上且1体积%以下包含CF3I和所述碳数2或3的含氟直链腈化合物。
附图说明
图1为实施例/比较例所使用的保存试验容器10的示意图。
图2为实施例/比较例所使用的反应装置20的示意图。
具体实施方式
以下,对本公开的实施方式进行以下说明。需要说明的是,本公开的范围并不限定于这些说明,对于以下的例示以外的内容,可以在不损害本公开的主旨的范围进行适当变更并实施。
基于本实施方式的干蚀刻方法为具有如下工序的干蚀刻方法:对干蚀刻剂进行等离子化的工序;和、使用进行了等离子化的干蚀刻剂对硅氧化物或硅氮化物进行蚀刻的工序。前述干蚀刻剂至少含有:CF3I、和具有C≡N键的碳数2或3的含氟直链腈化合物。
本实施方式中使用的CF3I也被称作三氟碘甲烷或三氟甲基碘,可以通过以往公知的方法制造。例如,根据专利文献4,可以使选自由碘化氢、碘及一氯化碘组成的组中的碘源与选自由式:CF3R[式中,R选自由-SH、-S-S-CF3、-S-苯及-S-S-(CH3)3组成的组]的反应物组成的组中的反应物在金属盐催化剂的存在下反应而得到。
作为本实施方式中使用的、碳数2或3的含氟直链腈化合物,可举出CH2FC≡N、CHF2C≡N、CF3C≡N、CH2FCF2C≡N、CHF2CF2C≡N、CF3CF2C≡N等。其中,优选使用CF3C≡N和CF3CF2C≡N。若添加量相对于CF3I为1体积ppm以上,则可以确认到充分抑制金属污染的发生的效果。
另一方面,着眼于对蚀刻特性造成的影响时,发现专利文献1的记载给出了如下启示:CF3I中的微量的杂质有可能作为目的以外的自由基种的发生源而产生影响,但不会对蚀刻特性造成较大影响。然而,过多的杂质会对CF3I的蚀刻性能造成影响,因此优选为1体积%(1万体积ppm)以内,更优选为0.1体积%(1000体积ppm)以下。作为本公开的另一方式,假设了事先将碳数2或3的含氟直链腈化合物封入容器内、并对容器内表面进行钝化处理的方法。
硅氧化物用化学式SiOx(x为1以上且2以下)表示,通常为SiO2。另外,硅氮化物用化学式SiNx(x为0.3以上且9以下)表示,通常为Si3N4
作为CF3I的保存容器,只要是在大气压以上能够封入气液混合物的密闭容器,就无需特别的结构及构成材料,可以具有大范围的形态及功能。使用作为通常的高压气体的保存容器的、用锰钢、不锈钢制作的储气罐时,可以应用本公开。
锰钢优选包含97质量%以上的铁,包含1质量%以上且2质量%以下的锰。即使在锰钢中不可避免地混入镍、铬时,也优选镍的含量为0.25质量%以下、铬的含量为0.35质量%以下。作为锰钢,可以使用例如JIS G 4053:2016中规定的SMn420、SMn433、SMn438、SMn443、JIS G 3429:2013中规定的STH11、STH12等。
本实施方式中,使用的CF3I优选被高纯度化为99.95体积%以上。关于碳数2或3的含氟直链腈化合物,包含规定量即可,因此只要纯度为90体积%以上就没有问题。
接着,对使用本实施方式中的干蚀刻剂的蚀刻方法进行说明。
以下示出CF3I与含氟直链腈化合物的混合气体,或者在该混合气体中添加有添加气体和/或非活性气体的干蚀刻剂的优选组成比。需要说明的是,各种气体的体积%的总计为100体积%。
也可仅将CF3I与含氟直链腈化合物的混合气体用于干蚀刻剂,但从成本效益、等离子的稳定性的观点来看,通常组合使用添加气体和/或非活性气体。例如,CF3I与含氟直链腈化合物的混合气体相对于混合气体、添加气体及非活性气体的总计的浓度优选为1~90体积%,更优选为5~80体积%,进一步优选为10~60体积%。
另外,添加气体相对于混合气体、添加气体及非活性气体的总计的浓度优选为0~50体积%,更优选为0~10体积%。
另外,非活性气体相对于混合气体、添加气体及非活性气体的总计的浓度优选为0~98体积%,更优选为5~80体积%,进一步优选为300~50体积%。
本实施方式的蚀刻方法可以在各种干蚀刻条件下实施。另外,例如可以混合添加气体、非活性气体,并以成为期望的蚀刻速率、蚀刻选择比及蚀刻形状的方式添加各种添加剂、非活性气体。作为添加气体,可以使用选自由O2、O3、CO、CO2、COCl2、COF2、CF2(OF)2、CF3OF、NO2、NO、F2、NF3、Cl2、Br2、I2及YFn(式中,Y表示Cl、Br或I,n表示整数,1≤n≤7。)组成的组中的至少1种气体。另外,为了得到期望的蚀刻形状、蚀刻速率,也可添加1种以上的还原性气体、氟化碳、氢氟烃、含卤素化合物(例如,选自由H2、HF、HI、HBr、HCl、NH3、CF4、CF3H、CF2H2、CFH3、C2F6、C2F4H2、C2F5H、C3F8、C3F7H、C3F6H2、C3F5H3、C3F4H4、C3F3H5、C3F5H、C3F3H、C3ClF3H、C4F8、C4F6、C5F8、C5F10、C3F6、C3HF5、C3H2F4及C3H3F3组成的组中的至少1种气体)作为添加气体并进行蚀刻。作为非活性气体,可举出N2、He、Ar、Ne、Kr及Xe。
本实施方式的蚀刻方法可以不限定于电容耦合型等离子(CCP)蚀刻、反应性离子蚀刻(RIE)、电感耦合型等离子(ICP)蚀刻、电子回旋共振(ECR)等离子蚀刻及微波蚀刻等各种蚀刻方法而进行。
关于干蚀刻剂中含有的气体成分,可以分别独立地导入腔室内,或也可以在保存容器的后段事先调节为混合气体后再导入腔室内。反应腔室内导入的干蚀刻剂的总流量可以根据反应腔室的容积及排气部的排气能力,并考虑前述的浓度条件和压力条件进行适当选择。
对于进行蚀刻时的压力而言,为了得到稳定的等离子体,及为了提高离子的直行性而抑制侧蚀刻,优选为5Pa以下,特别优选为1Pa以下。另一方面,腔室内的压力过低时,电离离子变少,无法得到充分的等离子密度,因此优选为0.05Pa以上。
另外,进行蚀刻时的基板温度优选为100℃以下,特别是为了进行各向异性蚀刻理想为50℃以下,特别优选设为20℃以下。在超过100℃的高温下,有时无法在PR、a-C等掩模材料上充分地进行以源自氟化碳的CFn为主要成分的保护膜的形成、从而选择性会降低。另外,高温下,侧壁保护膜的形成无法充分地进行,有时会发生蚀刻形状成为带有圆角形状的、即被称作弓弯(Bowing)的形状异常。
另外,关于进行蚀刻时产生的电极间的负的直流的自偏置电压,根据期望的蚀刻形状选择即可。例如进行各向异性蚀刻时,期望产生以绝对值计500V~10000V左右的电极间电压从而使离子高能量化。负的直流的自偏置电压的绝对值过大时,有时会放大离子的能量,导致选择性的降低。
考虑元件制造过程的效率时,蚀刻时间优选为200分钟以内。此处,蚀刻时间是指在腔室内使等离子产生,并使干蚀刻剂与试样反应的时间。
实施例
以下同时举出本公开的实施例和比较例,但本公开并不限定于以下的实施例。
[实施例1]
(保存容器中的保存)
图1是为了暂时储存纯化后的CF3I而在实施例/比较例中使用的保存容器10容器的示意图。制作内容积10L的锰钢制耐压容器10作为保存容器。向其中封入事先进行纯化而高纯度化为99.99体积%以上的CF3I 1000g。接着,相对于CF3I包含2体积ppm的CF3C≡N。
(蚀刻试验)
为了调查添加剂对蚀刻特性造成的影响,实施使用CF3I与CF3C≡N的混合气体的蚀刻试验。图2为实施例/比较例所使用的反应装置20的示意图。腔室21内设置有:具有保持晶圆的功能且作为载物台起作用的下部电极24、上部电极25和压力计22。另外,腔室21上部连接有气体导入口26。腔室21内的压力可以调节,并且可以通过高频电源(13.56MHz)23使干蚀刻剂激发。由此,可以使设置在下部电极24上的试样28与激发的干蚀刻剂接触,从而对试样28进行蚀刻。以以下方式构成:以导入有干蚀刻剂的状态,由高频电源23施加高频电力时,可以通过等离子体中的离子与电子的移动速度的差,使上部电极25与下部电极24之间产生被称作自偏置电压的直流电压。腔室21内的气体经由气体排出管线27排出。
作为试样28,将具有SiO2膜的硅晶圆A、具有SiN(Si3N4)膜的硅晶圆B、具有PR(光致抗蚀剂)膜的硅晶圆C设置在冷却为15℃的载物台上。SiO2膜和SiN膜通过CVD法制作。另外,PR膜通过涂布制作。此处,作为蚀刻剂,分别取25sccm、25sccm、500sccm的CF3I与CF3C≡N的混合物、O2及Ar,将这些气体充分混合并使其在腔室内流通,施加400W的高频电力,使蚀刻剂等离子化,由此进行蚀刻。
蚀刻后,根据硅晶圆A的SiO2膜、硅晶圆B的SiN膜、及硅晶圆C的PR膜的蚀刻前后的厚度的变化求出蚀刻速率。进而,将SiO2和SiN的蚀刻速率除以PR的蚀刻速率而得的值作为各自的蚀刻选择比而求出。
(晶圆上的金属量的测定)
然后,测定具有SiO2膜的硅晶圆A上附着的金属的量。测定中,使用JIS K0160:2009规定的方法进行测定。即,将氢氟酸加入塑料制烧杯中,放置在被称作VPD(气相分解)容器的PFA(全氟烷氧基氟树脂)制的容器内,将蚀刻后的SiO2成膜晶圆放置在VPD容器内所设置的晶圆支架上。接着,关闭VPD容器,用氢氟酸蒸气对前述晶圆上的氧化膜进行10分钟分解。在分解了氧化物后的晶圆的表面上,滴加100μL的扫描溶液(超纯水),扫描晶圆的表面整体。扫描后,将全部扫描的液滴干燥,再次用超纯水溶解后,用ICP-MS(电感耦合等离子质谱仪)分析。对于得到的分析值,根据溶解液量和晶圆的表面积,换算为每1cm2晶圆的金属原子数。其结果,铁的分析值为6.5×1011原子/cm2
(蚀刻形状评价)
进行前述的蚀刻试验后,暂时将晶圆A~C取出,将蚀刻形状评价用的晶圆D设置在载物台上。晶圆D是通过在硅晶圆上形成膜厚200nm的SiO2膜后,涂布300nm的、具有直径100nm的圆形孔状开口部的光致抗蚀膜而得到的。用蚀刻试验的项目中记载的方法,进行5分钟的蚀刻后,拍摄截面SEM照片,观察其蚀刻形状。其结果,确认实现了无弯曲开口、弓弯等蚀刻形状异常的蚀刻。
[实施例2]
使用在CF3I中添加CF3C≡N得到的、CF3C≡N的含量为25体积ppm的CF3I,除此以外,以与实施例1相同的条件制作保存试验样品。另外,蚀刻试验也与实施例1同样地进行。对蚀刻形状也与实施例1同样地进行评价,结果确认实现了无弯曲开口、弓弯等蚀刻形状异常的蚀刻。
[实施例3]
使用在CF3I中添加CF3C≡N得到的、CF3C≡N的含量为129体积ppm的CF3I,除此以外,以与实施例1相同的条件制作保存试验样品。另外,蚀刻试验也与实施例1同样地进行。对蚀刻形状也与实施例1同样地进行评价,结果确认实现了无弯曲开口、弓弯等蚀刻形状异常的蚀刻。
[实施例4]
使用在CF3I中添加CF3C≡N得到的、CF3C≡N的含量为1231体积ppm(约0.1体积%)的CF3I,除此以外,以与实施例1相同的条件制作保存试验样品。另外,蚀刻试验也与实施例1同样地进行。对蚀刻形状也与实施例1同样地进行评价,结果确认实现了无弯曲开口、弓弯等蚀刻形状异常的蚀刻。
[实施例5]
使用在CF3I中添加CF3C≡N得到的、CF3C≡N的含量为7927体积ppm(约0.8体积%)的CF3I,除此以外,以与实施例1相同的条件制作保存试验样品。另外,蚀刻试验也与实施例1同样地进行。对蚀刻形状也与实施例1同样地进行评价,结果确认实现了无弯曲开口、弓弯等蚀刻形状异常的蚀刻。
[实施例6]
使用在CF3I中添加CF3C≡N得到的、CF3C≡N的含量为9328体积ppm(约0.9体积%)的CF3I,除此以外,以与实施例1相同的条件制作保存试验样品。另外,蚀刻试验也与实施例1同样地进行。对蚀刻形状也与实施例1同样地进行评价,结果确认实现了无弯曲开口、弓弯等蚀刻形状异常的蚀刻。
[实施例7]
使用在CF3I中添加CF3CF2C≡N得到的、CF3CF2C≡N的含量为235体积ppm的CF3I,除此以外,以与实施例1相同的条件制作保存试验样品。另外,蚀刻试验也与实施例1同样地进行。对蚀刻形状也与实施例1同样地进行评价,结果确认实现了无弯曲开口、弓弯等蚀刻形状异常的蚀刻。
[比较例1]
使用进行纯化得到的、CF3C≡N的含量小于0.1体积ppm的CF3I,除此以外,以与实施例1相同的条件制作保存试验样品。另外,蚀刻试验也与实施例1同样地进行。对蚀刻形状也与实施例1同样地进行评价,结果确认实现了无弯曲开口、弓弯等蚀刻形状异常的蚀刻。
[比较例2]
使用在CF3I中添加CF3C≡N得到的、CF3C≡N的含量为25936体积ppm(约2.6体积%)的CF3I,除此以外,以与实施例1相同的条件制作保存试验样品。另外,蚀刻试验也与实施例1同样地进行。对蚀刻形状也与实施例1同样地进行评价,结果虽然无弯曲开口、弓弯等蚀刻形状异常,但随着SiO2/PR选择比的降低,光致抗蚀剂的蚀刻量比实施例1~6多。
[比较例3]
使用在CF3I中添加CF3C≡N得到的、CF3C≡N的含量为111608体积ppm(约11体积%)的CF3I,除此以外,以与实施例1相同的条件制作保存试验样品。另外,蚀刻试验也与实施例1同样地进行。对蚀刻形状也与实施例1同样地进行评价,结果虽然无弓弯,但随着SiO2/PR选择比的降低,光致抗蚀剂的蚀刻量与实施例1~6相比大幅增加,一部分的图案中发现弯曲开口。
[比较例4]
使用包含28体积ppm的CF3C≡CH代替了CF3C≡N的CF3I,除此以外,以与实施例1相同的条件实施试验。另外,蚀刻试验也与实施例1同样地进行。对蚀刻形状也与实施例1同样地进行评价,结果确认实现了无弯曲开口、弓弯等蚀刻形状异常的蚀刻。
[比较例5]
使用包含8523体积ppm的不包含氟的CH3C≡N代替了CF3C≡N的CF3I,除此以外,以与实施例1相同的条件实施试验。另外,蚀刻试验也与实施例1同样地进行。对蚀刻形状也与实施例1同样地进行评价,结果虽然无弯曲开口、弓弯等蚀刻形状异常,但随着SiO2/PR选择比的降低,光致抗蚀剂的蚀刻量比实施例1~6多。
将以上的结果汇总于表1。
[表1]
Figure BDA0003176572800000101
比较例1和比较例4中,虽然蚀刻特性良好,但在晶圆上检测到铁成分。认为这是由于,未发生由碳数2或3的含氟直链腈化合物实现的抑制铁成分在晶圆上的污染。另一方面,如实施例1~7的结果所示,含有CF3C≡N或CF3CF2C≡N的CF3I中,铁的污染非常少。关于保存容器的腐蚀被抑制的过程,存在不明确的内容,但可以认为是含氟直链腈化合物在保存容器的内表面上形成钝化膜从而防止铁成分由保存容器溶出、含氟直链腈化合物使成为CF3I中包含的铁的污染源的物质的蒸气压大幅下降、含氟直链腈化合物抑制铁成分在晶圆上的附着。
然而,使CF3I中包含含氟直链腈化合物时,根据含量,SiO2和SiN相对于PR的蚀刻选择比发生变化。各实施例中,SiO2相对于PR的的选择比(SiO2/PR)充分,特别是含氟直链腈化合物的含量为10000体积ppm(1体积%)以下的实施例1~7中,SiO2/PR蚀刻选择比大于3,是良好的。另外,孔图案蚀刻中也未发生蚀刻形状的异常。因此,使用包含1体积ppm以上且10000体积ppm以下的含氟直链腈化合物的CF3I时,可以得到良好的蚀刻特性。
另一方面,如比较例2及比较例3,包含大于10000体积ppm的CF3C≡N时,显著发现SiO2/PR的蚀刻选择比有降低的倾向。图案蚀刻中,抗蚀部分的蚀刻量肉眼可见地增加,至于比较例3则成为SiO2膜表面被蚀刻、作为蚀刻气体的性能大幅劣化的结果。
混入有大量的CF3C≡N时,以前述的CFn为主要成分的保护膜的形成中,分子内的氮作为CFn膜的聚合的抑制剂起作用,保护膜的形成变得不充分。因此可以认为,通过CF3C≡N,对掩模的蚀刻得到促进,会导致选择性的降低。认为该现象在使用其他含氟直链腈化合物时也同样发生。
另一方面,比较例4中,对基于除CF3C≡N以外的添加剂的影响进行了调査。其结果,CF3C≡CH中并未发现像CF3C≡N中确认的防止铁的污染的效果。
另一方面,比较例5中,对基于除CF3C≡N以外的不包含氟的添加剂的影响进行了调査。其结果,CH3C≡N中,通过不包含氟,以CFn膜为主要成分的保护膜的生成不充分,因此SiO2/PR的蚀刻选择比劣化。另外,与CF3C≡N相比,防止铁的污染的效果也小。
如上所述,通过本公开,使用CF3I的蚀刻中,能够不对蚀刻特性造成影响,并使金属的污染量减少。
附图标记说明
10:保存试验容器
11:试验片
12:阀
13:盖
14:耐压容器
20:反应装置
21:腔室
22:压力计
23:高频电源
24:下部电极
25:上部电极
26:气体导入口
27:气体排出管线
28:试样。

Claims (9)

1.一种干蚀刻方法,其具有:
对干蚀刻剂进行等离子化的工序;和
使用进行了等离子化的干蚀刻剂对硅氧化物或硅氮化物进行蚀刻的工序,
所述干蚀刻剂以碳数2或3的含氟直链腈化合物相对于CF3I的浓度为1体积ppm以上且1体积%以下包含CF3I和所述碳数2或3的含氟直链腈化合物。
2.根据权利要求1所述的干蚀刻方法,其特征在于,所述碳数2或3的含氟直链腈化合物为CF3C≡N或CF3CF2C≡N。
3.根据权利要求1或2所述的干蚀刻方法,其特征在于,所述蚀刻剂包含添加气体,
所述添加气体为选自由O2、O3、CO、CO2、COCl2、COF2、CF2(OF)2、CF3OF、NO2、NO、F2、NF3、Cl2、Br2、I2及YFn组成的组中的至少一种气体,式中,Y表示Cl、Br或I,n表示整数,1≤n≤7。
4.根据权利要求1~3中任一项所述的干蚀刻方法,其特征在于,所述蚀刻剂包含添加气体,
所述添加气体为选自由H2、HF、HI、HBr、HCl、NH3、CF4、CF3H、CF2H2、CFH3、C2F6、C2F4H2、C2F5H、C3F8、C3F7H、C3F6H2、C3F5H3、C3F4H4、C3F3H5、C3F5H、C3F3H、C3ClF3H、C4F8、C4F6、C5F8、C5F10、C3F6、C3HF5、C3H2F4及C3H3F3组成的组中的至少一种气体。
5.根据权利要求1~4中任一项所述的干蚀刻方法,其特征在于,所述干蚀刻剂还包含非活性气体,
所述非活性气体选自由N2、He、Ar、Ne、Kr及Xe组成的组。
6.一种干蚀刻剂,其以碳数2或3的含氟直链腈化合物相对于CF3I的浓度为1体积ppm以上且1体积%以下包含CF3I和所述碳数2或3的含氟直链腈化合物。
7.一种保存容器,其填充有权利要求6所述的干蚀刻剂并密闭。
8.一种保存容器,其填充有如下混合物并密闭,所述混合物以碳数2或3的含氟直链腈化合物相对于CF3I的浓度为1体积ppm以上且1体积%以下包含CF3I和所述碳数2或3的含氟直链腈化合物。
9.根据权利要求7或8所述的保存容器,其特征在于,所述保存容器的材质为锰钢或不锈钢。
CN201980090097.0A 2019-01-23 2019-12-20 干蚀刻方法、干蚀刻剂及其保存容器 Pending CN113330539A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2019008990 2019-01-23
JP2019-008990 2019-01-23
PCT/JP2019/049999 WO2020153066A1 (ja) 2019-01-23 2019-12-20 ドライエッチング方法、ドライエッチング剤、及びその保存容器

Publications (1)

Publication Number Publication Date
CN113330539A true CN113330539A (zh) 2021-08-31

Family

ID=71735737

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980090097.0A Pending CN113330539A (zh) 2019-01-23 2019-12-20 干蚀刻方法、干蚀刻剂及其保存容器

Country Status (7)

Country Link
US (1) US20220115240A1 (zh)
JP (2) JPWO2020153066A1 (zh)
KR (1) KR20210114509A (zh)
CN (1) CN113330539A (zh)
SG (1) SG11202107622UA (zh)
TW (1) TWI824098B (zh)
WO (1) WO2020153066A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6956288B2 (ja) * 2020-04-30 2021-11-02 東京エレクトロン株式会社 基板処理方法、プラズマ処理装置、及びエッチングガス組成物

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3336975B2 (ja) 1998-03-27 2002-10-21 日本電気株式会社 基板処理方法
WO2005088185A1 (ja) * 2004-03-10 2005-09-22 Zeon Corporation ガス製造設備、ガス供給容器、及び電子装置製造用ガス
US6977316B1 (en) 2004-12-08 2005-12-20 Honeywell International Inc. Direct one-step synthesis of trifluoromethyl iodide
JP4826235B2 (ja) * 2005-12-01 2011-11-30 三菱瓦斯化学株式会社 半導体表面処理剤
US20080191163A1 (en) * 2007-02-09 2008-08-14 Mocella Michael T Laser-Assisted Etching Using Gas Compositions Comprising Unsaturated Fluorocarbons
JP2009123866A (ja) 2007-11-14 2009-06-04 Nec Electronics Corp 半導体装置の製造方法、および被エッチング膜の加工方法
US9685341B2 (en) * 2012-03-14 2017-06-20 Fujimi Incorporated Abrasive composition and method for producing semiconductor substrate
US9659788B2 (en) * 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
EP3432347A4 (en) * 2016-03-15 2019-11-06 Zeon Corporation DRYING COMPOSITION AND CONTAINER OF DRYING COMPOSITION OF FILLED CONTAINERS
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US10347498B2 (en) * 2016-12-31 2019-07-09 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods of minimizing plasma-induced sidewall damage during low K etch processes
US20170110336A1 (en) * 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes

Also Published As

Publication number Publication date
JPWO2020153066A1 (ja) 2021-12-02
US20220115240A1 (en) 2022-04-14
SG11202107622UA (en) 2021-08-30
TWI824098B (zh) 2023-12-01
JP2024016143A (ja) 2024-02-06
WO2020153066A1 (ja) 2020-07-30
KR20210114509A (ko) 2021-09-23
TW202037759A (zh) 2020-10-16

Similar Documents

Publication Publication Date Title
JP6997237B2 (ja) 3d nandフラッシュメモリを製造する方法
JP7079872B2 (ja) 半導体構造物上に窒素含有化合物を堆積させる方法
US10529581B2 (en) SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications
JP6788177B2 (ja) ドライエッチング方法、ドライエッチング剤及び半導体装置の製造方法
WO2020131556A1 (en) Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes
JP2024016143A (ja) ドライエッチング方法、ドライエッチング剤、及びその保存容器
CN110036460B (zh) 干式蚀刻剂组合物及干式蚀刻方法
WO2020195559A1 (ja) ドライエッチング方法及び半導体デバイスの製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination