TW202037759A - 乾式蝕刻方法、乾式蝕刻劑、及其保存容器 - Google Patents

乾式蝕刻方法、乾式蝕刻劑、及其保存容器 Download PDF

Info

Publication number
TW202037759A
TW202037759A TW109100724A TW109100724A TW202037759A TW 202037759 A TW202037759 A TW 202037759A TW 109100724 A TW109100724 A TW 109100724A TW 109100724 A TW109100724 A TW 109100724A TW 202037759 A TW202037759 A TW 202037759A
Authority
TW
Taiwan
Prior art keywords
etching
fluorine
dry etching
volume
nitrile compound
Prior art date
Application number
TW109100724A
Other languages
English (en)
Other versions
TWI824098B (zh
Inventor
大森啓之
上田辰徳
池田晋也
Original Assignee
日商中央硝子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商中央硝子股份有限公司 filed Critical 日商中央硝子股份有限公司
Publication of TW202037759A publication Critical patent/TW202037759A/zh
Application granted granted Critical
Publication of TWI824098B publication Critical patent/TWI824098B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C19/00Acyclic saturated compounds containing halogen atoms
    • C07C19/08Acyclic saturated compounds containing halogen atoms containing fluorine
    • C07C19/16Acyclic saturated compounds containing halogen atoms containing fluorine and iodine
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/02Etching, surface-brightening or pickling compositions containing an alkali metal hydroxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C17/00Preparation of halogenated hydrocarbons
    • C07C17/093Preparation of halogenated hydrocarbons by replacement by halogens
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C17/00Preparation of halogenated hydrocarbons
    • C07C17/361Preparation of halogenated hydrocarbons by reactions involving a decrease in the number of carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C19/00Acyclic saturated compounds containing halogen atoms
    • C07C19/08Acyclic saturated compounds containing halogen atoms containing fluorine
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

本發明之實施形態之乾式蝕刻方法之特徵在於:具有將乾式蝕刻劑電漿化之步驟、及使用電漿化之電漿氣體來蝕刻矽氧化物或矽氮化物之步驟,上述乾式蝕刻劑將CF3 I及碳數2或3之含氟直鏈腈化合物以上述含氟直鏈腈化合物相對於CF3 I為1體積ppm以上1體積%以下之濃度包含。

Description

乾式蝕刻方法、乾式蝕刻劑、及其保存容器
本發明係關於一種對矽系材料進行電漿蝕刻之方法、或用於該方法之乾式蝕刻劑及其保存容器。
近年來,於半導體加工上,微細化之研究得到發展,存在加工線寬變細,並且作為加工線寬與溝槽或孔之深度之比之縱橫比劇增之傾向。隨著該等半導體加工技術之發展,關於蝕刻步驟中所使用之蝕刻劑亦得到開發。
於該蝕刻步驟中,使用電漿之蝕刻裝置得到廣泛使用,且作為處理氣體,要求相對於PR膜或a-C膜,高選擇性地且以高速,即例如以3.0以上之選擇比且以例如50 nm/min以上之SiO2 蝕刻速度僅對SiO2 或SiN膜進行蝕刻。
先前,作為此類蝕刻氣體,已知有例如CF4 氣體、c-C4 F8 氣體、C4 F6 氣體等含氟飽和烴、或含氟不飽和烴。然而,若為先前之氣體,則選擇比不充分,加工時之蝕刻形狀未保持直線性,而未獲得充分之蝕刻速度等,從而越發難以應對近年來之微細化技術。
又,該等含氟飽和烴係大氣壽命較長之物質,且具有較高之全球增溫潛勢(GWP),因此於京都議定書(COP3)中成為排出限制物質。於半導體產業中謀求經濟性高且能夠微細化之低GWP之代替物質。
作為滿足該等必要條件之氣體,例如於專利文獻1中揭示有一種使用CF3 I之蝕刻方法作為於進行高縱橫比蝕刻後僅生成所需量之所需自由基、離子之方法。又,於專利文獻2中揭示有CF3 I之抗蝕層與含矽層(有機氧化矽層等)之蝕刻選擇比較CF4 變高。
再者,於專利文獻3中揭示有CF3 I之製造方法,其特徵在於:使特定碘源與式:CF3 R之反應物於金屬鹽觸媒之存在下進行反應。 [先前技術文獻] [專利文獻]
專利文獻1:日本專利特開平11-340211號公報 專利文獻2:日本專利特開2009-123866號公報 專利文獻3:日本專利特表2008-523089號公報(國際公開第2006/063184號)
通常,於保管CF3 I等液化高壓氣體時,一般使用金屬容器、金屬閥。CF3 I作為穩定之化合物為人所知,且於大多數情況下,不鏽鋼、碳鋼、黃銅、錳鋼等材質由於在價格方面有利而被用於容器或閥。然而,本發明者等人判明:將純化至99.99體積%以上所獲得之高純度CF3 I填充至錳鋼製儲氣瓶中,於實際作為蝕刻氣體來使用時,雖關於蝕刻速度或蝕刻形狀獲得了所設想之結果,但會發生對晶圓上之金屬污染。
於蝕刻氣體之開發中,除使與蝕刻形狀或遮罩之選擇比提高以外,還要求即便無法於半導體製造步驟中使晶圓上發生之金屬污染之量為0,亦至少極力地減少該金屬污染之量,因為該金屬污染會對半導體特性產生影響。另一方面,於專利文獻1~3中並無關於CF3 I之純度或雜質、晶圓上之金屬污染之記載。
自此種背景出發,要求於使用CF3 I之蝕刻中,於對蝕刻特性亦不會產生影響之情況下減少金屬污染之方法。
於該等背景下,本發明者等人對金屬污染之原因進行了調查,結果判明,於高純度化後填充有CF3 I之保存容器為原因,由於與錳鋼或不鏽鋼之接觸,故而微量之金屬成分以氟化物或碘化物之形式混入至CF3 I中。因此,本發明者等人進行了銳意研究,結果發現:向CF3 I中添加特定量之具有C≡N鍵之碳數2或3之含氟直鏈腈化合物,藉此抑制源自保存容器之對CF3 I中之金屬污染,又,即便於使用其混合氣體之蝕刻中,亦具有充分之與PR及SiO2 之選擇性,可獲得良好之蝕刻形狀,從而完成本發明。
即,本發明提供一種乾式蝕刻方法等,其具有對乾式蝕刻劑進行電漿化之步驟;及使用電漿化之乾式蝕刻劑來蝕刻矽氧化物或矽氮化物之步驟,且上述乾式蝕刻劑將CF3 I及碳數2或3之含氟直鏈腈化合物以上述含氟直鏈腈化合物相對於CF3 I為1體積ppm以上1體積%以下之濃度包含。
以下,對本發明之實施形態於以下進行說明。再者,本發明之範圍並不限定於該等說明,關於以下之例示以外,亦可於無損本發明之要旨之範圍內進行適當變更並實施。
本實施形態之乾式蝕刻方法係具有如下步驟之乾式蝕刻方法:對乾式蝕刻劑進行電漿化之步驟、及使用電漿化之乾式蝕刻劑來蝕刻矽氧化物或矽氮化物之步驟。上述乾式蝕刻劑至少含有CF3 I、及具有C≡N鍵之碳數2或3之含氟直鏈腈化合物。
本實施形態中所使用之CF3 I亦稱為三氟碘甲烷或三氟甲基碘,可利用先前公知之方法進行製造。例如,根據專利文獻4,可藉由使選自由碘化氫、碘及一氯化碘所組成之群中之碘源、與選自由式:CF3 R[式中,R選自由-SH、-S-S-CF3 、-S-苯基、及-S-S-(CH3 )3 所組成之群]之反應物所組成之群中之反應物於金屬鹽觸媒之存在下反應而獲得。
作為本實施形態中所使用之碳數2或3之含氟直鏈腈化合物,可例舉CH2 FC≡N、CHF2 C≡N、CF3 C≡N、CH2 FCF2 C≡N、CHF2 CF2 C≡N、CF3 CF2 C≡N等。其中較佳為使用CF3 C≡N及CF3 CF2 C≡N。若添加量相對於CF3 I為1體積ppm以上,則發現抑制金屬污染發生之充分效果。
另一方面,若著眼於對蝕刻特性之影響,則可知於專利文獻1之記載中,提示了目的以外之作為自由基種產生源之CF3 I中之微量雜質有可能產生影響,但不會對蝕刻特性造成較大影響。然而,過多之雜質會對CF3 I之蝕刻性能產生影響,因此較佳為1體積%(1萬體積ppm)以內,更佳為0.1體積%(1000體積ppm)以下。作為本發明之另一形態,設想如下情況:預先將碳數2或3之含氟直鏈腈化合物封入至容器內,對容器內表面進行鈍化處理。
矽氧化物係以SiOx (x為1以上2以下)之化學式表示,通常為SiO2 。又,矽氮化物係以SiNx (x為0.3以上9以下)之化學式表示,通常為Si3 N4
作為CF3 I之保存容器,只要為可於大氣壓以上封入氣液混合物之密閉容器,則可無需特別構造及構成材料而具有廣範圍之形態及功能。於使用作為一般高壓氣體之保存容器的由錳鋼或不鏽鋼所製作之儲氣瓶時可應用本發明。
錳鋼較佳為含97質量%以上之鐵,含1質量%以上2質量%以下之錳。即便於鎳或鉻不可避免地混入至錳鋼之情形,亦較佳為鎳之含量為0.25質量%以下,鉻之含量為0.35質量%以下。作為錳鋼,例如可使用JIS G 4053:2016所規定之SMn420、SMn433、SMn438、SMn443或JIS G 3429:2013所規定之STH11、STH12等。
於本實施形態中,所使用之CF3 I較佳為高純度化至99.95體積%以上。關於碳數2或3之含氟直鏈腈化合物,只要含有特定量即可,因此純度為90體積%以上即可。
其次,對本實施形態中之使用乾式蝕刻劑之蝕刻方法進行說明。
將CF3 I與含氟直鏈腈化合物之混合氣體、或於該混合氣體中加入有添加氣體及/或惰性氣體之乾式蝕刻劑的較佳組成比示於以下。再者,各種氣體之體積%總計為100體積%。
可僅將CF3 I與含氟直鏈腈化合物之混合氣體用於乾式蝕刻劑,但就成本效益或電漿穩定性之觀點而言,通常可與添加氣體及/或惰性氣體併用。例如,CF3 I與含氟直鏈腈化合物之混合氣體之相對於混合氣體、添加氣體及惰性氣體之合計的濃度較佳為1~90體積%,更佳為5~80體積%,進而較佳為10~60體積%。
又,添加氣體之相對於混合氣體、添加氣體及惰性氣體之合計的濃度較佳為0~50體積%,更佳為0~10體積%。
又,惰性氣體之相對於混合氣體、添加氣體及惰性氣體之合計的濃度較佳為0~98體積%,更佳為5~80體積%,進而更佳為300~50體積%。
本實施形態之蝕刻方法能夠於各種乾式蝕刻條件下實施。又,例如可以混合添加氣體或惰性氣體而成為所需之蝕刻速率、蝕刻選擇比及蝕刻形狀之方式添加各種添加劑或惰性氣體。作為添加氣體,可使用選自由O2 、O3 、CO、CO2 、COCl2 、COF2 、CF2 (OF)2 、CF3 OF、NO2 、NO、F2 、NF3 、Cl2 、Br2 、I2 、及YFn (式中Y表示Cl、Br、或I,n表示整數,為1≦n≦7)所組成之群中之至少1種氣體。又,為了獲得所需之蝕刻形狀或蝕刻速率,可加入1種以上之還原性氣體、氟碳、氫氟碳、含鹵素化合物(例如,選自由H2 、HF、HI、HBr、HCl、NH3 、CF4 、CF3 H、CF2 H2 、CFH3 、C2 F6 、C2 F4 H2 、C2 F5 H、C3 F8 、C3 F7 H、C3 F6 H2 、C3 F5 H3 、C3 F4 H4 、C3 F3 H5 、C3 F5 H、C3 F3 H、C3 ClF3 H、C4 F8 、C4 F6 、C5 F8 、C5 F10 、C3 F6 、C3 HF5 、C3 H2 F4 、及C3 H3 F3 所組成之群中之至少1種氣體)作為添加氣體來進行蝕刻。作為惰性氣體,可例舉N2 、He、Ar、Ne、Kr及Xe。
本實施形態之蝕刻方法可不限定於電容耦合型電漿(CCP)蝕刻、反應性離子蝕刻(RIE)、感應耦合型電漿(ICP)蝕刻、電子迴旋共振(ECR)電漿蝕刻及微波蝕刻等各種蝕刻方法而進行。
關於乾式蝕刻劑所含有之氣體成分,可分別獨立地導入至腔室內,或亦可於保存容器之後段預先調整為混合氣體後再導入至腔室內。供導入至反應腔室之乾式蝕刻劑之總流量可根據反應腔室之容積及排氣部之排氣能力,考慮上述濃度條件及壓力條件而進行適當選擇。
關於進行蝕刻時之壓力,為了獲得穩定之電漿,及為了提高離子之直線性以抑制側蝕,較佳為5 Pa以下,特佳為1 Pa以下。另一方面,若腔室內之壓力過低,則游離離子變少而無法獲得充分之電漿密度,因此較佳為0.05 Pa以上。
又,進行蝕刻時之基板溫度較佳為100℃以下,尤其是為了進行各向異性蝕刻,較理想為設為50℃以下、特佳為20℃以下。若於超過100℃之高溫下,則有時未於PR或a-C等遮罩材上充分地形成以來自氟碳之CFn 作為主成分之保護膜,而選擇性降低。又,若於高溫下,則有時未充分地形成側壁保護膜而蝕刻形狀成為帶弧度之形狀,即發生所謂稱為弓彎(Bowing)之形狀異常。
又,關於進行蝕刻時所產生之電極間之負之直流自偏壓電壓,只要根據所需之蝕刻形狀來進行選擇即可。例如於進行各向異性蝕刻時較理想為使以絕對值計500 V~10000 V左右之電極間電壓產生以使離子高能量化。若負之直流自偏壓電壓之絕對值過大,則有時放大離子之能量而導致選擇性降低。
關於蝕刻時間,若考慮元件製造過程之效率,則較佳為200分鐘以內。此處,所謂蝕刻時間,意指於腔室內使電漿產生,並使之與乾式蝕刻劑及試樣進行反應之時間。 [實施例]
於以下將本發明之實施例與比較例一起例舉,但本發明並不限制於以下之實施例。
[實施例1] (利用保存容器之保存) 圖1係用以臨時保管純化後之CF3 I之實施例、比較例中所使用之保存容器10容器的概略圖。作為保存容器,製作內容積10 L之錳鋼製耐壓容器10。向其中封入1000 g預先純化而高純度化至99.99體積%以上之CF3 I。其次,含有相對於CF3 I為2體積ppm之CF3 C≡N。
(蝕刻試驗) 為了調查添加劑對蝕刻特性產生之影響,而實施使用CF3 I與CF3 C≡N之混合氣體之蝕刻試驗。圖2係實施例、比較例中所使用之反應裝置20之概略圖。於腔室21內設置有:下部電極24,其具有保持晶圓之功能且亦作為載置台發揮作用;上部電極25;及壓力計22。又,於腔室21上部連接有氣體導入口26。於腔室21內,可一面調整壓力,一面藉由高頻電源(13.56 MHz)23來激發乾式蝕刻劑。藉此,可使經激發之乾式蝕刻劑與設置於下部電極24上之試樣28接觸,而對試樣28進行蝕刻。以如下方式構成:若於導入有乾式蝕刻劑之狀態下,自高頻電源23施加高頻電力,則由於電漿中之離子與電子之移動速度之差,可使稱為自偏壓電壓之直流電壓於上部電極25與下部電極24之間產生。腔室21內之氣體經由氣體排出管線27排出。
作為試樣28,將具有SiO2 膜之矽晶圓A、具有SiN(Si3 N4 )膜之矽晶圓B、具有PR(光阻)膜之矽晶圓C設置於冷卻至15℃之載置台上。SiO2 膜及SiN膜係藉由CVD(Chemical Vapor Deposition,化學氣相沈積)法所製作。又,PR膜係藉由塗佈所製作。此處,將作為蝕刻劑之CF3 I與CF3 C≡N之混合物、O2 及Ar分別設為25 sccm、25 sccm、500 sccm,使經充分混合之該等氣體於腔室內流通,以400 W施加高頻電力,使蝕刻劑電漿化,藉此進行蝕刻。
於蝕刻後,根據矽晶圓A之SiO2 膜、矽晶圓B之SiN膜、及矽晶圓C之PR膜之蝕刻前後之厚度變化求出蝕刻速度。進而,將用SiO2 及SiN之蝕刻速度除以PR之蝕刻速度所得之值作為各自之蝕刻選擇比而求出。
(晶圓上之金屬量之測定) 其次,測定附著於具有SiO2 膜之矽晶圓A上之金屬之量。於測定時使用JIS K0160:2009所規定之方法來進行測定。即,將氫氟酸加入至塑膠製燒杯中並置於稱為VPD(氣相分解)容器之PFA(全氟烷氧基氟樹脂)製容器內,將蝕刻後之SiO2 成膜晶圓放置於設於VPD容器內之晶圓台上。其後,關上VPD容器,利用氫氟酸蒸氣使上述晶圓上之氧化膜分解10分鐘。向分解氧化物後之晶圓之表面滴加100 μL之掃描溶液(超純水)以掃描整個晶圓表面。掃描後,對於掃描過之所有液滴進行乾燥,再次利用超純水溶解後,藉由ICP-MS(感應耦合電漿質量分析計)進行分析。所得之分析值係根據溶解液量及晶圓之表面積而換算為晶圓每1 cm2 之金屬原子數。結果為,鐵之分析值為6.5×1011 atms/cm2
(蝕刻形狀評估) 進行上述蝕刻試驗後,一次性取出晶圓A~C,將蝕刻形狀評估用之晶圓D設置於載置台上。晶圓D係於矽晶圓上成膜膜厚200 nm之SiO2 膜後,塗佈300 nm之具有直徑100 nm之圓形孔狀開口部之光阻膜而獲得。藉由蝕刻試驗之項目中所記載之方法進行5分鐘之蝕刻後,拍攝剖面SEM照片,觀察其蝕刻形狀。結果確認到實現了無塌肩或弓彎等蝕刻形狀異常之蝕刻。
[實施例2] 使用向CF3 I加入CF3 C≡N所獲得之CF3 C≡N之含量為25體積ppm之CF3 I,除此以外,在與實施例1相同之條件下製作保存試驗樣本。又,蝕刻試驗亦以與實施例1相同之方式進行。針對蝕刻形狀,亦以與實施例1相同之方式進行評估,結果確認到實現了無塌肩或弓彎等蝕刻形狀異常之蝕刻。
[實施例3] 使用向CF3 I加入CF3 C≡N所獲得之CF3 C≡N之含量為129體積ppm之CF3 I,除此以外,在與實施例1相同之條件下製作保存試驗樣本。又,蝕刻試驗亦以與實施例1相同之方式進行。針對蝕刻形狀,亦以與實施例1相同之方式進行評估,結果確認到實現了無塌肩或弓彎等蝕刻形狀異常之蝕刻。
[實施例4] 使用向CF3 I加入CF3 C≡N所獲得之CF3 C≡N之含量為1231體積ppm(約0.1體積%)之CF3 I,除此以外,在與實施例1相同之條件下製作保存試驗樣本。又,蝕刻試驗亦以與實施例1相同之方式進行。針對蝕刻形狀,亦以與實施例1相同之方式進行評估,結果確認到實現了無塌肩或弓彎等蝕刻形狀異常之蝕刻。
[實施例5] 使用向CF3 I加入CF3 C≡N所獲得之CF3 C≡N之含量為7927體積ppm(約0.8體積%)之CF3 I,除此以外,在與實施例1相同之條件下製作保存試驗樣本。又,蝕刻試驗亦以與實施例1相同之方式進行。針對蝕刻形狀,亦以與實施例1相同之方式進行評估,結果確認到實現了無塌肩或弓彎等蝕刻形狀異常之蝕刻。
[實施例6] 使用向CF3 I加入CF3 C≡N所獲得之CF3 C≡N之含量為9328體積ppm(約0.9體積%)之CF3 I,除此以外,在與實施例1相同之條件下製作保存試驗樣本。又,蝕刻試驗亦以與實施例1相同之方式進行。針對蝕刻形狀,亦以與實施例1相同之方式進行評估,結果確認到實現了無塌肩或弓彎等蝕刻形狀異常之蝕刻。
[實施例7] 使用向CF3 I加入CF3 CF2 C≡N所獲得之CF3 CF2 C≡N之含量為235體積ppm之CF3 I,除此以外,在與實施例1相同之條件下製作保存試驗樣本。又,蝕刻試驗亦以與實施例1相同之方式進行。針對蝕刻形狀,亦以與實施例1相同之方式進行評估,結果確認到實現了無塌肩或弓彎等蝕刻形狀異常之蝕刻。
[比較例1] 使用進行純化所得之CF3 C≡N之含量不足0.1體積ppm之CF3 I,除此以外,在與實施例1相同之條件下製作保存試驗樣本。又,蝕刻試驗亦以與實施例1相同之方式進行。針對蝕刻形狀,亦以與實施例1相同之方式進行評估,結果確認到實現了無塌肩或弓彎等蝕刻形狀異常之蝕刻。
[比較例2] 使用向CF3 I加入CF3 C≡N所獲得之CF3 C≡N之含量為25936體積ppm(約2.6體積%)之CF3 I,除此以外,在與實施例1相同之條件下製作保存試驗樣本。又,蝕刻試驗亦以與實施例1相同之方式進行。針對蝕刻形狀,亦以與實施例1相同之方式進行評估,結果雖無塌肩或弓彎等蝕刻形狀異常,但伴隨SiO2 /PR選擇比之降低,光阻之蝕刻量多於實施例1至6。
[比較例3] 使用向CF3 I加入CF3 C≡N所獲得之CF3 C≡N之含量為111608體積ppm(約11體積%)之CF3 I,除此以外,在與實施例1相同之條件下製作保存試驗樣本。又,蝕刻試驗亦以與實施例1相同之方式進行。針對蝕刻形狀,亦以與實施例1相同之方式進行評估,結果雖無弓彎,但伴隨SiO2 /PR選擇比之降低,光阻之蝕刻量較實施例1至6大幅增加,並於一部分圖案中發現塌肩。
[比較例4] 使用包含28體積ppm之CF3 C≡CH代替CF3 C≡N之CF3 I,除此以外,在與實施例1相同之條件下實施試驗。又,蝕刻試驗亦以與實施例1相同之方式進行。針對蝕刻形狀,亦以與實施例1相同之方式進行評估,結果確認到實現了無塌肩或弓彎等蝕刻形狀異常之蝕刻。
[比較例5] 使用包含8523體積ppm之不含氟之CH3 C≡N代替CF3 C≡N之CF3 I,除此以外,在與實施例1相同之條件下實施試驗。又,蝕刻試驗亦以與實施例1相同之方式進行。針對蝕刻形狀,亦以與實施例1相同之方式進行評估,結果雖無塌肩或弓彎等蝕刻形狀異常,但伴隨SiO2 /PR選擇比之降低,光阻之蝕刻量多於實施例1至6。
將以上之結果彙總於表1。 [表1]
   添加劑 蝕刻試驗 晶圓上之 鐵濃度 蝕刻 形狀
種類 濃度 SiO2 SiN PR SiO2 /PR
[體積ppm] [nm/min.] - [atms/cm2 ]
實施例1 CF3 C≡N 2 80.5 128.8 23.2 3.47 6.5×1011 良好
實施例2 CF3 C≡N 25 81.2 129.9 23.7 3.43 4.2×1011 良好
實施例3 CF3 C≡N 129 82.5 132.0 22.9 3.60 3.1×1011 良好
實施例4 CF3 C≡N 1231 80.1 128.2 23.5 3.40 3.3×1011 良好
實施例5 CF3 C≡N 7927 80.9 129.8 24.5 3.31 4.1×1011 良好
實施例6 CF3 C≡N 9328 81.0 130.2 25.4 3.19 3.6×1011 良好
實施例7 CF3 CF2 C≡N 235 74.6 131.0 21.8 3.43 3.1×1011 良好
比較例1 - <0.1 80.9 129.4 23.5 3.44 4.8×1012 良好
比較例2 CF3 C≡N 25936 81.4 132.5 27.8 2.92 3.6×1011 選擇比變差
比較例3 CF3 C≡N 111608 80.5 145.1 44.3 1.82 2.8×1011 塌肩
比較例4 CF3 C≡CH 28 81.1 137.9 22.9 3.54 5.6×1012 良好
比較例5 GH3 C≡N 8523 75.2 128.1 28.2 2.67 8.9×1011 選擇比變差
於比較例1及比較例4中,雖蝕刻特性良好,但自晶圓上檢測出鐵成分。認為其原因在於:未產生藉由碳數2或3之含氟直鏈腈化合物來抑制鐵成分對晶圓上之污染。另一方面,如實施例1~7之結果所示,若為含有CF3 C≡N或CF3 CF2 C≡N之CF3 I,則鐵污染非常少。關於保存容器之腐蝕得到抑制之過程,亦存在不明之處,但考慮有如下情況:含氟直鏈腈化合物於保存容器之內表面形成鈍態膜而防止鐵成分自保存容器溶出;或含氟直鏈腈化合物使CF3 I中所含之成為鐵之污染源之物質之蒸氣壓大幅地下降;或含氟直鏈腈化合物抑制鐵成分附著於晶圓上等。
然而,於在CF3 I中包含含氟直鏈腈化合物之情形,視含氟直鏈腈化合物之含量,SiO2 及SiN相對於PR之蝕刻選擇比產生變化。於各實施例中,SiO2 相對於PR之選擇比(SiO2 /PR)充分,尤其是含氟直鏈腈化合物之含量為10000體積ppm(1體積%)以下之實施例1~7係SiO2 /PR蝕刻選擇比超過3,為良好。又,於孔圖案蝕刻中,亦未產生蝕刻形狀之異常。因此,可謂於使用包含1體積ppm以上10000體積ppm以下之含氟直鏈腈化合物之CF3 I時獲得了良好之蝕刻特性。
另一方面,如比較例2及比較例3所示,於含有超過10000體積ppm之CF3 C≡N之情形時,發現SiO2 /PR之蝕刻選擇比降低之傾向顯著。於圖案蝕刻時,抗蝕部分之蝕刻量明顯地增加,至於比較例3,就連SiO2 膜表面亦被蝕刻,成為作為蝕刻氣體之性能大幅變差之結果。
於混入有大量CF3 C≡N之情形時,於形成上述以CFn 作為主成分之保護膜時,分子內之氮作為CFn 膜之聚合抑制劑發揮作用,保護膜之形成變得不充分。因此,考慮由於CF3 C≡N,而促進對遮罩之蝕刻,導致選擇性之降低。認為此現象於使用其他含氟直鏈腈化合物之情形時亦同樣會發生。
另一方面,於比較例4中,對CF3 C≡N以外之添加劑之影響進行了調查。結果於CF3 C≡CH時未發現如CF3 C≡N時可見之防止鐵污染之效果。
另一方面,於比較例5中,對CF3 C≡N以外之不含氟之添加劑之影響進行了調查。結果於CH3 C≡N時由於不含氟,故而以CFn 膜為主成分之保護膜之生成不充分,或因此SiO2 /PR之蝕刻選擇比變差。又,防止鐵污染之效果亦小於CF3 C≡N。
如上所述,根據本發明,於使用CF3 I之蝕刻中,可不影響蝕刻特性而減少金屬污染量。
10:保存試驗容器 11:試樣 12:閥 13:蓋 14:耐壓容器 20:反應裝置 21:腔室 22:壓力計 23:高頻電源 24:下部電極 25:上部電極 26:氣體導入口 27:氣體排出管線 28:試樣
圖1係實施例、比較例中所使用之保存試驗容器10之概略圖。 圖2係實施例、比較例中所使用之反應裝置20之概略圖。
20:反應裝置
21:腔室
22:壓力計
23:高頻電源
24:下部電極
25:上部電極
26:氣體導入口
27:氣體排出管線
28:試樣

Claims (9)

  1. 一種乾式蝕刻方法,其具有如下步驟: 將乾式蝕刻劑電漿化之步驟、及 使用電漿化之乾式蝕刻劑對矽氧化物或矽氮化物進行蝕刻之步驟, 上述乾式蝕刻劑將CF3 I及碳數2或3之含氟直鏈腈化合物以上述含氟直鏈腈化合物相對於CF3 I為1體積ppm以上1體積%以下之濃度包含。
  2. 如請求項1之乾式蝕刻方法,其中上述碳數2或3之含氟直鏈腈化合物為CF3 C≡N或CF3 CF2 C≡N。
  3. 如請求項1之乾式蝕刻方法,其中上述蝕刻劑含有添加氣體, 上述添加氣體係選自由O2 、O3 、CO、CO2 、COCl2 、COF2 、CF2 (OF)2 、CF3 OF、NO2 、NO、F2 、NF3 、Cl2 、Br2 、I2 、及YFn (式中Y表示Cl、Br、或I,n表示整數,1≦n≦7)所組成之群中之至少一種氣體。
  4. 如請求項1之乾式蝕刻方法,其中上述蝕刻劑含有添加氣體, 上述添加氣體係選自由H2 、HF、HI、HBr、HCl、NH3 、CF4 、CF3 H、CF2 H2 、CFH3 、C2 F6 、C2 F4 H2 、C2 F5 H、C3 F8 、C3 F7 H、C3 F6 H2 、C3 F5 H3 、C3 F4 H4 、C3 F3 H5 、C3 F5 H、C3 F3 H、C3 ClF3 H、C4 F8 、C4 F6 、C5 F8 、C5 F10 、C3 F6 、C3 HF5 、C3 H2 F4 、及C3 H3 F3 所組成之群中之至少一種氣體。
  5. 如請求項1至4中任一項之乾式蝕刻方法,其中上述乾式蝕刻劑進而含有惰性氣體, 上述惰性氣體選自由N2 、He、Ar、Ne、Kr及Xe所組成之群。
  6. 一種乾式蝕刻劑,其將CF3 I及碳數2或3之含氟直鏈腈化合物以上述含氟直鏈腈化合物相對於CF3 I為1體積ppm以上1體積%以下之濃度包含。
  7. 一種保存容器,其填充有如請求項6之乾式蝕刻劑並密閉。
  8. 一種保存容器,其填充有如下混合物並密閉,該混合物將CF3 I及碳數2或3之含氟直鏈腈化合物以上述含氟直鏈腈化合物相對於CF3 I為1體積ppm以上1體積%以下之濃度包含。
  9. 如請求項7或8之保存容器,其中上述保存容器之材質為錳鋼或不鏽鋼。
TW109100724A 2019-01-23 2020-01-09 乾式蝕刻方法、乾式蝕刻劑、及其保存容器 TWI824098B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019-008990 2019-01-23
JP2019008990 2019-01-23

Publications (2)

Publication Number Publication Date
TW202037759A true TW202037759A (zh) 2020-10-16
TWI824098B TWI824098B (zh) 2023-12-01

Family

ID=71735737

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109100724A TWI824098B (zh) 2019-01-23 2020-01-09 乾式蝕刻方法、乾式蝕刻劑、及其保存容器

Country Status (7)

Country Link
US (1) US20220115240A1 (zh)
JP (2) JPWO2020153066A1 (zh)
KR (1) KR20210114509A (zh)
CN (1) CN113330539A (zh)
SG (1) SG11202107622UA (zh)
TW (1) TWI824098B (zh)
WO (1) WO2020153066A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6956288B2 (ja) * 2020-04-30 2021-11-02 東京エレクトロン株式会社 基板処理方法、プラズマ処理装置、及びエッチングガス組成物

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3336975B2 (ja) 1998-03-27 2002-10-21 日本電気株式会社 基板処理方法
EP1744092A4 (en) * 2004-03-10 2012-02-29 Zeon Corp DEVICE FOR MANUFACTURING GAS, TUBES FOR THE SUPPLY OF GAS AND GAS FOR USE IN THE MANUFACTURE OF ELECTRONIC DEVICES
US6977316B1 (en) 2004-12-08 2005-12-20 Honeywell International Inc. Direct one-step synthesis of trifluoromethyl iodide
JP4826235B2 (ja) * 2005-12-01 2011-11-30 三菱瓦斯化学株式会社 半導体表面処理剤
US20080191163A1 (en) * 2007-02-09 2008-08-14 Mocella Michael T Laser-Assisted Etching Using Gas Compositions Comprising Unsaturated Fluorocarbons
JP2009123866A (ja) 2007-11-14 2009-06-04 Nec Electronics Corp 半導体装置の製造方法、および被エッチング膜の加工方法
TWI558800B (zh) * 2012-03-14 2016-11-21 福吉米股份有限公司 硏磨用組成物及半導體基板之製造方法
US9659788B2 (en) * 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
JPWO2017159544A1 (ja) * 2016-03-15 2019-01-24 日本ゼオン株式会社 ドライエッチング用組成物およびドライエッチング用組成物充填済み容器
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US20170110336A1 (en) * 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes
US10347498B2 (en) * 2016-12-31 2019-07-09 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods of minimizing plasma-induced sidewall damage during low K etch processes

Also Published As

Publication number Publication date
WO2020153066A1 (ja) 2020-07-30
TWI824098B (zh) 2023-12-01
JPWO2020153066A1 (ja) 2021-12-02
US20220115240A1 (en) 2022-04-14
KR20210114509A (ko) 2021-09-23
CN113330539A (zh) 2021-08-31
SG11202107622UA (en) 2021-08-30
JP2024016143A (ja) 2024-02-06

Similar Documents

Publication Publication Date Title
TWI431686B (zh) Etching gas
TWI631618B (zh) Dry etching method, dry etchant, and method of manufacturing semiconductor device
US20120285492A1 (en) Methods of dry stripping boron-carbon films
KR100682042B1 (ko) 플라즈마 클리닝 가스 및 플라즈마 클리닝 방법
JP2024016143A (ja) ドライエッチング方法、ドライエッチング剤、及びその保存容器
KR20070019067A (ko) 폴리실리콘 제거용 조성물, 이를 이용한 폴리실리콘 제거방법 및 반도체 장치의 제조 방법
JP2016139782A (ja) ドライエッチング方法
JP7445150B2 (ja) ドライエッチング方法及び半導体デバイスの製造方法
CN110036460B (zh) 干式蚀刻剂组合物及干式蚀刻方法
TWI636121B (zh) 乾式蝕刻方法及乾式蝕刻劑
US20040231695A1 (en) Cleaning gas for semiconductor production equipment and cleaning method using the gas
JP5214316B2 (ja) プラズマ成膜装置のクリーニング方法
WO2023234305A1 (ja) エッチング方法
WO2023234304A1 (ja) エッチング方法
US20220051898A1 (en) Etching method using halogen fluoride and method for producing semiconductor
WO2023074511A1 (ja) エッチングガス及びそれを用いたエッチング方法