JP7445150B2 - ドライエッチング方法及び半導体デバイスの製造方法 - Google Patents

ドライエッチング方法及び半導体デバイスの製造方法 Download PDF

Info

Publication number
JP7445150B2
JP7445150B2 JP2021508873A JP2021508873A JP7445150B2 JP 7445150 B2 JP7445150 B2 JP 7445150B2 JP 2021508873 A JP2021508873 A JP 2021508873A JP 2021508873 A JP2021508873 A JP 2021508873A JP 7445150 B2 JP7445150 B2 JP 7445150B2
Authority
JP
Japan
Prior art keywords
dry etching
gas
etching method
etching
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021508873A
Other languages
English (en)
Other versions
JPWO2020195559A1 (ja
JPWO2020195559A5 (ja
Inventor
啓之 大森
俊太 古谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Central Glass Co Ltd
Original Assignee
Central Glass Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Central Glass Co Ltd filed Critical Central Glass Co Ltd
Publication of JPWO2020195559A1 publication Critical patent/JPWO2020195559A1/ja
Publication of JPWO2020195559A5 publication Critical patent/JPWO2020195559A5/ja
Application granted granted Critical
Publication of JP7445150B2 publication Critical patent/JP7445150B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/10Etching, surface-brightening or pickling compositions containing an inorganic acid containing a boron compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Description

本開示は、ヨウ化フッ化炭素化合物又は臭化フッ化炭素化合物を含むドライエッチング剤を用いたドライエッチング方法に関する。
今日、半導体デバイスの製造においては、微細化が進められており、エッチング技術についても線幅の縮小に対応した新たな手法または材料が求められている。
通常、半導体デバイスの製造においては、シリコン窒化物やシリコン酸化物などのシリコン化合物に対して、ホールやトレンチなどのパターンを形成するエッチング工程が存在する。このようなエッチング工程においては、シリコン化合物からなる被エッチング材の上に、特定のパターンを形成したフォトレジストやアモルファスカーボンなどからなるマスク層を形成し、プラズマエッチングを行う。こうすることにより、シリコン化合物に対して、異方的なエッチングが可能となり、所望するパターンをシリコン化合物上に形成することができる。
線幅の縮小で問題となるのは、フォトレジストやアモルファスカーボンなどのマスク材と被エッチング材であるシリコン窒化物やシリコン酸化物などのシリコン化合物とのエッチング選択比が十分に得られないことよるパターンの崩壊の発生があげられる。
このような不具合を防止するため、エッチングガスとしてCF4などの飽和フッ化炭素に代えてc-C48やC46などの不飽和フッ化炭素が用いられるようになってきた。これらの不飽和フッ化炭素ガスは、プラズマ中でCF2ラジカルやCFラジカルを多く生成することが知られている。これらのラジカル種は、エッチングにより形成されたトレンチ又はエッチング孔の側壁及びマスク上に重合膜を形成することにより、フッ素ラジカルなどによる過剰なエッチングを抑制する効果が得られる。
しかしながら、このような不飽和フッ化炭素を用いた場合、CF2ラジカルやCFラジカルおよび主なエッチング種であるCF3イオンの比率を制御することが困難であったため、十分なエッチング速度と選択比を両立することが困難であった。
上記課題を解決するため、例えば、特許文献1では、分子内に少なくとも第1のハロゲン元素と該第1ハロゲン元素よりも原子番号の大きい第2のハロゲン元素を含有するガスを用い、励起手段により前記ガス分子に対し、該分子内における第2のハロゲン元素の結合解離エネルギーよりも大きくかつ第1のハロゲン元素の結合解離エネルギーよりも小さいエネルギーを供給して前記ガス分子から第2のハロゲン元素を選択的に取り去った活性種を生成し、当該活性種をシリコンウエハ上にSiO2を堆積し、レジストを形成した基板に照射することを特徴とする基板処理方法が開示されている。上記、分子内に少なくとも第1のハロゲン元素と該第1ハロゲン元素よりも原子番号の大きい第2のハロゲン元素を含有するガスとしては、例えばCF3IやC25Iなどのヨウ化フッ化炭素化合物やCF2Br2などの臭化フッ化炭素化合物があげられる。
また、特許文献2には、所定のパターンが形成されたレジスト層をマスクとして基板上のシリコン含有層を、CF3Iを用いてエッチングする第一エッチング工程が開示されている。特許文献3には、レジストマスクに覆われた、基板上のSiO2の層間絶縁膜をCF3I等のヨウ化フッ化炭素化合物ガスやCF3Br等の臭化フッ化炭素化合物ガスを用いてエッチングするドライエッチング方法が開示されている。
また、特許文献4には、C324と、不飽和パーフルオロカーボンと、酸化性ガスと、を含むドライエッチング剤を用いて、シリコン酸化物層とシリコン窒化物層の積層膜をエッチングするドライエッチング方法が開示されている。
特開平11-340211号公報 特開2009-123866号公報 特開2006-108484号公報 国際公報第2017/026197号
前述のように、特許文献1~3には、CF3Iを始めとするヨウ化フッ化炭素化合物またはCF2Br2など臭化フッ化炭素化合物をエッチングガスとして用いたエッチング方法が示されており、所望のエッチング形状を得るうえで有用である。しかしながら、この方法によりエッチングを行った場合、プラズマ分解によって生じたヨウ素原子または臭素原子が系内に滞在し、基板上に付着することでエッチング処理後も基板上にヨウ素原子または臭素原子が残存し、素子特性に影響を及ぼすという問題点があることが判明した。より具体的には、基板上へのヨウ素原子または臭素原子の残存量は1cm2あたりおよそ1×1011原子以下であることが好ましいとされ、先端材料であれば、工程によっては、5×1010原子以下まで低減することが求められる場合もある。
これらの事情から、ヨウ化フッ化炭素化合物または臭化フッ化炭素化合物をエッチングガスとして用いた際にも、基板上へのヨウ素原子又は臭素原子の残存の少ないエッチングガスおよびエッチング方法が望まれていた。
また、特許文献4には、添加ガスとして、ヨウ化フッ化炭素化合物及び臭化フッ化炭素化合物は挙げられておらず、基板上へのヨウ素原子又は臭素原子の残存については言及されていない。
本開示は、上記の問題点に鑑みてなされたものであり、ヨウ化フッ化炭素化合物または臭化フッ化炭素化合物を用いて、基板上のシリコン化合物膜をエッチングする際にも、基板上にヨウ素原子又は臭素原子の残存の少ないエッチング方法を提供するものである。
本発明者等は、上記目的を達成すべく種々検討した結果、不飽和結合を有する不飽和フルオロカーボンおよび不飽和結合を有する含水素不飽和フルオロカーボンを、ヨウ化フッ化炭素化合物または臭化フッ化炭素化合物と併用することにより、イオン種の比率を制御しつつも基板上へのヨウ素原子または臭素原子の残存が抑えられることを見出し、本開示に至った。
すなわち、本開示は、シリコン化合物膜を有する基板に対して、前記シリコン化合物膜上に形成された所定の開口パターンを有するマスクを介して、ドライエッチング剤をプラズマ化した上で、前記シリコン化合物膜をエッチングする方法であって、前記ドライエッチング剤が、少なくとも、ヨウ化フッ化炭素化合物または臭化フッ化炭素化合物を含み、さらにCnm(n=2~5の整数、m=2、4、6、8で表される整数、ただし、n:m=2:6、2:8、3:2、3:8、5:2の組み合わせを除く)で表される不飽和フルオロカーボンと、Cxyz(x=2~4の整数、y+z=2、4、6、8で表される整数、ただし、x:y+z=2:6、2:8、3:2、3:8の組み合わせを除く、で表される整数)で表される含水素不飽和フルオロカーボンと、酸化性ガスと、を含むことを特徴とするドライエッチング方法を提供するものである。
実施例・比較例で使用した反応装置の概略図である。
以下、本開示の実施形態について以下に説明する。なお、本開示の範囲は、これらの説明に拘束されることはなく、以下の例示以外についても、本開示の趣旨を損なわない範囲で適宜変更し、実施することができる。
[ドライエッチング方法]
本実施形態によるドライエッチング方法では、第1のガス~第4のガスを全て含むドライエッチング剤を使用し、プラズマエッチングを行うことで、基板上に形成されたSiO2やSi34といったシリコン化合物膜をエッチングする。第1のガスは、ヨウ化フッ化炭素化合物及び臭化フッ化炭素化合物からなる群から選ばれる1以上の化合物である。第2のガスは、Cnm(n=2~5の整数、m=2、4、6、8で表される整数、ただし、n:m=2:6、2:8、3:2、3:8、5:2の組み合わせを除く)で表される不飽和フルオロカーボンである。第3のガスは、Cxyz(x=2~4の整数、y+z=2、4、6、8で表される整数、ただし、x:y+z=2:6、2:8、3:2、3:8の組み合わせを除く、で表される整数)で表される含水素不飽和フルオロカーボンである。第4のガスは、酸化性ガスである。
ヨウ化フッ化炭素化合物としては、例えば、CF3I、CF22、CFI3、C25I、C242、C233、C224、C2FI5、C37Iが挙げられる。また、臭化フッ化炭素化合物としては、例えば、CF3Br、CF2Br2、CFBr3、C25Br、C24Br2、C23Br3、C22Br4、C2FBr5、C37Brが挙げられる。なお、ヨウ化フッ化炭素化合物と臭化フッ化炭素化合物は、単独または併用して用いることができる。
nmで表される不飽和フルオロカーボンとしては、例えば、C22、C24、C34、C36、C42、C44、C46、C48、C54、C56、C58が挙げられ、製造の容易さからC36、C46、C58が好ましい。
xyzで表される含水素不飽和フルオロカーボンとしては、例えば、C2HF、C23F、C222、C2HF3、C3HF3、C322、C33F、C35F、C342、C333、C324、C3HF5、C4HF、C4HF3、C422、C43F、C4HF5、C424、C433、C442、C45F、C4HF7、C426、C435、C444、C453、C462、C47Fが挙げられ、製造の容易さからC333、C324、C3HF5が好ましい。なお、エッチング性能の観点からは、その選択比の高さからC324であることがさらに好ましい。
シリコン化合物膜は、シリコン酸化物膜及びシリコン窒化物膜からなる群から選ばれる1以上の膜である。シリコン酸化物膜とシリコン窒化物膜は、単独又は併用して用いることができる。シリコン酸化物はSiOx(xは1以上2以下)の化学式で表され、通常はSiO2である。また、シリコン窒化物はSiNx(xは0.3以上9以下)の化学式で表され、通常はSi34である。
本実施形態のドライエッチング方法を行う基板としては、シリコン化合物膜を有すれば特に限定されない。但し、ヨウ素原子又は臭素原子の残存を減らす効果を顕著に示すため、基板はシリコンで構成された部材を有することが好ましい。シリコンとしては、単結晶、多結晶、アモルファスのいずれであってもよい。すなわち、基板自体がシリコンウエハであるか、基板上にシリコン化合物膜以外にシリコン膜を有するかのいずれか又は両方であることが好ましい。具体的には、シリコン化合物膜を有するシリコンウエハ、シリコン化合物膜とシリコン膜の両方を有するシリコンウエハ、またはシリコン化合物膜とシリコン膜の両方を有するシリコンウエハ以外の基板に対して、本実施形態のドライエッチング方法を用いることができる。
本実施形態において、基板上にヨウ素原子又は臭素原子の残存を減らすことができるメカニズムは定かではないが、以下のように推測される。
ヨウ化フッ化炭素化合物または臭化フッ化炭素化合物をエッチングガスとして用いた場合には、前記特許文献1によれば、プラズマ中はヨウ素原子または臭素原子と炭素原子の結合が切れやすく、ヨウ素原子または臭素原子のラジカル、イオンまたはその両方が遊離する。
これらのラジカル、イオンまたはその両方は電気的な引力や拡散によって基板上に搬送される。その際、基板表面上のシリコンのダングリングボンドと結合することにより、エッチング処理後もヨウ素原子または臭素原子が基板上に残存してしまう。
通常、エッチング処理後にはアッシングまたは熱アニーリングによって基板上に堆積した重合膜を除去する工程を経て、洗浄液による洗浄工程が実施される。しかしながら、近年の半導体の微細化により洗浄工程で発生するパターン倒れと呼ばれる不具合が深刻となり、一部ラインでは洗浄工程を実施しない場合がある。そのような場合には、これまで洗浄工程にて除去されていた基板表面上のヨウ素原子または臭素原子の残存がより顕著に生じると考えられる。
本実施形態では、不飽和フルオロカーボンおよび含水素不飽和フルオロカーボンを用いるが、含水素不飽和フルオロカーボンに含まれる水素原子は分子から分解され、原子量の小ささからプラズマ中でも容易に拡散し、ダングリングボンドと優先的に結合する。つまり、水素原子がシリコンのダングリングボンドとすばやく結合して、ヨウ素原子または臭素原子のラジカル等がシリコンのダングリングボンドと結合することを防ぐ効果が見込まれる。更には、水素原子がヨウ素原子あるいは臭素原子と結合した場合には、HIあるいはHBrとして、これらの元素を系外に排出する効果が見込まれる。これらの効果により、含水素不飽和フルオロカーボンに含まれる水素原子は、エッチングガス中に含まれるヨウ素原子または臭素原子が基板表面上に結合を生成し、基板表面上にとどまることを妨げる効果があると考えられる。
さらに、不飽和フルオロカーボンおよび含水素不飽和フルオロカーボンは、不飽和結合を有しない飽和フルオロカーボンおよび含水素飽和フルオロカーボンに比べて重合性が高いという特徴がある。特に水素を含まない不飽和フルオロカーボンの方が重合性は高い。プラズマエッチング中に基板上にもフルオロカーボンの重合膜を形成され、この重合膜はエッチング中には保護膜として働き、過剰なエッチングを抑制する効果がある。しかし、エッチング後には不要となるため、アッシング又は熱アニーリングにより除去される。系外に排出されなかったヨウ素原子又は臭素原子はこの重合膜にも取り込まれることになるが、その後のアッシング又は熱アニーリングによって、重合膜と同時に除去されると考えられる。
つまり、ヨウ素原子または臭素原子が基板表面上へ結合するのを水素原子によって抑制する効果、および、重合膜へ取り込まれたヨウ素原子または臭素原子が重合膜と同時に除去される効果の両方によって、基板上へのヨウ素原子又は臭素原子の残存が抑えられると考えられる。
本実施形態のドライエッチング方法を行った後の、ドライエッチング剤が接触した基板の表面に残存する、ヨウ素原子及び臭素原子の量が、1×1011原子/cm2以下であることが好ましい。
第1のガスに含まれるヨウ素原子及び臭素原子の数が、第3のガスに含まれる水素原子の数の2倍以下であることが好ましく、1倍以下であることがより好ましい。ドライエッチング剤中のヨウ素原子又は臭素原子が、水素原子の量の2倍より多いと、ヨウ素原子又は臭素原子の基板上への残存が多くなるためである。
すなわち、前記第1のガスに含まれるヨウ化フッ化炭素化合物または臭化フッ化炭素化合物1分子に含まれるヨウ素原子または臭素原子の数をNA、前記含水素不飽和フルオロカーボン分子に含まれる水素原子の数をNBとし、ドライエッチング剤中の体積分率をそれぞれVA、VBとしたとき、
A×VA≦2×NB×VB
となることが好ましく、
A×VA≦NB×VB
となることがより好ましい。
ヨウ化フッ化炭素化合物または臭化フッ化炭素化合物、不飽和フルオロカーボン、および含水素不飽和フルオロカーボンの混合比については、ヨウ化フッ化炭素化合物または臭化フッ化炭素化合物(第1のガス)の体積が、不飽和フルオロカーボン(第2のガス)と含水素不飽和フルオロカーボン(第3のガス)の合計の体積の0.01倍以上2倍以下の範囲であることが望ましく、ヨウ化フッ化炭素化合物または臭化フッ化炭素化合物の効果をより高めるためには、0.1倍以上1倍以下の範囲であることがさらに望ましい。
不飽和フルオロカーボンと含水素不飽和フルオロカーボンの混合比については、含水素不飽和フルオロカーボンの混合比が低すぎると、水素原子によるヨウ素原子または臭素原子の基板上への残存を抑える効果が低下してしまい、不飽和フルオロカーボンの混合比が低すぎると重合膜の生成量が減少する。そのため、不飽和フルオロカーボンと含水素不飽和フルオロカーボンの混合比については、不飽和フルオロカーボンと含水素不飽和フルオロカーボンの体積比が、不飽和フルオロカーボン(第2のガス):含水素不飽和フルオロカーボン(第3のガス)=1:0.05以上20以下であることが好ましく、1:0.1以上10以下であることがさらに好ましい。なお、これらの混合比はエッチング形状に対しても影響することから、被エッチング対象膜の種類やその構造によって上記範囲のなかで適宜変更すればよい。特に、ヨウ素原子又は臭素原子の残存をより抑えるためには、第3のガスが第2のガスより多いことが好ましい。
また、ドライエッチング剤には、第4のガスとして酸化性ガスを添加する。酸化性ガスとしては、O2、O3、CO、CO2、COCl2、COF2、NO2などを使用することができる。特に、入手・取り扱いの容易さから、O2を使用することが好ましい。酸化性ガスの添加量は、ドライエッチング剤全体の1体積%以上50体積%以下であることが好ましく、2体積%以上30体積%以下であることがより好ましく、5体積%以上20体積%以下であることが特に好ましい。
また、ドライエッチング剤には、コストを下げつつ取り扱いの安全性を増すため、第5のガスとして不活性ガスを含むことが好ましい。不活性ガスとしては、アルゴンガス、ヘリウムガス、ネオンガス、クリプトンガス、キセノンガスの希ガス類や、窒素ガスを用いることができる。中でも、入手の容易さや相互作用の少なさから、アルゴンガス、ヘリウムガス、ネオンガス、クリプトンガスが特に好ましい。不活性ガスの添加量は、ドライエッチング剤全体の0体積%以上98体積%以下であることが好ましく、5体積%以上80体積%以下であることがより好ましく、30体積%以上70体積%以下であることが特に好ましい。
プラズマエッチングを行う際には、負の直流の自己バイアス電圧を発生させることが好ましい。発生させる負の直流の自己バイアス電圧は、膜に対して垂直方向に直進性の高いエッチングを行うため、絶対値で500V以上であることが好ましく、絶対値で750V以上であることがより好ましい。負の直流の自己バイアス電圧の絶対値が高ければ高いほどサイドエッチを減少させることが可能であるが、一方、負の直流の自己バイアス電圧の絶対値が10000Vを超えると、基板へのダメージが大きくなり、あまり好ましくない。
ドライエッチング剤に含有されるガス成分についてはそれぞれ独立してチャンバー内に導入してもよく、または予め混合ガスとして調整した上で、チャンバー内に導入しても構わない。反応チャンバーに導入するドライエッチング剤の総流量は、反応チャンバーの容積、及び排気部の排気能力により、前記の濃度条件と圧力条件を考慮して適宜選択できる。
エッチングを行う際の圧力は、安定したプラズマを得るため、およびイオンの直進性を高めてサイドエッチを抑制するため、10Pa以下が好ましく、5Pa以下がより好ましく、1Pa以下が特に好ましい。一方で、チャンバー内の圧力が低すぎると、電離イオンが少なくなり十分なプラズマ密度が得られなくなることから、0.05Pa以上であることが好ましい。
また、エッチングを行う際の基板温度は50℃以下が好ましく、特に異方性エッチングを行うためには20℃以下とすることが望ましい。50℃を超える高温では、トレンチやエッチング孔の側壁への重合膜の生成量が減少し、等方的にエッチングが進行する傾向が強まり、必要とする加工精度が得られない。また、レジスト等のマスク材が著しくエッチングされることがある。
エッチング時間は素子製造プロセスの効率を考慮すると、60分以内であることが好ましい。ここで、エッチング時間とは、チャンバー内にプラズマを発生させ、ドライエッチング剤と試料とを反応させている時間である。
また、本実施形態のドライエッチング剤を用いたエッチング方法は、容量結合型プラズマ(CCP)エッチング、反応性イオンエッチング(RIE)、誘導結合型プラズマ(ICP)エッチング、電子サイクロトロン共鳴(ECR)プラズマエッチング及びマイクロ波エッチング等の各種エッチング方法に限定されず、行うことができる。
また、異なる種類の膜種が積層構造の膜をエッチングする際に、ドライエッチング剤に含まれるヨウ化フッ化炭素化合物または臭化フッ化炭素化合物、不飽和フルオロカーボン、および含水素不飽和フルオロカーボンの組成を、エッチング工程中に段階的又は周期的に変化させてもよい。
本実施形態のエッチング方法は、高いアスペクト比のトレンチやホール構造を形成するエッチング工程に適用することができる。
[半導体デバイスの製造方法]
本実施形態のドライエッチング方法は、従来の半導体デバイスのシリコン化合物膜に所定のパターンを形成するためのエッチング方法として使用可能である。本実施形態のドライエッチング方法により基板上のシリコン化合物膜をエッチングすることにより、基板上にヨウ素原子又は臭素原子の残存の少ない、良好な特性を持つ半導体デバイスを製造することができる。
なお、本実施形態のエッチング方法により半導体デバイスを製造する際には、エッチング工程においてシリコン化合物膜に所望の形状を形成した後に、基板上に堆積した重合膜及び/又はマスクを、酸素ガスを含む処理ガスから生成されたプラズマで除去するアッシングまたは、空気雰囲気での100℃以上500℃以下に加熱して除去する熱アニーリングによって除去工程を行ってもよい。
以下に本開示の実施例を比較例とともに挙げるが、本開示は以下の実施例に制限されるものではない。
[実施例1]
(エッチング工程)
図1は、実施例・比較例で用いた反応装置10の概略図である。チャンバー11内には、試料18を保持する機能を有しステージとしても機能する下部電極14と、上部電極15と、圧力計12が設置されている。また、チャンバー11上部には、ガス導入口16が接続されている。チャンバー11内は圧力を調整可能であると共に、13.56MHzの高周波電力を出力する高周波電源13によりドライエッチング剤を励起させることができる。これにより、下部電極14上に設置した試料18に対し励起させたドライエッチング剤を接触させ、試料18をエッチングすることができる。ドライエッチング剤を導入した状態で、高周波電源13から高周波電力を印加すると、プラズマ中のイオンと電子の移動速度の差から、上部電極15と下部電極14の間に自己バイアス電圧と呼ばれる直流電圧が発生させることができるように構成されている。チャンバー11内のガスはガス排出ライン17を経由して排出される。
実施例1では、エッチング試験用の試料18として、Siウエハ上に膜厚200nmのSiO2膜を成膜し、さらにその上にマスクとして直径100nmのホールパターンを形成した膜厚100nmのArF用フォトレジストを成膜したウエハA、および、Siウエハ上に膜厚200nmのSi34膜を成膜し、さらにその上にマスクとして直径100nmのホールパターンを形成した膜厚100nmのArF用フォトレジストを成膜したウエハBを用いた。また、コンタミネーション測定試験用の試料18としては、Siウエハ上に膜厚200nmのSiO2膜のみを成膜したウエハCを用いた。
ここに、エッチング剤として、CF3I、C36(ヘキサフルオロプロペン)、C324(トランス-1,3,3,3-テトラフルオロプロペン)、O2およびArをそれぞれ、総流量に対して5体積%、10体積%、5体積%、15体積%、65体積%で混合し、合計100sccmとして、流通させ高周波電力を400Wで印加してエッチング剤をプラズマ化させることにより、エッチングを行った。なお、負の直流の自己バイアス電圧の絶対値は550Vである。エッチング中のチャンバー内の圧力は、絶対圧で1Paであった。
(エッチング形状評価)
エッチング形状評価用ウエハAおよびBを、ステージ上に設置した。上記の条件で、5分間のエッチングを行ったのち、断面SEM写真を撮影し、そのエッチング形状を観察した。
その結果、肩落ちやボウイング、マスクの変形やホールの閉塞といったエッチング形状異常のない、エッチングができていることを確認した。
(ウエハ上のヨウ素原子及び臭素原子の量の測定)
SiO2膜を有するシリコンウエハC上に付着した金属の量を測定する試験を実施した。エッチング条件はエッチング形状評価に記載した条件と同様であるが、その後に5分間、酸素プラズマでアッシングした。金属量の測定は、JIS K0160:2009に規定された方法を用いて行った。即ち、ふっ化水素酸をプラスチック製ビーカーに入れてVPD(気相分解)容器と呼ばれるPFA(ペルフルオロアルコキシフッ素樹脂)製の容器内に置き,エッチング後のウエハCをVPD容器内に設置したウエハスタンドに置いた。次に、VPD容器を閉じ、ふっ化水素酸蒸気で前記ウエハ上のSiO2膜を10分間分解した。SiO2を分解した後のウエハの表面に、100μLの走査溶液(超純水)を滴下し、ウエハの表面全体を走査した。走査後,走査した液滴全体を乾燥し、再び超純水で溶解したのち、ICP-MS(誘導結合プラズマ質量分析計)で分析した。得られた分析値は溶解液量と、ウエハの表面積から、ウエハ1cm2あたりの金属原子数に換算した。その結果、ヨウ素原子の分析値は、1.2×1010atms/cm2であり、臭素原子の分析値は検出限界の1.0×1010atms/cm2未満であった。
[実施例2~9]
CF3I、C36、C324、Arの混合割合を変えた以外は実施例1と同じ条件でエッチングを行った。
[実施例10、11]
CF3Iの代わりにC25I、CF2Br2を用いた以外は実施例1と同じ条件でエッチングを行った。
[実施例12、13]
36の代わりにC46(ヘキサフルオロ-1,3-ブタジエン)、C58(オクタフルオロシクロペンタジエン)、C38(パーフルオロプロパン)を用いた以外は実施例1と同じ条件でエッチングを行った。
[実施例14]
324(トランス-1,3,3,3-テトラフルオロプロペン)の代わりにC324の構造異性体である2,3,3,3-テトラフルオロプロペンを用いた以外は実施例1と同じ条件でエッチングを行った。
[比較例1]
36およびC324を使用しなかった以外は実施例1と同じ条件でエッチングを行った。
[比較例2]
36の代わりにC38(パーフルオロプロパン)を用いた以外は実施例1と同じ条件でエッチングを行った。
[比較例3、4]
324(トランス-1,3,3,3-テトラフルオロプロペン)の代わりにC326(1,1,1,2,3,3-ヘキサフルオロプロパン)、C324O(ヘキサフルオロプロピレンオキサイド)を用いた以外は実施例1と同じ条件でエッチングを行った。
各実施例・比較例の結果を表1に記載した。なお、シリコンウエハ上のヨウ素原子及び臭素原子の残存量を、「ウエハ上の原子濃度」の欄に示す。
Figure 0007445150000001
実施例1~14に示した通り、ヨウ化フッ化炭素化合物または臭化フッ化炭素化合物を含み、さらにCnmで表される不飽和フルオロカーボンと、Cxyzで表される含水素不飽和フルオロカーボンと、酸化性ガスと、を含むドライエッチング剤を使用し、プラズマエッチングを行った各実施例では、比較例1のように不飽和フルオロカーボンも含水素不飽和フルオロカーボンも含まない場合に比べてウエハ上のヨウ素原子又は臭素原子の残存量が大幅に低下している。ただし、実施例3、4、8、9のようにエッチングガス中に占めるヨウ化フッ化炭素化合物の割合が多くなると、ヨウ素原子の残存量は増える傾向にあり、さらに、マスク材であるフォトレジストと、被エッチング材であるSiO2やSi34とのエッチング選択比が低下した。
実施例1~3、5~14は、第1のガスに含まれるヨウ素原子及び臭素原子の数が、第3のガスに含まれる水素原子の数の2倍以下であるため、ウエハ上のヨウ素原子又は臭素原子の残存量を、1×1011原子/cm2以下まで低減することができた。
特に、実施例1、2、5~7、10~14は、第1のガスに含まれるヨウ素原子及び臭素原子の数が、第3のガスに含まれる水素原子の数の1倍以下であり、CF3Iの体積が含水素不飽和フルオロカーボンと不飽和フルオロカーボンの合計の体積の0.1~1倍の範囲であるため、ウエハ上のヨウ素原子又は臭素原子の残存量を、5×1010原子/cm2以下まで低減することができた。
一方、不飽和フルオロカーボンと含水素不飽和フルオロカーボンの効果について実施例4と実施例9とを比較すると、実施例9のように含水素不飽和フルオロカーボンが多い方が、ヨウ素原子の残存量は少なかった。これは、ヨウ素原子が基板表面上へ結合するのを水素原子によって抑制する効果の影響が大きいことを示していると考えられる。
実施例12、13では、不飽和フルオロカーボンとしてC46またはC58を使用している。これらの不飽和フルオロカーボンはC36に比べて重合性が高いとされている。C36と同条件で比較した結果、ウエハ上へのヨウ素原子の残存は少なかったが、エッチングホールの一部に閉塞が発生する場合があった。
実施例14ではC324の構造異性体である2,3,3,3-テトラフルオロプロペンを使用したが、1,3,3,3-テトラフルオロプロペン同様良好な結果が得られた。
比較例2では、不飽和フルオロカーボンのかわりに飽和フルオロカーボンであるC38を使用している。この場合には、重合膜が形成されにくいため、マスク材であるフォトレジストと、被エッチング材であるSiO2やSi34とのエッチング選択比も低下する傾向にあり、かつウエハ上へのヨウ素原子の残存も多くなっている。
含水素不飽和フルオロカーボンのかわりに、比較例3のC326や比較例4のC324Oのように、水素を含んでいても不飽和結合を含まない化合物を使用した場合には、ヨウ素原子が十分に除去されておらず、マスク材であるフォトレジストと、被エッチング材であるSiO2やSi34とのエッチング選択比も低下した。含水素不飽和フルオロカーボンは、分子内に不飽和結合を含むことにより、プラズマ中での分解が促進されることにより、効果的に水素が分子から放出されるため、効果的にヨウ素原子が基板表面上へ結合するのが抑制されるが、不飽和結合を含まない化合物はその効果が十分でないため、と考えられる。また、不飽和結合を含まない化合物は、重合性が低く、基板上にフルオロカーボンの重合膜を形成しにくいため、マスク材と被エッチング剤の選択比が低下し、さらに、重合膜に取り込まれたヨウ素原子が重合膜と同時に除去される効果が十分でないためにヨウ素原子の残存が多くなったと考えられると考えられる。
上述の通り、本開示によれば、ヨウ化フッ化炭素化合物または臭化フッ化炭素化合物を用いて、基板上のシリコン化合物膜をエッチングする際にも、基板上にヨウ素原子又は臭素原子の残存の少ないエッチング方法を提供することができる。また、本開示により、半導体デバイスの製造において、微細構造のエッチングや高アスペクト比構造のエッチング工程において、基板上にヨウ素原子および臭素原子の残存を少なくすることができる。
10: 反応装置
11: チャンバー
12: 圧力計
13: 高周波電源
14: 下部電極
15: 上部電極
16: ガス導入口
17: 排ガスライン
18: 試料

Claims (16)

  1. シリコン化合物膜を有する基板に対して、前記シリコン化合物膜上に形成された所定の開口パターンを有するマスクを介して、ドライエッチング剤をプラズマ化した上で前記シリコン化合物膜をエッチングする方法であって、
    前記ドライエッチング剤が、以下の第1のガスから第4のガスを全て含み、前記ドライエッチング剤中の前記第1のガスの体積が、前記第2のガスと前記第3のガスの合計の体積の0.01倍以上であることを特徴とするドライエッチング方法。
    第1のガス:ヨウ化フッ化炭素化合物及び臭化フッ化炭素化合物からなる群から選ばれる1以上の化合物。
    第2のガス:C(n=2~5の整数、m=2、4、6、8で表される整数、ただし、n:m=2:6、2:8、3:2、3:8、5:2の組み合わせを除く)で表される不飽和フルオロカーボン。
    第3のガス:C(x=2~4の整数、y+z=2、4、6、8で表される整数、ただし、x:y+z=2:6、2:8、3:2、3:8の組み合わせを除く、で表される整数)で表される含水素不飽和フルオロカーボン。
    第4のガス:酸化性ガス。
  2. 前記第1のガスに含まれるヨウ素原子及び臭素原子の数が、前記第3のガスに含まれる水素原子の数の2倍以下であることを特徴とする、請求項1に記載のドライエッチング方法。
  3. 前記ドライエッチング剤中の前記第1のガスの体積が、前記第2のガスと前記第3のガスの合計の体積の0.01倍以上2倍以下の範囲であることを特徴とする、請求項1又は2に記載のドライエッチング方法。
  4. 前記ドライエッチング剤において、体積比で前記第2のガス:前記第3のガス=1:0.05以上20以下であることを特徴とする、請求項1~3のいずれか1項に記載のドライエッチング方法。
  5. 前記ドライエッチング剤において、前記酸化性ガスが前記ドライエッチング剤の1体積%以上50体積%以下であることを特徴とする、請求項1~4のいずれか1項に記載のドライエッチング方法。
  6. 前記不飽和フルオロカーボンが、C、C及びCからなる群より選ばれる少なくともひとつであり、
    前記含水素不飽和フルオロカーボンがCであることを特徴とする、請求項1から請求項5のいずれか1項に記載のドライエッチング方法。
  7. 前記不飽和フルオロカーボンがヘキサフルオロプロペンであり、
    前記含水素不飽和フルオロカーボンがトランス-1,3,3,3テトラフルオロプロペンであることを特徴とする、請求項6に記載のドライエッチング方法。
  8. 前記酸化性ガスが、O、O、CO、CO、COCl、COF及びNOからなる群より選ばれる少なくともひとつであることを特徴とする、請求項1から7のいずれか1項に記載のドライエッチング方法。
  9. 前記ドライエッチング剤が、さらに第5のガスとして不活性ガスを含み、
    前記不活性ガスがHe、Ne、Ar、Kr、Xe及びNからなる群より選ばれる少なくともひとつであることを特徴とする、請求項1から8のいずれか1項に記載のドライエッチング方法。
  10. プラズマ化した前記ドライエッチング剤を、絶対値で500V以上の負の直流の自己バイアス電圧を印加し、前記シリコン化合物膜をエッチングすることを特徴とする、請求項1~9のいずれか1項に記載のドライエッチング方法。
  11. 前記シリコン化合物膜をエッチングした後に、前記ドライエッチング剤が接触した前記基板の表面に残存するヨウ素原子及び臭素原子の量が、1×1011原子/cm以下であることを特徴とする、請求項1~10のいずれか1項に記載のドライエッチング方法。
  12. 前記基板が、シリコンウエハであることを特徴とする、請求項1~11のいずれか1項に記載のドライエッチング方法。
  13. 前記基板が、シリコン膜を有することを特徴とする、請求項1~12のいずれか1項に記載のドライエッチング方法。
  14. 前記シリコン化合物膜は、シリコン酸化物膜及びシリコン窒化物膜からなる群から選ばれる1以上の膜であることを特徴とする、請求項1~13のいずれか1項に記載のドライエッチング方法。
  15. 基板上のシリコン化合物膜を、請求項1~14のいずれか1項に記載のドライエッチング方法によりエッチングするエッチング工程を含むことを特徴とする、半導体デバイスの製造方法。
  16. 前記エッチング工程の後に、アッシング又は熱アニーリングによって、前記マスク及び/又は前記エッチング工程において前記基板上に生成したフルオロカーボン重合膜を除去する除去工程を行うことを特徴とする、請求項15に記載の半導体デバイスの製造方法。
JP2021508873A 2019-03-22 2020-03-02 ドライエッチング方法及び半導体デバイスの製造方法 Active JP7445150B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2019054437 2019-03-22
JP2019054437 2019-03-22
PCT/JP2020/008570 WO2020195559A1 (ja) 2019-03-22 2020-03-02 ドライエッチング方法及び半導体デバイスの製造方法

Publications (3)

Publication Number Publication Date
JPWO2020195559A1 JPWO2020195559A1 (ja) 2020-10-01
JPWO2020195559A5 JPWO2020195559A5 (ja) 2023-01-06
JP7445150B2 true JP7445150B2 (ja) 2024-03-07

Family

ID=72609015

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021508873A Active JP7445150B2 (ja) 2019-03-22 2020-03-02 ドライエッチング方法及び半導体デバイスの製造方法

Country Status (6)

Country Link
US (1) US20220157614A1 (ja)
JP (1) JP7445150B2 (ja)
KR (1) KR20210136102A (ja)
CN (1) CN113614891A (ja)
SG (1) SG11202109169TA (ja)
WO (1) WO2020195559A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220133019A (ko) * 2021-03-24 2022-10-04 삼성전자주식회사 식각 가스 조성물, 이를 이용한 미세 패턴 형성 방법 및 수직형 반도체 장치의 제조 방법
CN115404552B (zh) * 2022-11-01 2023-02-03 清华大学 一种极低气压反应腔下的侧壁钝化侧蚀动态平衡深刻蚀光子晶体结构制备方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002021586A1 (fr) 2000-09-07 2002-03-14 Daikin Industries, Ltd. Gaz d'attaque à sec et procédé correspondant
WO2007135906A1 (ja) 2006-05-24 2007-11-29 Ulvac, Inc. 層間絶縁膜のドライエッチング方法
JP2010192825A (ja) 2009-02-20 2010-09-02 Tokyo Electron Ltd 基板処理方法
JP2017050529A (ja) 2015-08-12 2017-03-09 セントラル硝子株式会社 ドライエッチング方法
WO2018037799A1 (ja) 2016-08-25 2018-03-01 日本ゼオン株式会社 プラズマエッチング方法
WO2018126206A1 (en) 2016-12-30 2018-07-05 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Iodine-containing compounds for etching semiconductor structures
JP2017103388A5 (ja) 2015-12-03 2018-07-19
JP2018141146A (ja) 2017-02-28 2018-09-13 セントラル硝子株式会社 ドライエッチング剤、ドライエッチング方法及び半導体装置の製造方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3336975B2 (ja) 1998-03-27 2002-10-21 日本電気株式会社 基板処理方法
JP4761502B2 (ja) 2004-10-07 2011-08-31 株式会社アルバック 層間絶縁膜のドライエッチング方法
JP2009123866A (ja) 2007-11-14 2009-06-04 Nec Electronics Corp 半導体装置の製造方法、および被エッチング膜の加工方法
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002021586A1 (fr) 2000-09-07 2002-03-14 Daikin Industries, Ltd. Gaz d'attaque à sec et procédé correspondant
WO2007135906A1 (ja) 2006-05-24 2007-11-29 Ulvac, Inc. 層間絶縁膜のドライエッチング方法
JP2010192825A (ja) 2009-02-20 2010-09-02 Tokyo Electron Ltd 基板処理方法
JP2017050529A (ja) 2015-08-12 2017-03-09 セントラル硝子株式会社 ドライエッチング方法
JP2017103388A5 (ja) 2015-12-03 2018-07-19
WO2018037799A1 (ja) 2016-08-25 2018-03-01 日本ゼオン株式会社 プラズマエッチング方法
WO2018126206A1 (en) 2016-12-30 2018-07-05 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Iodine-containing compounds for etching semiconductor structures
JP2018141146A (ja) 2017-02-28 2018-09-13 セントラル硝子株式会社 ドライエッチング剤、ドライエッチング方法及び半導体装置の製造方法

Also Published As

Publication number Publication date
JPWO2020195559A1 (ja) 2020-10-01
TW202100805A (zh) 2021-01-01
CN113614891A (zh) 2021-11-05
KR20210136102A (ko) 2021-11-16
US20220157614A1 (en) 2022-05-19
WO2020195559A1 (ja) 2020-10-01
SG11202109169TA (en) 2021-09-29

Similar Documents

Publication Publication Date Title
TWI781210B (zh) 用於蝕刻多個堆疊層之化學過程
JP4579611B2 (ja) ドライエッチング方法
CN107924837B (zh) 干式蚀刻方法
US9728422B2 (en) Dry etching method
JP5933694B2 (ja) ホウ素炭素膜をドライストリッピングする方法
TWI352387B (en) Etch methods to form anisotropic features for high
US20160133443A1 (en) Methods of dry stripping boron-carbon films
JP2019533910A (ja) 高アスペクト比の構造体のための除去方法
TWI631618B (zh) Dry etching method, dry etchant, and method of manufacturing semiconductor device
KR101877827B1 (ko) 에칭 가스 및 에칭 방법
JP6544215B2 (ja) ドライエッチング方法
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
WO2020090451A1 (ja) ドライエッチング方法
JP7445150B2 (ja) ドライエッチング方法及び半導体デバイスの製造方法
TWI636121B (zh) 乾式蝕刻方法及乾式蝕刻劑
CN110571150B (zh) 高深宽比开口的刻蚀方法及半导体器件
TWI833930B (zh) 乾式蝕刻方法及半導體裝置之製造方法
TW201735159A (zh) 電漿蝕刻方法
JP4448807B2 (ja) エッチング方法
JP2018032667A (ja) プラズマエッチング方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221223

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221223

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230530

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20230725

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230912

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231129

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20231206

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240123

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240205

R150 Certificate of patent or registration of utility model

Ref document number: 7445150

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150