KR100859178B1 - 통제되는 간극을 형성하는 물질 및 방법 - Google Patents

통제되는 간극을 형성하는 물질 및 방법 Download PDF

Info

Publication number
KR100859178B1
KR100859178B1 KR1020070037866A KR20070037866A KR100859178B1 KR 100859178 B1 KR100859178 B1 KR 100859178B1 KR 1020070037866 A KR1020070037866 A KR 1020070037866A KR 20070037866 A KR20070037866 A KR 20070037866A KR 100859178 B1 KR100859178 B1 KR 100859178B1
Authority
KR
South Korea
Prior art keywords
cyclic
group
layer
groups
delete delete
Prior art date
Application number
KR1020070037866A
Other languages
English (en)
Other versions
KR20070103318A (ko
Inventor
니콜라스 버티스 레이몬드
우 딩준
레오날드 오넬 마크
다니엘 비트너 마크
루이즈 빈센트 진
조셉 카와키 주니어 유진
스코트 루카스 애론
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20070103318A publication Critical patent/KR20070103318A/ko
Application granted granted Critical
Publication of KR100859178B1 publication Critical patent/KR100859178B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/42Bombardment with radiation
    • H01L21/423Bombardment with radiation with high-energy radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Manufacture Of Porous Articles, And Recovery And Treatment Of Waste Products (AREA)

Abstract

본 발명은 기판 내에 공극을 형성하는 방법에 관한 것으로, 기판을 제공하는 단계; 1종 이상의 희생 물질 전구체의 증착에 의해 희생 물질을 증착시키는 단계; 복합층을 증착시키는 단계; 복합층 중의 포로젠 물질을 제거하여 다공성층을 형성시키는 단계; 및 층을 이룬 기판을 제거 매질과 접촉시켜 희생 물질을 실질적으로 제거하고 기판 내에 공극(air gap)을 제공하는 단계를 포함하고; 1종 이상의 희생 물질 전구체가 유기 포로젠; 규소, 및 극성 용매 가용성 금속 산화물 및 이들의 혼합물로 구성된 군에서 선택되는 방법에 관한 것이다.

Description

통제되는 간극을 형성하는 물질 및 방법{MATERIALS AND METHODS OF FORMING CONTROLLED VOID}
도 1은 선행기술의 푸어 스텝 커버리지(poor step coverage)를 통해 형성된 공극의 단면도를 보여준다.
도 2는 선행기술의 브리지층 내 틈을 통해 물질을 제거함으로써 형성된 공극 구조의 단면도를 보여준다.
도 3은 에너지를 공급하여 간극 공간 내의 희생 물질을 제거하는, 본 발명의 구조를 형성하는 방법의 진행 단계를 나타내는 단면도를 보여준다.
도 4는 선택적인 에칭화 시약인 BrF3를 사용하여 간극 공간 내의 규소 희생 물질을 제거하는, 본 발명의 대안적인 방법 및 구체예의 진행 단계를 나타내는 단면도를 보여준다.
도 5는 물과 같은 극성 용매를 이용하여 간극 공간 내의 GeO2 희생 물질을 제거하는, 본 발명의 추가 대안적인 방법 및 구체예의 진행 단계를 나타내는 단면도를 보여준다.
도 6은 듀얼 다마신(dual damascene) 구리 금속 인터커넥트 도식을 형성하는 가능한 한가지 경로를 보여준다.
도 7은 싱글 다마신 구리 금속 인터커넥트 도식을 형성하는 가능한 한가지 경로를 보여준다.
도 8은 듀얼 다마신 구리 금속 인터커넥트 도식을 형성하는 다른 가능한 경로를 보여준다.
도 9A, 9B, 및 9C는 본 발명을 사용하여 간극 공간을 갖도록 제작된 실제 구조의 주사전자 현미경 이미지이다.
관련 출원의 상호참조
본 출원은 2006년 4월 18일자로 출원된 미국가출원 제60/792,793호의 우선권을 주장한다. 이 가출원에 공개된 내용은 본 명세서에 참고문헌으로 포함된다.
배경 기술
마이크로전자 및 나노기술 산업 분야 모두에 있어, 제작 보조제로 작용하나 제작 완료시 쉽게 제거될 수 있는 증착 물질을 사용하는 것이 바람직하다. 나노기술 분야에 있어 이러한 물질의 한 예로, 규소 캔틸레버 구조 제작에 사용되는 제작 보조제로 SiO2를 사용하는 것을 들 수 있다. 제작 완료시, SiO2는 규소에 영향을 주지않으면서 수성 HF 중에서 에칭함으로써 제거될 수 있다. 그러나, SiO2를 제거하기 위해 수성 용매를 사용하게 되면, 수분 건조시 발생하는 모세관 현상으로 인해(예 를 들어, 캔틸레버 제작시) 작은 구조의 붕괴를 초래할 수 있다. 또한, XeF2에 의한 규소 대 SiO2의 선택적 에칭을, XeF2가 확산될 수 있는 유기 박막으로 규소를 캡핑함으로써 캔틸레버 제작에 사용할 수 있다는 사실이 보고되었다.
마이크로전자 산업 분야에서 희생 물질을 사용하는 한 예로는, 유기실리케이트 유리(OSG)에 간극을 도입하여 다공성 OSG를 제조하는데 희생 유기 물질을 사용하는 것을 들 수 있다. 공기의 유전체 상수는 1.0이나, OSG 물질의 유전체 상수는 일반적으로 > 2.7이므로, 이러한 간극의 생성은 물질의 유전체 상수를 효과적으로 낮출 것이다. 마이크로전자제품 제작시 유전체 상수의 하한은 공극을 포함시킴으로써 달성되며, 1.0의 효과적인 유전체 상수를 나타내도록 하는 것이다. 희생 유기층을 사용하는 것이 이를 실현하는 전도유망한 방법 중 하나이다.
공극은 다양한 상이한 방법으로 반도체 기판 내에 형성될 수 있다. 장치 내에 공극을 형성하는 한 가지 방법은, 고조된 표면들 사이에 공간을 갖는 기판의 상부에 증착시 이들 표면들 사이에 공극 또는 간극을 형성하는 약한 등각(conformal) 물질의 증착을 통한 것이다. 덧붙여, 공극은 도 1에 나타낸 바와 같이, 공간이 약한 등각 유전체 물질로 부분적으로 충진되는 경우에 한 쌍의 인터커넥트 라인 사이의 공간 내에 형성된다. 약한 등각 유전체 물질은 예를 들어, 화학기상증착 또는 기타 수단에 의해 증착될 수 있다. 그러나, 이러한 방법은 구리 집적시 사용되는 현 듀얼 다마신 공정에는 사용될 수 없다(예를 들어, 미국특허 제6,057,226호를 참고).
미국특허출원 제2002/0149085호 및 미국특허 제6,472,719 B1호; 제6,211,057 B1호; 제6,297,125 B1호; 제6,268,277 B1호; 제6,238,987 B1호; 및 제6,228,763 B1호에서는 희생 물질이 높은 HF 에칭 속도를 갖는 스핀-온 유리 또는 화학기상증착된 옥시드-함유 물질로 구성되며, 브리지층(그 안에 형성된 개방부를 가짐)으로 캡핑되어 있는 방법을 공개한다. 이러한 스핀-온 물질은 완충된 HF를 사용하여 개방부를 통해 제거된다. 이러한 기법에 대해서는 도 2를 참고하길 바란다.
미국특허출원 제2004/0099951 A1호; 제2004/0094821 A1호; 제2002/1016888호 및 제2002/002563호; 및 미국특허 제6,316,347호; 제6,329,279호; 및 제6,498,070호; 제6,713,835 B1호; 제6,720,655 B1호에서는 희생 물질이 브리지층(그 안에 1개 이상의 개방부를 가짐)으로 캡핑된 유기 중합체이고, 이를 비활성 환경하에서 열 어닐링을 통해 제거하거나 또는 중합체를 분자 산소(O2)와 같은 산화제로 "연소(burning out)"시킴으로써 제거하는 방법을 공개한다.
본 발명의 개요
본 발명은 기판 내에 공극을 형성하는 방법에 관한 것이다. 본 발명의 한 구체예에서, 상기 방법은 기판을 제공하는 단계; 희생층을 1종 이상의 유기 전구체로 기판상에 증착시키는 단계; 복합 물질의 층을 포로젠(희생층에서의 1종 이상의 유기 전구체와 동일함) 및 1종 이상의 실리카-함유 전구체 또는 OSG 전구체로 기판상에 증착시키는 단계; 및 희생층 및 복합 물질의 층을 갖는 기판에 에너지를 공급하 여, 희생층을 제거하여 공극을 제공하고 포로젠을 제거하여 다공성층을 형성하는 단계를 포함한다.
본 발명의 다른 구체예에서, 상기 방법은 기판을 제공하는 단계; 규소를 포함하는 희생층을 증착시키는 단계; 복합층을 포로젠 및 1종 이상의 실리카-함유 전구체 또는 유기실리케이트 유리(OSG) 전구체로 증착시키는 단계; 희생층 및 복합층을 갖는 기판에 에너지를 공급하여, 포로젠을 제거하여 다공성층을 형성하는 단계; 및 희생층 및 다공성층을 갖는 기판을 다공성층을 통해 확산가능한 불소 함유 시약과 감압하에서 접촉시켜, 선택적으로 희생층을 제거하여 공극을 형성하는 단계를 포함한다.
본 발명의 또다른 구체예에서, 상기 방법은 기판을 제공하는 단계; 극성 용매 가용성 금속 산화물 희생층을 금속 전구체로 증착시키는 단계; 복합층을 포로젠 및 1종 이상의 실리카-함유 전구체 또는 유기실리케이트 유리(OSG) 전구체로 증착시키는 단계; 희생층 및 복합층을 갖는 기판에 에너지를 공급하여, 포로젠을 제거하여 다공성층을 형성하는 단계; 및 희생층 및 다공성층을 갖는 기판을, 다공성층을 통해 확산가능한 극성 유기 용매와 접촉시켜, 희생층을 제거하여 공극을 형성하는 단계를 포함한다.
또한, 본 발명의 다른 구체예에서, 상기 방법은 기판을 제공하는 단계; 극성 용매 가용성 금속 산화물 희생층을 금속 전구체로 증착시키는 단계; 복합층을 포로젠(희생층에서의 극성 용매 가용성 금속 산화물과 동일함) 및 1종 이상의 실리카-함유 전구체 또는 유기실리케이트 유리(OSG) 전구체로 증착시키는 단계; 및 희생층 및 복합층을 갖는 기판을 극성 용매와 접촉시켜, 포로젠을 제거하여 다공성층을 형성시키고 희생층을 제거하여 공극을 형성하는 단계를 포함한다.
본 발명의 상세 설명
전자 장치 크기의 감소가 계속됨에 따라, 더 낮은 유전체 상수를 갖는 층간 유전체(ILD) 물질이 요구되고 있다. 지난 12년에 걸쳐 유전체 물질은 SiO2로부터 FSG로, 그 다음 OSG로, 그리고 현재 2.0 정도의 낮은 유전체 상수를 갖는 다공성 OSG까지 개발되어 왔다. 2.0 정도까지의 낮은 유전체 상수를 얻기 위해서는, 25% 이상의 다공도를 OSG 필름에 포함시키는 것이 요구된다. OSG 필름에 포함되어진 다공도 양을 증가시키면, 유전체 상수가 낮아질 뿐만 아니라 기계적 성질도 대폭 감소하게 된다.
예를 들어, 2.9의 유전체 상수를 갖는 조밀한 OSG 필름은 ~3.0 GPa의 기계적 강도를 갖는 반면, 본 발명자의 PDEMSTM 기법(예를 들어, 본 명세서에 참고문헌으로 포함된, 미국특허 제6,583,048호 및 미국특허 제6,846,515호 참고)으로 제조한 ~25%의 다공도를 갖는 다공성 OSG 물질은 2.2의 유전체 상수를 가지나, 기계적 강도는 0.8 GPa밖에 안된다. 이러한 경향을 더 낮은 유전체 상수를 갖는 경우로 외삽하여 추정해보면, 1.9 미만의 유전체 상수를 갖는 물질은 0.3 GPa 미만의 기계적 강도를 가질 것이다. 본 발명자가 관찰한 또다른 경향은 %다공도가 증가하는 경우, 대응되는 인터커넥트 경로 길이가 증가한다는 것이다. 양전자 소멸시간 분광 법(PALS)으로 측정한 인터커넥트 경로 길이는 포지트로늄 원소가 벽과 부딪치지 않고 여행할 수 있는 최장 거리 또는 연결된 세공들의 최장 스트링의 측정값이다. 이러한 인터커넥트성은 원자층 증착(ALD) 고려시는 물론, 레지스트 현상제, 레지스트 스트립퍼 및 CMP 슬러리와 같은 습윤 공정과의 상호작용 고려시에도 중요하다고 여겨진다. 따라서, k가 ~1.9 미만인 지점에서, ILD는 기계 저항이나 배리어 저항을 거의 제공하지 않으며, 고도 다공성 OSG 필름과 공극 간의 차이가 감소하기 시작한다고 판단된다.
현재까지, 공극 제작은 다음의 3가지 주된 방식에 초점이 맞추어져 왔다: (i) 큰 키-홀(key-hole) 구조로 공극을 형성하는, 극단적으로 비-등각인 SiO2 증착의 이용, (ii) 스핀-온 공정 또는 CVD에 의해 증착된 열에 불안정한 중합체 물질의 이용, (iii) 아래 위치하는 필름의 O2 플라즈마를 이용한 반응성 이온 에칭이나 또는 HF를 이용한 습윤 에칭에 의한, 공극의 등방성 에칭.
본 발명은 공극, 또는 간극 공간을 갖는 구조를 제작하는 3가지의 선택적인 접근법에 대해 기술한다. 이 모든 3가지 경로는 액체나 기체가 다공성 OSG 또는 SiO2 층을 통해 확산되는 능력을 이용한다. 이러한 층의 다공도는 0.1∼99 부피% 다공도의 범위이며, 보다 일반적으로는 10∼50 부피% 다공도의 범위일 수 있다. 첫 번째 접근법은 유기 희생 물질을 사용하여 공극을 형성시키는 것이다. 이러한 방법은 예를 들어, 다공성 OSG 필름 제작용으로 개발된 특허 제품인 PDEMSTM를 이용하여 다공성 유전체층을 확장시키는 것이다. 이러한 접근법에서는 복합층 내에서 OSG로 동시-증착된 포로젠 및 희생층이 동일한 유기 전구체로부터 형성된다. 이러한 방식으로, 한 가지 유기 물질이 희생층에는 물론 다공성 OSG 생성 모두에 이용될 수 있다. 두 번째 접근법은 첫 번째 접근법과 유사하게, 규소로 구성된 희생 물질 상에 다공성 캡핑층을 사용한다. 이러한 접근법에서 희생층은 패턴화 이전 또는 이후에 복합층으로 덮이게 되고, 포로젠이 제거되어 다공성 SiO2 또는 OSG 층이 형성된 후, 제작된 조각을 규소 대 SiO2 또는 OSG를 선택적으로 에칭하는 기체(예를 들어, XeF2 또는 BrF3)에 노출시키며, 상기 기체는 다공성 SiO2 또는 OSG 층을 통해 확산되어 규소 구조가 제거되어 간극이 남게 된다. 세 번째 방법은 극성 용매(즉, 물)에 가용성인 GeO2 또는 B2O3와 같은 희생 무기 물질을 사용하는 것이다. 이러한 접근법에서 희생층은 패턴화 이전 또는 이후에 복합층으로 덮히게 되고, 포로젠이 제거되어 다공성 SiO2 또는 OSG 층이 형성된 후, 제작된 조각을 물 또는 기타 극성 용매에 노출시키며, 상기 물 또는 기타 극성 용매는 다공성 SiO2 또는 OSG 층을 통해 확산되어 가용성 무기 물질(즉, GeO2 또는 B2O3)이 제거되어 간극이 남게 된다. 다공성층을 통한 확산을 증강시키기 위해, 특히 다공성층이 소수성 OSG 물질인 경우에는 계면활성제를 물 또는 극성 용매에 첨가하는 것이 바람직할 수 있다.
다음은 본 발명에 사용하기 적합한 실리카계 전구체의 비제한적인 예이다. 이하 화학식과 본 명세서를 통해 사용된 모든 화학식에서, "독립적으로"라는 용어는 대상 R기가 다른 첨자를 갖는 다른 R기에 대해 독립적으로 선택된다는 것뿐만 아니라, 동일한 R기의 임의의 부가적인 종류에 대해 독립적으로 선택된다는 것을 나타냄을 이해해야 한다. 예를 들어, 식 R1 n(OR2)4- nSi에서, n은 2 또는 3이고, 2개 또는 3개의 R1 기는 서로 동일할 필요가 없으며 R2와 동일할 필요가 없다.
- R1 n(OR2)3-nSi(식 중, R1은 독립적으로 H, C1∼C4, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 부분적으로 또는 완전히 플루오르화될 수 있고; R2는 독립적으로 C1∼C6, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 방향족, 부분적으로 또는 완전히 플루오르화될 수 있고, n은 1∼3임).
예: 디에톡시메틸실란, 디메틸디메톡시실란
- R1 n(OR2)3- nSi-O-SiR3 m(OR4)3-m(식 중, R1 및 R3은 독립적으로 H, C1∼C4, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 부분적으로 또는 완전히 플루오르화될 수 있고; R2 및 R4는 독립적으로 C1∼C6, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 방향족, 부분적으로 또는 완전히 플루오르화될 수 있고, n은 1∼3이며, m은 1∼3임).
예: 1,3-디메틸-1,3-디에톡시디실록산
- R1 n(OR2)3- nSi-SiR3 m(OR4)3-m(식 중, R1 및 R3은 독립적으로 H, C1∼C4, 선형 또 는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 부분적으로 또는 완전히 플루오르화될 수 있고; R2 및 R4는 독립적으로 C1∼C6, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 방향족, 부분적으로 또는 완전히 플루오르화될 수 있고, n은 1∼3이고, m은 1∼3임).
예: 1,2-디메틸-1,1,2,2-테트라에톡시디실란
- R1 n(O(O)CR2)4-nSi(식 중, R1은 독립적으로 H, C1∼C4, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 부분적으로 또는 완전히 플루오르화될 수 있고; R2는 독립적으로 H, C1∼C6, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 방향족, 부분적으로 또는 완전히 플루오르화될 수 있고, n은 1∼3임).
예: 디메틸디아세톡시실란
- R1 n(O(O)CR2)3- nSi-O-SiR3 m(O(O)CR4)3-m(식 중, R1 및 R3은 독립적으로 H, C1∼C4, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 부분적으로 또는 완전히 플루오르화될 수 있고; R2 및 R4는 독립적으로 H, C1∼C6, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 방향족, 부분적으로 또는 완전히 플루오르화될 수 있고, n은 1∼3이고, m은 1∼3임).
예: 1,3-디메틸-1,3-디아세톡시디실록산
- R1 n(O(O)CR2)3- nSi-SiR3 m(O(O)CR4)3-m(식 중, R1 및 R3은 독립적으로 H, C1∼C4, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 부분적으로 또는 완전히 플로오르화될 수 있고; R2 및 R4는 독립적으로 H, C1∼C6, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 방향족, 부분적으로 또는 완전히 플루오르화될 수 있고, n은 1∼3이고, m은 1∼3임).
예: 1,2-디메틸-1,1,2,2-테트라아세톡시디실란
- R1 n(O(O)CR2)3- nSi-O-SiR3 m(OR4)3-m(식 중, R1 및 R3은 독립적으로 H, C1∼C4, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 부분적으로 또는 완전히 플로오르화될 수 있고; R2는 독립적으로 H, C1∼C6, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 방향족, 부분적으로 또는 완전히 플루오르화될 수 있고, R4는 독립적으로 C1∼C6, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 방향족, 부분적으로 또는 완전히 플루오르화될 수 있고, n은 1∼3이고, m은 1∼3임).
예: 1,3-디메틸-1-아세톡시-3-에톡시디실록산
- R1 n(O(O)CR2)3- nSi-SiR3 m(OR4)3-m(식 중, R1 및 R3은 독립적으로 H, C1∼C4, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 부분적으로 또는 완전히 플로오르화될 수 있고; R2는 독립적으로 H, C1∼C6, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 방향족, 부분적으로 또는 완전히 플루오르화될 수 있고, R4는 독립적으로 C1∼C6, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 방향족, 부분적으로 또는 완전히 플루오르화될 수 있고, n은 1∼3이고, m은 1∼3임).
예: 1,2-디메틸-1-아세톡시-2-에톡시디실란
- R1 n(OR2)p(O(O)CR4)4-(n+p)Si(식 중, R1은 독립적으로 H, C1∼C4, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 부분적으로 또는 완전히 플루오르화될 수 있고, R2는 독립적으로 C1∼C6, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 방향족, 부분적으로 또는 완전히 플루오르화될 수 있고, R4는 독립적으로 H, C1∼C6, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 방향족, 부분적으로 또는 완전히 플루오르화될 수 있고, n은 1∼3이고, p는 1∼3임).
예: 메틸아세톡시-t-부톡시실란
- R1 n(OR2)p(O(O)CR4)3-n- pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q(식 중, R1 및 R3은 독립적으로 H, C1∼C4, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 부 분적으로 또는 완전히 플로오르화될 수 있고; R2 및 R6는 독립적으로 C1∼C6, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 방향족, 부분적으로 또는 완전히 플루오르화될 수 있고, R4 및 R5는 독립적으로 H, C1∼C6, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 방향족, 부분적으로 또는 완전히 플루오르화될 수 있고, n은 1∼3이고, m은 1∼3이고, p는 1∼3이고, q는 1∼3임).
예: 1,3-디메틸-1,3-디아세톡시-1,3-디에톡시디실록산
- R1 n(OR2)p(O(O)CR4)3-n- pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q(식 중, R1 및 R3은 독립적으로 H, C1∼C4, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 부분적으로 또는 완전히 플로오르화될 수 있고; R2 및 R6은 독립적으로 C1∼C6, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 방향족, 부분적으로 또는 완전히 플루오르화될 수 있고, R4 및 R5는 독립적으로 H, C1∼C6, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 방향족, 부분적으로 또는 완전히 플루오르화될 수 있고, n은 1∼3이고, m은 1∼3이고, p는 1∼3이고, q는 1∼3임).
예: 1,2-디메틸-1,2-디아세톡시-1,2-디에톡시디실란
- 식 (OSiR1R3)x의 환식 실록산(식 중, R1 및 R3은 독립적으로 H, C1∼C4, 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된, 환식, 부분적으로 또는 완전히 플루오르화될 수 있고, x는 2∼8의 임의의 정수일 수 있음).
예: 1,3,5,7-테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산
SiO2 또는 OSG 증착에 사용될 수 있는 기타 전구체에는 예를 들어, TEOS, 트리에톡시실란, 디-tert부톡시실란, 실란, 디실란, 디-tert부톡시디아세톡시실란 등이 있다.
본 발명은 간극 공간 제작시 희생층으로 사용된다고 전자제품 제작 산업 분야에 공지된 여러 전통적인 방법 중 임의의 방법을 사용하여 증착된 희생 물질을 사용하고 있다. 이러한 층은 특히 마이크로전자 산업에서 공극 구조를 제작하고, 나노기술 제작 분야에서 간극 공간 제작하거나 구조를 "방출"하는데 유용하다.
그 안에 1개 이상의 공극 구조를 갖는 반도체 기판 및 이를 형성하는 방법에 대해 본 명세서에 기술한다. 한 구체예에서, 1개 이상의 공극은 층을 이룬 기판 내의 전도성 라인 사이 공간의 적어도 일부 이내에서 형성된다. 본 명세서에 사용된 용어 "전도성 라인"은 일반적으로 금속 라인, 트레이스, 와이어, 인터커넥트 라인, 신호 경로, 또는 기판 내의 전기 회로를 커플링하거나 인터커넥트하는 신호 경로를 제공하는 신호 매체를 나타낸다. 이러한 구조는 다중 레벨에도 쉽게 난조가 생기지 않아, 싱글 또는 듀얼 다마신 공정과 양립가능하다. 특정 구체예에서, 유전체층은 속인 채워진 물질일 수 있으며, 또는 대안적으로 다공성이거나, 및/또는 간극, 공 동 또는 공극을 함유할 수도 있다.
제1 구체예 : 유기 불안정한 희생 물질
이 구체예에서, 희생 유기층을 간극 공간 형성에 사용한다. 희생층을 증착시키는 유기 전구체와 복합층 내 포로젠으로 동일한 1종 이상의 유기 전구체를 사용함으로써, 예를 들어, PDEMSTM 층을 사용함으로써, 장치에 구배가 형성된다. 동일한 전구체가 희생층용 유기 전구체로, 또한 유기 포로젠 전구체로 사용되기 때문에, PECVD 공정을 초기에 단지 1종 이상의 유기 전구체만을 사용하여 수행한 후, 추가적인 1종 이상의 OSG 전구체를 사용하여 수행할 수 있다. 이로써, 희생층과 다공성층 사이에 한결같은 구배가 형성될 수 있다. 미국특허 제6,583,048호, 제6,846,515호, 제6,054,206호, 제6,054,379호 및 제6,171,945호, 및 WO 99/41423에서는, 본 발명의 유기실리케이트 필름을 형성하는데 사용될 수 있는 몇 가지 대표적인 CVD 방법을 기술하고 있다.
복합층 내 포로젠을 증착하고 희생층으로 사용되는 유기 전구체의 성질에 관한 이론에 구속되지 않더라도, 이들은 소정의 특정 속성을 가진다. 이러한 유기 전구체는 반응 챔버에 기체 형태로 전달될 수 있어야 하므로, 이러한 유기 전구체는 50℃ 이상의 온도에서 측정가능한 증기압을 갖는 것이 바람직하다. 이러한 유기 전구체는 100℃ 이상의 온도에서 현저한 증기압을 갖지 않는 물질을 형성하는 방식으로 챔버 내에서 반응하는 것이 바람직하며, 포로젠이 반응하여 200℃ 이상의 온도에서 감지가능한 증기압을 갖지 않는 물질을 형성하는 것이 보다 바람직하다.
유기 분자내 불포화도의 수는 분자 내 고리 구조의 수 또는 다중 결합의 수로 정의한다. 따라서, 분자내 단일 이중 결합 또는 단순한 고리 구조는 1 불포화도이며, 고리 구조내 삼중 결합이나 이중 결합은 2 불포화도를 나타낸다. 유기 전구체 내 불포화도 수는 증착 반응에 영향을 줄 것이며, 불포화도 수가 높을수록 일반적으로 증착 속도가 증가한다. 이론에 구속되는 것은 아니나, 일반적으로 높은 불포화도를 갖는 유기 전구체로 증착된 물질이 완전하게 제거하기가 더 어려워서, 증착과 제거 사이의 균형을 찾을 것이 요구된다.
일부 경우에, 분자 내에 헤테로원자(예, 산소, 질소 또는 황)를 갖는 것이 바람직할 수 있으며, 이는 포로젠 또는 희생 물질의 증착을 증가시킬 수 있다. 플라즈마 환경에서, 헤테로원자는 전자가 포착될 수 있는 단면적을 증가시켜, 기체 상태의 중성 라디칼의 수를 증가시킨다. 증착이 중성 라디칼의 반응에 기초한다고 여겨지기 때문에, 이러한 중성 라디칼 수의 증가는 증착 효율을 증가시킬 것이다.
다음은 본 발명의 유기 전구체로 사용하기 적합한 물질의 비제한적인 예이다:
1) 일반식 CnH2n의 환식 탄화수소(n은 4∼14이고, 환식 구조 내 탄소수는 4∼10이고, 환식 구조상에 치환된 복수개의 단순형 또는 분지형 탄화수소가 존재할 수 있음).
예: 시클로헥산, 1,2,4-트리메틸시클로헥산, 1-메틸-4-(1-메틸에틸)시클로헥산, 시클로옥탄, 메틸시클로옥탄 등
2) 일반식 CnH(2n+2)-2y의 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된 탄화수소(n은 2∼20이고, y는 0∼n임).
예: 에틸렌, 프로필렌, 아세틸렌, 네오헥산, 1,3-부타디엔, 2-메틸-1,3-부타디엔, 2,3-디메틸-2,3-부타디엔, 치환된 디엔 등
3) 일반식 CnH2n -2x의 단일 또는 다중 불포화된 환식 탄화수소(x는 분자 내 불포화된 위치의 수이고, n은 4∼14이고, 환식 구조 내 탄소수는 4∼10이고, 환식 구조상에 치환된 복수개의 단순형 또는 분지형 탄화수소가 존재할 수 있고, 불포화가 환식내에 위치하거나 환식 구조에 대한 탄화수소 치환체중 하나상에 위치할 수 있음).
예: 시클로옥텐, 1,5-시클로옥타디엔, 시클로헥센, 비닐-시클로헥산, 디메틸시클로헥센, 알파-테르피넨, 피넨, 리모넨, 비닐-시클로헥센 등
4) 일반식 CnH2n -2의 이환식 탄화수소(n은 4∼14이고, 이환식 구조 내 탄소수는 4∼12이고, 환식 구조상에 치환된 복수개의 단순형 또는 분지형 탄화수소가 존재할 수 있음).
예: 노르보르난, 스피로-노난, 데카히드로나프탈렌 등
5) 일반식 CnH2n -(2+2x)의 다중 불포화된 이환식 탄화수소(x는 분자 내 불포화된 위치의 수이고, n은 4∼14이고, 이환식 구조 내 탄소수는 4∼12이고, 환식 구조상에 치환된 복수개의 단순형 또는 분지형 탄화수소가 존재할 수 있고, 불포화가 환식내에 위치하거나 환식 구조에 대한 탄화수소 치환체중 하나상에 위치할 수 있음 ).
예: 캄펜, 노르보르넨, 노르보르나디엔, 5-에틸리덴-2-노르보르넨 등
6) 일반식 CnH2n -4의 삼환식 탄화수소(n은 4∼14이고, 삼환식 구조 내 탄소수는 4∼12이고, 환식 구조상에 치환된 복수개의 단순형 또는 분지형 탄화수소가 존재할 수 있음).
예: 아다만탄
7) 1개 이상의 알콜기를 함유하고 일반식 CnH2n +2-2x-2y-z(OH)z를 갖는 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 화합물 내 알콜기의 수로 1∼4이고, 알콜 작용기는 환식외 및/또는 환식내에 존재할 수 있음).
예: 프로판올(n=3, x=0, y=0, z=1), 에틸렌 글리콜(n=2, x=0, y=0, z=2), 헥산올(n=6, x=0, y=0, z=1), 시클로펜탄올(n=5, x=1, y=0, z=1), 1,5-헥사디엔-3,4-디올(n=6, x=0, y=2, z=2), 크레졸(n=7, x=1, y=3, z=1), 및 레소르시놀(n=6, x=1, y=3, z=2) 등
8) 1개 이상의 에테르기를 함유하고 일반식 CnH2n +2-2x-2 yOz를 갖는 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 구조 내 에테르 결합의 수로 1∼4이고, 에테르 결합(들)은 환식외 및/또는 환식내에 존재할 수 있음).
예: 디에틸에테르(n=4, x=0, y=0, z=1), 2-메틸-테트라히드로퓨란(n=5, x=1, y=0, z=1), 2,3-벤조퓨란(n=8, x=2, y=4, z=1), 에틸렌 글리콜 디비닐 에테르(n=6, x=0, y=2, z=2), 시네올(유칼립톨)(n=10, x=2, y=0, z=1) 등
9) 1개 이상의 에폭시드기를 함유하고 일반식 CnH2n +2-2x-2y-2 zOz를 갖는 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 구조 내 에폭시드기의 수로 1∼4이고, 에폭시드기는 환식 고리 또는 선형 사슬에 부착될 수 있음).
예: 1,2-에폭시-3-메틸부탄(n=5, x=0, y=0, z=1), 1,2-에폭시-5-헥센(n=5, x=0, y=1, z=1), 시클로헥센 옥시드(n=6, x=1, y=0, z=1), 9-옥사비시클로[6.1.0]논-4-엔(n=8, x=1, y=1, z=1) 등
10) 1개 이상의 알데히드기를 함유하고 일반식 CnH2n +2-2x-2y-2 zOz를 갖는 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 구조 내 알데히드기의 수로 1∼4임).
예: 시클로펜탄카르복살데히드(n=5, x=1, y=0, z=1) 등
11) 1개 이상의 케톤기를 함유하고 일반식 CnH2n +2-2x-2y-2 zOz를 갖는 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 구조 내 알데히드기의 수로 1∼4이고, 케톤기(들)는 환식외 및/또는 환식내에 존재할 수 있음).
예: 3,4-헥산디온(n=6, x=0, y=0, z=2), 시클로펜타논(n=5, x=1, y=0, z=1), 메시틸옥시드(n=6, x=0, y=1, z=1) 등
12) 1개 이상의 카르복실산기를 함유하고 일반식 CnH2n +2-2x-2y-3z(OOH)z를 갖는 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 구조 내 카르복실산기의 수로 1∼4임).
예: 시클로펜탄카르복실산(n=6, y=1, x=0, z=1) 등
13) 짝수의 카르복실산기를 가지고, 산작용기가 탈수화되어 환식 무수물기를 형성하며, 일반식 CnH2n +2-2x-2y-6z(O3)z를 갖는 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 구조 내 무수물기의 수로 1 또는 2임).
예: 말레 무수물(n=2, x=0, y=1, z=1) 등
14) 에스테르기를 함유하고 일반식 CnH2n +2-2x-2y-2z(O2)z를 갖는 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수이고, 불포화 결합 중 어느 것도 에스테르의 카르보닐기와 컨쥬게이트되지 않고, z는 구조 내 무수물기의 수로 1 또는 2임).
예: 등
15) 에스테르의 카르보닐기와 컨쥬게이트된 1종 이상의 불포화 결합 및 에스테르기로 구성된 아크릴레이트 작용기를 함유하고, 일반식 CnH2n +2-2x-2y-2z(O2)z를 갖는 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 1 이상이고, 적어도 불포화 결합은 에스테르의 카르보닐기와 컨쥬게이트되고, z는 구조 내 에스테르기의 수로 1 또는 2임).
예: 에틸메타크릴레이트(n=6, x=0, y=1, z=1) 등
16) 에테르 및 카르보닐 작용기 둘 다를 함유하고 일반식 CnH2n +2-2w-2x-2y(O)y(O)z를 갖는 탄화수소 구조(n은 1∼12이고, w는 구조 내 환식 고리의 수로 0∼4이고, x는 구조 내 불포화 결합의 수로 0∼n이고, y는 구조 내 카르보닐기의 수이고, 카르보닐기는 케톤 및/또는 알데히드일 수 있고, z는 구조 내 에테르기의 수로 1 또는 2이고, 에테르기(들)는 환식내 또는 환식외 존재할 수 있음).
예: 에톡시메타크롤레인(n=6, w=0, x=1, y=1, z=1) 등
17) 에테르 및 알콜 작용기 둘 다를 함유하고 일반식 CnH2n +2-2w-2x-y(OH)y(O)z를 갖는 탄화수소 구조(n은 1∼12이고, w는 구조 내 환식 고리의 수로 0∼4이고, x는 구조 내 불포화 결합의 수로 0∼n이고, y는 구조 내 알콜기의 수이고, z는 구조 내 에테르기의 수로 1 또는 2이고, 에테르기(들)는 환식내 또는 환식외 존재할 수 있음).
예: 3-히드록시테트라히드로퓨란 등
18) 알콜, 에테르, 카르보닐, 및 카르복실산 중에서 선택된 작용기의 임의의 조합을 함유하고, 일반식 CnH2n +2-2u-2v-w-2y-3z(OH)w(O)x(O)y(OOH)z를 갖는 탄화수소 구조(n은 1∼12이고, u는 구조 내 환식 고리의 수로 0∼4이고, v는 구조 내 불포화 결합의 수로 0∼n이고, w는 구조 내 알콜기의 수로 0∼4이고, x는 구조 내 에테르기의 수로 0∼4이고, 에테르기(들)는 환식내 또는 환식외 존재할 수 있고, y는 구조 내 카르보닐기의 수로 0∼3이고, 카르보닐기는 케톤 및/또는 알데히드일 수 있 고, z는 구조 내 카르복실산기의 수로 0∼2임).
19) 1개 이상의 1차 아민기를 함유하고 일반식 CnH2n +2-2x-2y-z(NH2)z를 갖는 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 화합물 내 아민기의 수로 1∼4이고, 아민 작용기는 환식외 및/또는 환식내에 존재할 수 있음).
예: 시클로펜틸아민(n=5, x=1, y=0, z=1) 등
20) 1개 이상의 2차 아민기를 함유하고 일반식 CnH2n +2-2x-2y-2z(NH)z를 갖는 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 화합물 내 2차 아민기의 수로 1∼4이고, 아민 작용기는 환식외 및/또는 환식내에 존재할 수 있음).
예: 디이소프로필아민(n=6, x=0, y=0, z=1), 피페리딘(n=5, x=1, y=0, z=1), 피리드(n=5, x=1, y=3, z=1) 등
21) 1개 이상의 3차 아민기를 함유하고 일반식 CnH2n +2-2x-2y-3z(N)z를 갖는 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 화합물 내 3차 아민기의 수로 1∼4이고, 아민 작용기는 환식외 및/또는 환식내에 존재할 수 있음).
예: 트리에틸아민(n=6, x=0, y=0, z=1), N-메틸피롤리딘(n=5, x=1, y=0, z=1), N-메틸피롤(n=5, x=1, y=2, z=1) 등
22) 1개 이상의 니트로기를 함유하고 일반식 CnH2n +2-2x-2y-z(NO2)z를 갖는 탄화수 소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 화합물 내 니트로기의 수로 1∼4이고, 니트로 작용기는 환식외 및/또는 환식내에 존재할 수 있음).
예: 니트로시클로펜탄(n=5, x=1, y=0, z=1), 니트로벤젠(n=6, x=1, y=3, z=1) 등
23) 아민 및 에테르 작용기 둘 다를 함유하고 일반식 CnH2n +2-2u-2v-w-2x-3y-z(NH2)w(NH)x(N)y(OH)z를 갖는 탄화수소 구조(n은 1∼12이고, u는 구조 내 환식 고리의 수로 0∼4이고, v는 구조 내 불포화 결합의 수로 0∼n이고, w는 1차 아민기의 수이고, x는 2차 아민기의 수이고, y는 3차 아민기의 수이고, 1<w+x+y<4이고, z는 화합물 내 알콜기의 수로 1∼4이고, 알콜 및/또는 아민기는 환식외 및/또는 환식내에 존재할 수 있음).
예: 2-(2-아미노에틸아미노)에탄올(n=4, u=0, v=0, w=1, x=1, y=0, z=1), N-메틸 모르폴린(n=5, u=1, v=0, w=0, x=0, y=1, z=1) 등
24) 아민 및 알콜 작용기 둘 다를 함유하고 일반식 CnH2n +2-2u-2v-w-2x-3y-z(NH2)w(NH)x(N)y(OH)z를 갖는 탄화수소 구조(n은 1∼12이고, u는 구조 내 환식 고리의 수로 0∼4이고, v는 구조 내 불포화 결합의 수로 0∼n이고, w는 1차 아민기의 수이고, x는 2차 아민기의 수이고, y는 3차 아민기의 수이고, 1<w+x+y<4이고, z는 화합물 내 에테르기의 수로 1∼4이고, 에테르 및/또는 아민기는 환식외 및/또는 환 식내에 존재할 수 있음).
예: 테트라히드로퍼퓨릴아민(n=5, u=1, v=0, w=1, x=0, y=0, z=1) 등
25) 아민 및 카르보닐 작용기 둘 다를 함유하고 일반식 CnH2n +2-2u-2v-w-2x-3y-2z(NH2)w(NH)x(N)y(O)z를 갖는 탄화수소 구조(n은 1∼12이고, u는 구조 내 환식 고리의 수로 0∼4이고, v는 구조 내 불포화 결합의 수로 0∼n이고, w는 1차 아민기의 수이고, x는 2차 아민기의 수이고, y는 3차 아민기의 수이고, 1<w+x+y<4이고, z는 화합물 내 카르보닐기의 수로 1∼4이고, 카르보닐기는 알데히드(들) 및/또는 케톤(들)일 수 있고, 카르보닐 및/또는 아민기는 환식외 및/또는 환식내에 존재할 수 있음).
예: N,N-디에틸포름아미드(n=5, u=0, v=0, w=0, x=0, y=1, z=1), (디메틸아민)아세톤(n=5, u=0, v=0, w=0, x=0, y=1, z=1), N-메틸피롤리디논(n=5, u=1, v=1, w=0, x=0, y=1, z=1) 등
이러한 기법에 대해서는 복합층 내 포로젠과 희생층 둘 다를 증착시키는데 1종 이상의 동일한 유기 전구체를 사용한 것과 연관되는 도 3A-H 및 실시예 4에 기술한다. 도 3A에서 베어(bare) 규소 웨이퍼를 나타내었으나, 이는 마이크로전자 또는 나노기술 장치 제작시 어느 단계일 수도 있다. 첫 번째 단계는 도 3B에 나타낸 바와 같이 1종 이상의 유기 전구체를 사용하여 PECVD를 통해 희생층을 증착시키는 것이다. 하드 마스크(포토레지스트 및 희생층에 대해 뛰어난 에칭 선택성을 보이는 임의의 물질일 수 있음)를 이러한 희생층의 상부상에 증착시키며, 도 3C에서는 DEMS 및 리모넨(Limonene)으로 증착된 복합 필름을 하드 마스크로 사용한 경우를 묘사하였다. 그 다음 단계는, 도 3D에 나타낸 바와 같이, 패턴 전사층을 도포하는 것으로, 이는 여러 다양한 기법을 이용하여, 예를 들어, 적용 용도에 따라 포토레지스트의 도포, 노출 및 현상을 통해, 또는 나노-임프린트 전사에 의해, 또는 가능한 경우 잉크-젯 기법에 의해 수행될 수 있다. 도 3E는 하드 마스크가 에칭되어진 이후의 구조를 묘사하는 것으로, 이는 예를 들어, RIE 또는 습윤 에칭을 통해 수행될 수 있다. 다음으로, 도 3F에 나타낸 바와 같이, 패턴은 예를 들어 O2 RIE에 의해 희생층으로 전사되며, 이 단계에서 희생층이 에칭됨에 따라 하드 마스크 상의 패턴 전사 물질이 완전히 제거되도록 패턴 전사 물질(즉, 포토레지스트)의 에칭 속도 및 두께를 조절하는 것이 바람직할 수 있으며, 이 경우 희생층에 부정적인 영향을 줄 수 있는 에싱(ashing) 단계가 요구되지 않게 된다. 희생층이 패턴화된 후, PDEMSTM의 복합층을 희생층의 증착에 사용된 것과 동일한 1종 이상의 유기 전구체를 사용하여, 도 3G에 나타낸 바와 같이 PECVD에 의해 형태의 상부상에 증착시키며, 이로써 다공성 OSG 층을 통한 희생층의 능력을 확인하게 된다. 최종적으로, 포로젠 및 희생층을 여러 기법 중 임의의 방법으로 제거하며, 도 3H에서는 열 어닐링 기법을 기술한다.
필름의 단면도는 SEM으로 측정하여 희생 유기 물질이 다공성 OSG 층을 통해 잘 제거되었는지를 확인하였다. 도 9A는 열 어닐링 이후의 SEM 사진으로, 희생 물질이 제거되어 다공성 OSG 네트워크 내에 "터널"이 남겨져 있음을 보여준다.
제2 구체예 : 선택적으로 에칭된 규소의 희생 물질
불소를 함유하는 선택적인 에칭 기체(예를 들어, XeF2 또는 BrF3)는 기체 상태로 에칭 규소 대 이산화규소를 선택적으로 에칭한다고 알려져 있으며, 예를 들어, [Lopez 등, Micro Total Analysis Systems 2002, Proceedings of the μTAS 2002 Symposium, 6th, Nara, Japan, Nov. 3-7, 2002 (2002), 2 934-936]를 참고하길 바란다. 이러한 에칭 선택성은 단일 결정-, 폴리- 또는 무정형-규소의 사용된 XeF2, BrF3 등과의 화학 반응성의 증가에 기인하는 것이다. 이러한 제작 유형의 한 예로 폴리-규소의 층을 규소 상에서 SiO2로 증착시킨 후, 폴리-규소의 상부상에 SiO2의 제2층을 코팅하고, 상부 SiO2 층 및 폴리-규소층 모두를 패턴화 및 에칭한 후, XeF2를 이용하여 폴리-규소층을 선택적으로 에칭함으로써 캔틸레버가 방출될 수 있는, 캔틸레버 형성 방법이 있다. 이 실시예에서, XeF2가 SiO2 캔틸레버 하에서 확산되도록 폴리-규소는 모서리부터 내부쪽으로 에칭되어진다.
본 발명의 제2 구체예에서, 불소를 함유하는 선택적인 에칭 기체는 다공성 실리케이트 또는 다공성 OSG 캡핑층(폴리- 또는 무정형-규소층 상에 형성됨)을 통해 확산되어, 구조를 개방시키지 않으며 아래 위치하는 폴리- 또는 무정형-규소를 에칭시키며, 상부층 주위에서 아래 위치하는 층을 에칭시킨다(즉, 측면 확산이 요구되지 않음). 이러한 방식으로, 규소층은 에칭 기체의 확산 경로를 개방시키지 않으면서 제거될 수 있다.
본 기법에 사용가능한 예로, 반도체 제작시 공극의 형성, 기체나 액체 전달용 터널의 형성(예를 들어, 미세모세관 제작에 의한 약물 전달용), 또는 진보된 섬유 광학 케이블 제작시 속이 빈 코어의 형성을 들 수 있다. 규소가 제거되기 이전에, 다공성 SiO2 또는 다공성 OSG 및 규소의 다중층을 제조하는 능력은, 마이크로칩내 회로, 특히 칩 상의 화학물질과 유사한 방식으로 상호작용할 수 있는 미세모세관의 인터커넥트층을 제작할 수 있게 한다.
도 4A-I는 간극 형성을 위한 대표적인 경로를 보여준다. 이 방법에서, 규소 웨이퍼(도 4A)는 산소 환경 내에서 열적으로 산화되어 SiO2 층(선택적인 불소 에칭 기체가 규소 웨이퍼 자체와 반응하는 것을 방지하기 위해 필요함)을 형성하며(도 4B), 비-규소의 하부층이 사용되는 경우에는 이 단계가 요구되지 않는다. 이러한 SiO2 상에, 폴리-규소층을 예를 들어, 고온의 열 CVD를 사용하여 실란으로부터 증착시켰다(도 4C). 다음 단계는 도 4D에 나타낸 바와 같이, 패턴 전사층을 도포하는 것으로, 이는 여러 다양한 기법에 의해, 예를 들어, 적용 용도에 따라 포토레지스트의 도포, 노출 및 현상을 통해, 또는 나노-임프린트 전사에 의해, 또는 가능한 경우 잉크-젯 기법에 의해 수행될 수 있다. 도 4E는 규소층이 에칭된 이후의 구조를 묘사한 것으로, 이는 예를 들어 RIE에 의해 또는 예를 들어 Cl2, 또는 HBr를 이용하여 수행될 수 있다. 다음으로, 패턴 전사 물질(즉, 포토레지스트)을 에싱 단계를 통해 제거하며, 에싱 단계에서는 여러 상이한 방법을 사용할 수 있는데, 즉, O2 반응성 이온 에칭 단계, O2 하류 에싱, 환원성 에싱, 예를 들어, H2 또는 NH3 하류 에싱, 또는 UV 보조 에싱 등을 들 수 있다. 이를 라인을 이후 도 4G에 나타낸 바와 같이 복합 실리카 또는 OSG로 코팅하였다. 여러 공정 중 임의의 공정을 이러한 복합층 형성에 사용할 수 있으며, 예를 들어, 스핀 코터(spin coater) 및 Meso-ELK 제품(Air Products and Chemicals, Inc., Allentown, PA)(본 명세서에 참고문헌으로 포함된 미국특허 제6,365,266호; 제6,592,980호; 제6,818,289호 및 제6,942,918호에 기술됨); 또는 PDEMTM 공정 중 PECVD를 통한 증착(Air Products and Chemicals, Inc., Allentown, PA)(미국특허 제6,583,048호 및 미국특허 제6,846,515호에 기술됨)을 이용할 수 있다. 그 후, 다공성 실리카 또는 다공성 OSG 형성에 사용된 포로젠을 도 4H에 나타낸 바와 같이 어닐링 단계로 제거하며, 이러한 어닐링 단계는 여러 공정 중 임의의 공정으로 수행될 수 있으며, 예를 들어, 비활성 대기하의 열 어닐링, 산화 대기하에 열 어닐링, 진공하에 열 어닐링, O2 플라즈마와 같은 반응성 이온, 대기 또는 감압하에 UV 어닐링 등을 들 수 있다. 이후, 이러한 샘플을 도 4I에서 나타낸 바와 같이, 10 Torr 및 실온에서 일정 기간 동안 BrF3에 노출시켰다.
본 발명 수행시 공극을 형성하기 위한 규소용의 기타 선택적인 에칭제에는, HF, 희가스 할라이드, 인터할로겐, 예를 들어, IF5, BrCl3, IF7 및 ClF3이 포함된다.
SiO2 또는 OSG 상의 규소용 BrF3 및 XeF2 에칭의 선택성은 낮은 온도에서는 온도 의존적이여서 높은 선택성을 보인다.
도 9B는 구조를 BrF3에 노출시켜 희생 규소 물질을 제거하여 다공성 OSG 네트워크 내에 "터널"을 남긴 이후의 SEM 사진을 보여준다.
이론에 구속되려는 것은 아니나, 웨이퍼 다이싱(dicing) 이후, 칩을 선택적인 불소 함유 에칭 기체에 노출시켜, 규소를 제거하고 선택적으로 공극을 형성하여, 규소로 전체 반도체 장치 제작이 가능하며, 이는 패키징(낮은 기계적 보전성을 갖는 물질의 경우 중요함) 동안의 기계적 보전성(mechanical integrity)에 있어 유리하다.
액체 또는 기체 채널을 갖는 장치 제작에 사용하기 위해서는, 주어진 지점에서 웨이퍼를 따라 화학물질의 혼합을 가능케 하는 패턴으로 폴리- 또는 무정형 규소 층을 에칭시켜야 하며, 채널의 다중층을 위해서는, 단일 칩상에 분자를 다중 단계로 합성하는 것도 가능하다. 다공성 실리카 또는 OSG 및 폴리- 또는 무정형-규소층의 소정의 구조가 제작되는 경우, 규소가 에칭되어 개방 채널이 남겨지도록, 장치를 선택적인 불소 함유 에칭 기체에 노출시킨다. 다공성층을 통해 한 채널에서 다른 채널로 기체 또는 액체가 확산되는 것을 방지하기 위해, 필요한 경우 다공성 실리카 또는 OSG 층 내의 세공을 충진시키는 것이 바람직할 수 있다. 이를 수행하는 한 가지 방법은 세공을 중합성 유기종으로, 예를 들어, 중합화시 활성화되어 세공을 효과적으로 충진시킬 수 있는 액체로 충진시키는 것이다. 이러한 과정은 세공내 액체를 채널내 물질보다 덜 희발성이 되도록 해주는 모세관 현상에 의해 용이해 진다.
속이 빈 코어 섬유 광학 케이블의 제조는 공기(RI = 1)와 다공성 실리카 또는 OSG(RI = 1.2-1.46) 간의 큰 굴절률(RI's) 차이로 인해 유리하며, 이러한 차이는 신호 밀도를 증가시키고, 종래의 속이 찬 코어 섬유 광학 케이블에 비해 신호 손실을 감소시킨다. 속이 빈 코어 섬유 광학 케이블을 제작하기 위해서는, 먼저 폴리- 또는 무정형-규소의 얇은 스트랜드를 형성한 후, 이를 복합 실리카 또는 OSG 층으로 코팅하고, 어닐링 단계로 포로젠을 제거하려고 계획할 것이다. 그 후, 규소 코어를 선택적인 불소 함유 에칭 기체를 사용하여 에칭시킬 것이다. 그 후, 이러한 속이 빈 코어 섬유를 다른 임의의 층으로 추가 코팅하는 것이 기계적 강도를 제공하기 위해 바람직할 수 있다.
제3 구체예 : 극성 용매 중에 용매화된 희생 물질
본 발명의 구체예로서, 다공성 실리케이트 층 및 수용성 금속 산화물(예를 들어, 산화게르마늄(GeO2))를 사용하여 구조를 제작하는 것을 고려하였다. GeO2가 수용성 옥시드 물질이라는 점과, GeO2가 쉽게 얻을 수 있는 전구체 물질로부터 화학기상증착 또는 PECVD 또는 예비-옥시드를 사용한 후 어닐링 단계를 사용한 스핀-온 기법과 같은 여러 기법 중 임의의 기법에 의해 증착될 수 있다는 점은 공지되어 있다. 수용성 금속 산화물 형성을 위한 전구체의 예에는, 게르마늄(Ge)계 전구체(테트라-메틸 게르만, 게르만, 테트라-메톡시 게르마늄 및 테트라-에톡시 게르마늄으로 구성된 군에서 선택됨); 및 붕소(B)계 전구체(트리메틸 붕소, 트리메톡시 보란, 트리에톡시 보란 및 디보란으로 구성된 군에서 선택됨)과 같은 물질이 포함되나, 이들로 제한되지 않는다. 동시에, SiO2 및 OSG 필름은 수용성이지 않으나, 이를 통한 물의 확산은 가능하므로, 예를 들어, 다공성 실리케이트 또는 다공성 OSG 층으로 덮여진 GeO2의 용해가 가능할 것이다.
본 발명은 추가적으로 수용성 금속 산화물층 상의 다공성 실리케이트 코팅을 사용하여, 물이 다공성층을 통해 확산되는 것을 가능케 하고, 구조를 에칭하지 않으면서 아래 위치하는 수용성 금속 산화물층을 에칭하여, 아래 위치하는 층의 모서리를 노출시키는 것을 제안한다.
이러한 기법을 사용 가능한 예로는, 반도체 제조시 공극의 형성, 기체 또는 액체의 전달용 터널의 형성(예를 들어, 약물 전달용), 또는 진보된 섬유 광학 케이블 제작시 속이 빈 코어의 형성을 들 수 있다.
도 5A-I는 간극 공간 형성을 위한 대표적인 경로를 보여준다. 이러한 과정에서, 규소 웨이퍼는 수용성 금속 산화물, 예를 들어 GeO2의 층으로 코팅된다(도 5A). 이러한 수용성 금속 산화물층 상에, SiO2 층과 같은 배리어층을 예를 들어, PECVD 공정을 사용하여 증착할 수 있으며(도 4B), 배리어층은 이 경우 레지스트 패턴으로 현상하기 위한 포토리소그래피 동안 수성 테트라메틸암모늄 히드록시드에 의해 수용성 금속 산화물이 용해되는 것을 방지하기 위해 사용되며(도 5C), 이러한 층은 잉크-젯 또는 임프린트 리소그래피와 같은 기타 패턴 전사 기법이 사용되는 경우에는 필요하지 않을 수도 있다. 도 5D는 수용성 금속 산화물이 에칭된 이후의 구조를 묘사하는 것으로, 이는 예를 들어 RIE 또는 CF4, C4F6, C4F8 등을 비롯한 여러 플루오로카본 중 임의의 것을 사용하여 수행될 수 있다. 다음으로, 도 5F에 나타낸 바와 같이, 패턴 전사 물질(즉, 포토레지스트)을 에싱 단계를 통해 제거하며, 에싱 단계에서는 여러 상이한 방법을 사용할 수 있는데, 즉, O2 반응성 이온 에칭 단계, O2 하류 에싱, 환원성 에싱, 예를 들어, H2 또는 NH3 하류 에싱, 또는 UV 보조 에싱 등을 들 수 있다. 이를 라인을 이후 도 5G에 나타낸 바와 같이 복합 실리카 또는 OSG로 코팅하였다. 여러 공정 중 임의의 공정을 이러한 복합층 형성에 사용할 수 있으며, 예를 들어, 스핀 코터 및 Meso-ELK 제품(Air Products and Chemicals, Inc., Allentown, PA)(본 명세서에 참고문헌으로 포함된 미국특허 제6,365,266호; 제6,592,980호; 제6,818,289호 및 제6,942,918호에 기술됨); 또는 PDEM 공정 중 PECVD를 통한 증착(Air Products and Chemicals, Inc., Allentown, PA)(미국특허 제6,583,048호 및 미국특허 제6,846,515호에 기술됨)을 이용할 수 있다. 그 후, 다공성 실리카 또는 다공성 OSG 형성에 사용된 포로젠을 도 4H에 나타낸 바와 같이 어닐링 단계로 제거하며, 이러한 어닐링 단계는 여러 공정 중 임의의 공정으로 수행될 수 있으며, 예를 들어, 비활성 대기하의 열 어닐링, 산화 대기하에 열 어닐링, 진공하에 열 어닐링, O2 플라즈마와 같은 반응성 이온, 대기 또는 감압하에 UV 어닐링 등을 들 수 있다. 이후, 이러한 샘플을 도 5I에서 나타낸 바와 같이, 10 Torr 및 실온에서 일정 기간 동안 BrF3에 노출시켰다.
도 9C는 일부 GeO2의 물과의 용해 이후의 SEM 사진으로, 희생 수용성 금속 산화물이 제거되어 다공성 OSG 네트워크 내에 "터널"이 남겨져 있음을 보여준다.
희생층으로 GeO2를 사용한 경우 공극 제작에 사용하기 위한 방식은 희생층으로 순수한 포로젠을 사용하는 경우와 유사하며, 실제로 공극이 제작되기 이전에 전체 반도체 장치를 제작하는 것이 가능하고, 이는 패키징(낮은 기계적 보전성을 갖는 물질의 경우 중요함) 동안의 기계적 보전성에 있어 장점을 제공할 것이다.
액체 또는 기체 채널 내에 사용하기 위해서는, 주어진 지점에서 웨이퍼를 따라 화학물질의 혼합을 가능케 하는 패턴으로 GeO2 층을 에칭시켜야 하며, 채널의 다중층을 위해서는, 단일 칩상에 분자를 다중 단계로 합성하는 것도 가능하다. 다공성 실리카 또는 OSG 및 GeO2 층의 소정의 구조가 제작되는 경우, GeO2가 에칭되어 개방 채널이 남겨지도록, 장치를 물에 노출시킨다. 다공성층을 통해 한 채널에서 다른 채널로 기체 또는 액체가 확산되는 것을 방지하기 위해, 필요한 경우 다공성 실리카 또는 OSG 층 내의 세공을 충진시키는 것이 바람직할 수 있다. 이를 수행하는 한 가지 방법은 세공을 중합성 유기종으로, 예를 들어, 중합화시 활성화되어 세공을 효과적으로 충진시킬 수 있는 액체로 세공을 충진시키는 것이다. 이러한 과정은 세공내 액체를 채널내 물질보다 덜 희발성이 되도록 해주는 모세관 현상에 의해 용이해진다.
속이 빈 코어 섬유 광학 케이블의 제조는 공기(RI = 1)와 다공성 실리카 또는 OSG(RI = 1.2-1.4) 간의 큰 굴절률(RI's) 차이로 인해 유리하며, 이러한 차이는 신호 밀도를 증가시키고, 종래의 속이 찬 코어 섬유 광학 케이블에 비해 신호 손실을 감소시킨다. 속이 빈 코어 섬유 광학 케이블을 제작하기 위해서는, 먼저 GeO2의 얇은 스트랜드를 형성한 후, 이를 다공성 실리카 또는 OSG 층으로 코팅하려고 계획할 것이다. 그 후, 규소 코어를 물을 사용하여 에칭시킬 것이다. 그 후, 이러한 속이 빈 코어 섬유를 다른 임의의 층으로 추가 코팅하는 것이 기계적 강도를 제공하기 위해 바람직할 수 있다.
B2O3를 수용성 금속 산화물로 GeO2 대신 사용할 수 있다. 알콜, 에테르, 헤테로원자 함유 분자, 에스테르, 케톤, 알데히드 및 이러한 용매의 혼합물과 같은 다양한 극성 용매를 물 대신 사용할 수 있다.
무기 포로젠을 용해시킴으로써 다공성층을 형성하기 위해, GeO2 및/또는 B2O3를 물에 용해시킴으로써 장치 제작이 완결될 수 있는 방식으로, GeO2 및/또는 B2O3를 SiO2 및/또는 OSG를 따라 동시-증착하는 것도 가능할 수 있다.
증착 방법
전술한 바와 같이, 희생 물질 및 복합층을 기판의 적어도 일부상에 전구체 조성물 또는 혼합물로부터 여러 상이한 방법을 사용하여 증착시킨다. 이러한 방법들은 그 자체로 또는 조합하여 사용할 수 있다. 유기실리케이트 필름을 형성하는데 사용할 수 있는 방법의 몇 가지 예에는, 열 화학기상증착, 플라즈마 증강 화학기상증착("PECVD"), 고밀도 PECVD, 광자 보조 CVD, 플라즈마-광자 보조("PPECVD"), 극 저온 화학기상증착, 화학적 보조 증기 증착, 핫-필라멘트 화학기상증착(aka iCVD, 또는 cat-CVD), 포토 개시 화학기상증착, 액체 중합체 전구체의 CVD, 초임계 유체로부터의 증착, 또는 전달 중합화("TP")가 포함된다. 특정 바람직한 구체예에서, 증착은 100∼425℃, 바람직하게는 200∼425℃, 보다 바람직하게는 200∼350℃의 온도 범위에서 수행된다. 본 명세서에 사용된 화학 시약을 때때로 "기체상"으로 기술하였으나, 화학 시약은 기체로 반응기에 직접 전달되거나, 증기화된 액체로 전달되거나, 승화된 고체로 전달되거나, 및/또는 비활성 캐리어 기체에 의해 반응기에 전달될 수도 있다.
본 발명의 특정 구체예에서, 희생 및 복합 물질은 플라즈마-증강된 화학기상증착 공정을 통해 형성된다. 간단히 설명하면, PECVD 공정에서, 화학 시약을 진공 챔버와 같은 반응 챔버에 흘리고, 플라즈마 에너지가 화학 시약에 에너지를 제공하여, 기판의 적어도 일부상에 필름이 형성된다. 이러한 구체예에서, 기판의 층은 1종 이상의 플라즈마-중합가능한 유기 물질(희생층을 형성함) 및 1종 이상의 실리카-함유 전구체(예, 오가노실란 또는 오가노실록산, 복합층을 형성함)을 포함하는 기체 혼합물의 동시-증착에 의해 형성되거나, 또는 대안적으로 이들의 순차적인 증착에 의해 형성된다. 특정 구체예에서, 시약에 공급되는 플라즈마 에너지는 0.02∼7 watts/cm2, 보다 바람직하게는 0.3∼3 watts/cm2의 범위일 수 있다. 각각의 시약에 대한 유속은 10∼5000 sccm의 범위일 수 있다. 본 발명의 PECVD 공정을 위한 증착 동안 진공 챔버 내 압력값은 0.01∼600 torr, 보다 바람직하게는 1∼10 torr의 범 위일 수 있다. 그러나, 플라즈마 에너지, 유속 및 압력과 같은 공정 변수는 기판의 표면적, PECVD 공정에 사용된 장비 등과 같은 여러 인자에 의존하여 변화될 수 있다.
1개 이상의 화학물질에 추가하여, 추가적인 물질을 증착 반응 이전, 동안 및/또는 이후에 진공 챔버에 공급할 수 있다. 이러한 물질에는, 예를 들어, 비활성 기체(예, 휘발성이 약한 전구체용 캐리어 기체로 사용될 수 있거나, 및/또는 이미 증착된 물질의 경화를 촉진하고 보다 안정한 최종 필름을 제공할 수 있는, He, Ar, N2, Kr, Xe 등) 및 반응성 물질, 예를 들어, 기체상 또는 액체상 유기 물질, NH3, H2, CO2, CO, O2, 또는 N2O이 포함된다. CO2가 바람직한 캐리어 기체이다.
에너지는 기체상 시약에 공급되어 기체가 반응하여 기판상에 필름을 형성하도록 유도한다. 이러한 에너지는 예를 들어, 열, 핫 필라멘트, 플라즈마, 펄스 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도적으로 커플링된 플라즈마, 및 원격 플라즈마법에 의해 공급될 수 있다. 2차 RF 주파수 공급원은 기판 표면에서 플라즈마 특징을 변화시키는데 사용될 수 있다. 바람직하게, 필름은 플라즈마 증강 화학기상증착에 의해 형성된다. 13.56 MHz의 주파수에서 전기용량적으로(capacitively) 커플링된 플라즈마를 발생시키는 것이 특히 바람직히디. 플라즈마 전력은 기판의 표면적에 기초하여 바람직하게는 0.02∼7 watts/cm2, 보다 바람직하게는 0.3∼3 watts/cm2이다. 낮은 이온화 에너지를 갖는 캐리어 가스를 사용하여, 플라즈마 내 전자 온도를 낮추는 것(이는 순차적으로 OSG 전구체(들) 내의 단편화를 덜 초래함)이 바람직할 수 있다. 이러한 낮은 이온화 기체의 유형에는, CO2, NH3, CO, CH4, Ar, Xe, 및 Kr이 포함된다.
각각의 기체 시약의 유속은 단일 200 mm 웨이퍼당 바람직하게는 10∼5000 sccm, 보다 바람직하게는 30∼1000 sccm의 범위이다. 개별적인 속도는 필름내 구조-형성제 및 세공-형성제의 소정의 양을 제공하도록 선택된다. 요구되는 실제 유속은 웨이퍼 크기 및 챔버 형상에 의존할 수 있으며, 200 mm 웨이퍼나 단일 웨이퍼 챔버로 제한될 필요는 없다. 특정 구체예에서, 필름은 50 nm/분 이상의 증착 속도에서 증착될 수 있다.
증착 동안의 진공 챔버내 압력은 바람직하게는 0.01∼600 torr, 보다 바람직하게는 1∼15 torr이다.
필름은 0.002∼10 미크론의 두께로 증착되는 것이 바람직하나, 필요에 따라 두께는 다양할 수 있다. 비-패턴화된 표면상에 증착된 블랭킷 필름은 뛰어난 균일성을 갖는데, 모서리가 꽤 제외된 기판(예를 들어, 기판의 5 mm 최외부 모서리는 균일성의 통계적 계산시 포함되지 않음)을 따라, 1 표준편차로 2% 미만의 두께 편차를 갖는다.
전술한 증기 증착법은 물론, 특정 구체예에서는 스핀-온 기법을 사용하여 복합 필름, 예를 들어 Meso-ELKTM 필름(Air Products and Chemicals), 예를 들어, 미 국특허 제7,122,880호에 따라 증착된 필름을 적용하는 것도 가능하다.
이러한 필름은 일반적으로 혼합물을 사용한 스핀-온 기법을 사용하여 형성된다. 이러한 혼합물은 일반적으로 1종 이상의 실리카 공급원 및 1종 이상의 포로젠을 포함한다. 이러한 혼합물은 물, 용매(들), 촉매 및/또는 이온성 첨가제와 같은 기타 성분을 추가적으로 포함할 수 있으나, 이들로 제한되는 것은 아니다.
전술한 바와 같이, 혼합물은 1종 이상의 실리카 공급원을 포함한다. 본 명세서에 사용된 "실리카 공급원"은 규소(Si) 및 산소(O)를 함유하며, 가능한 경우 추가적인 치환체(H, B, C, P, 또는 할라이드 원자와 같은 기타 원소 및 알킬기 및 아릴기와 같은 유기기를 포함하지만 이로 제한되지 않음)를 함유하는 화합물이다. 본 명세서에 사용된 용어 "알킬"은 선형, 분지형, 또는 환식 알킬기(1∼24개의 탄소 원자, 바람직하게는 1∼12개의 탄소 원자, 보다 바람직하게는 1∼5개의 탄소 원자를 함유함)를 포함한다. 이 용어는 또한 할로알킬, 알크아릴 또는 아르알킬과 같이 다른 기 내에 함유된 알킬부분에도 적용된다. 용어 "알킬"은 추가적으로 치환된 알킬 부분, 예를 들어, 카르보닐 작용기로 치환된 알킬 부분에도 적용된다. 본 명세서에 사용된 용어 "아릴"은 방향족 특성을 갖는 6∼12원 탄소 고리를 나타낸다. 용어 "아릴"은 또한 치환된 아릴 부분에도 적용된다. 실리카 공급원은 Si-O 결합의 수가 많은 물질을 포함할 수 있으나, 추가적으로 Si-O-Si 브리지, Si-R-Si 브리지, Si-C 결합, Si-H 결합, Si-F 결합, 또는 C-H 결합을 포함할 수도 있다. 1종 이상의 실리카 공급원은 유전체 물질내에 최소한의 Si-OH 결합을 부여해 주는 것이 바람직 하다.
본 발명의 필름 형성에 사용되는 혼합물은 추가적으로 포로젠을 포함한다. 본 명세서에 사용된 용어 "포로젠"은 결과 필름 내에 간극 부피를 생성시키는데 사용되는 시약이다. 본 발명의 복합 물질 내에 사용되기 적합한 포로젠에는, 불안정한 유기기, 용매, 분해가능한 중합체, 계면활성제, 덴드리머, 고도분지형 중합체, 폴리옥시알킬렌 화합물, 유기 마크로분자, 또는 이들의 조합이 포함된다. 적합한 포로젠의 또다른 예에는, 계류중인 특허출원, 대리인 참고번호 06274P2(본 발명의 양수인에게 양도되었음)에 기술된 포로젠이 포함된다.
본 발명의 특정 구체예에서, 포로젠은 불안정한 유기기를 포함할 수 있다. 일부 불안정한 유기기가 반응 혼합물 중에 존재하는 경우, 불안정한 유기기는 충분한 산소를 함유하여 경화 단계 동안 기체 생성물로 전환될 수 있다. 불안정한 유기기를 함유하는 화합물의 일부 예에는, 본 명세서에 그 전체로 참고문헌으로 포함된 미국특허 제6,171,945호에 공개된 화합물이 포함된다.
본 발명의 일부 구체예에서, 포로젠은 용매일 수 있다. 덧붙여, 용매는 일반적으로 매트릭스 물질의 가교결합시 적어도 일부의 기간 동안 존재한다. 세공 형성에 도움을 주기위해 전형적으로 사용되는 용매는 상대적으로 높은 끓는점(즉, 175℃ 초과, 바람직하게는 200℃ 초과)을 갖는다. 본 발명의 혼합물 내에서 포로젠으로 사용되기 적합한 용매에는, 예를 들어, 미국특허 제6,231,989호에 공개된 용매가 포함된다.
특정 구체예에서, 포로젠은 참고문헌[Zheng 등, "Synthesis of Mesoporous Silica Materials with Hydroxyacetic Acid Derivatives as Templates via a Sol-Gel Process", J. Inorg. Organomet. Polymers, 10, 103-113 (2000)]에 기술된 것과 같은 소분자일 수 있다.
포로젠은 또한 분해가능한 중합체일 수도 있다. 분해가능한 중합체는 방사 분해가능할 수 있으며, 또는 보다 바람직하게는 열 분해가능할 수 있다. 본 명세서에 사용된 용어 "중합체"는 또한 반대 의미를 나타냄을 언급하지 않는한 용어 올리고머 및/또는 공중합체를 포함하는 개념이다. 방사 분해가능한 중합체는 방사(예, 자외선, X-선, 전자빔 등)에 노출시 분해되는 중합체이다. 열 분해가능한 중합체는 실리카 공급원 물질의 축합 온도에 근접한 온도에서 열 분해를 겪어, 가교결합시 적어도 일부의 기간 동안 존재한다. 이러한 중합체는 유리화 반응의 주형화를 촉진하고, 세공 크기를 조절 및 정의해주며, 가공시 적절한 시간에 매트릭스 밖으로 분해 및 확산되는 것이다. 이러한 중합체의 예에는, 3차원 구조를 제공하는 형태를 갖는 중합체가 포함되며, 예를 들어, 블록 공중합체, 즉, 디블록, 트리블록, 및 멀티블록 공중합체; 스타 블록 공중합체; 라디칼 디블록 공중합체; 그래프트 디블록 공중합체; 코그래프트 공중합체; 덴드리그래프트 공중합체; 테이퍼 블록 공중합체; 및 이들 형태의 조합이 포함되나, 이들로 제한되지 않는다. 분해가능한 중합체의 추가적인 예는, 본 명세서에 그 전체로 참고문헌으로 포함된 미국특허 제6,204,202호에서 찾을 수 있다.
포로젠은 고도분지형 또는 덴드리머 중합체일 수 있다. 고도분지형 및 덴드리머 중합체는 일반적으로 낮은 용융 점도, 표면 작용성으로 인한 높은 화학적 반 응성, 및 높은 분자량에서도 증강된 용해도를 가진다. 적합한 분해가능한 고도분지형 중합체 및 덴드리머의 비제한적인 일부 예는, 본 명세서에 그 전체로 참고문헌으 포함된 ["Comprehensive Polymer Science", 2nd Supplement, Aggarwal, pp. 71-132 (1996)]에 공개되어 있는 것이다.
필름-형성 혼합물 내의 포로젠은 또한 폴리옥시알킬렌 비이온성 계면활성제, 폴리옥시알킬렌 중합체, 폴리옥시알킬렌 공중합체, 폴리옥시알킬렌 올리고머, 또는 이들의 조합과 같은 폴리옥시알킬렌 화합물일 수도 있다. 이러한 한 예가 폴리에틸렌 옥시드, 폴리프로필렌 옥시드, 및 이들의 공중합체와 같은 C2∼C6 범위의 알킬 부분을 포함하는 폴리알킬렌 옥시드이다.
본 발명의 포로젠은 또한 계면활성제을 포함할 수 있다. 실리카 졸-겔에 기초한 필름의 경우(다공도는 계면활성제의 첨가에 의해 도입되며, 계면활성제는 이후 제거됨), 계면활성제의 양을 다양하게 하면 다공도를 다양하게 할 수 있다. 전형적인 계면활성제는 양친성을 보이는데, 양친성이란 동시에 소수성과 친수성 둘 다를 갖을 수 있는 것을 의미한다. 양친성 계면활성제는 물에 강한 친화성을 갖는 친수성 헤드기(들)와, 친유기성을 가지며 물을 밀어내는 긴 소수성 꼬리를 포함한다. 계면활성제는 음이온성, 양이온성, 비이온성, 또는 양성(amphoteric)일 수 있다. 계면활성제의 추가적인 분류로는, 실리콘 계면활성제, 폴리(알킬렌 옥시드) 계면활성제, 및 플루오로케미칼 계면활성제가 포함된다.
필름이 스핀-온 접근법을 통해 형성되는 구체예에서, 혼합물은 그 중에서도 특히 1종 이상의 실리카 공급원, 포로젠, 촉매, 이온성 첨가제, 및 물을 포함한다. 특정 바람직한 구체예에서, 혼합물은 용매 및 계면활성제를 추가적으로 포함한다. 간단히 설명하면, 혼합물을 기판상에 분배하고, 용매와 물을 증발시켜 필름을 형성할 수 있다. 계면활성제 및 잔여 용매와 물은 일반적으로 코팅된 기판을 하나 이상의 온도로 복합 필름을 형성하기에 충분한 시간 동안 경화시킴으로써 제거된다.
그 후, 코팅된 기판을 가열 또는 경화시켜 다공성 SiO2 또는 OSG 필름을 형성한다. 특정 온도 및 지속 시간은 혼합물 내의 성분, 기판, 및 소정의 세공 부피에 따라 달라질 것이다. 특정 구체예에서, 경화 단계는 통제되는 램프나 침지 하에서보다 2개 이상의 온도에서 수행한다. 제1 온도(전형적으로 300℃ 미만)는 혼합물로부터 물 및/또는 용매를 제거할 것이며, 추가적으로 가교결합 반응을 일으킬 것이다. 제2 온도는 포로젠을 제거하고, 실질적으로 물질을 가교결합시킬 것이다(완전히 가교결합시킬 필요는 없음).
유기 포로젠 및 희생 물질의 제거
유기 포로젠 및 희생 물질은 경화 단계로 제거되며, 경화 단계는 열 어닐링, 화학적 처리, 인-시투 또는 원격 플라즈마 처리, 전자빔 처리, 광경화 및/또는 마이크로파 처리를 포함할 수 있다. 기타 인-시투 또는 후-증착 처리도 잔여 다공성 SiO2 또는 다공성 OSG의 물질성(예, 경도, 안정성(수축, 공기 노출, 에칭, 습윤 에칭 등에 대한 안정성), 집적성, 균일성 및 접착성)을 증강시키는데 사용될 수 있다. 이러한 처리는 포로젠 제거와 동일하거나 상이한 수단을 이용하여, 포로젠 제 거 이전, 포로젠 제거 동안 및/또는 포로젠 제거 이후에 필름에 적용할 수 있다. 따라서, 본 명세서에서 사용된 용어 "후-처리"는 필름을 에너지(예, 열, 플라즈마, 양자, 전자, 마이크로파 등) 또는 화학물질로 처리하여, 포로젠을 제거하고, 필름을 안정화시키고 및/또는 물질성을 증강시키는 것을 나타낸다.
후-처리를 수행하는 조건은 다양할 수 있다. 예를 들어, 후-처리는 고압 또는 진공 대기하에 수행될 수 있다.
어닐링은 이하 조건하에서 수행한다.
환경은 비활성(예, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe) 등), 산화(예, 산소, 공기, 산소 희석 환경, 산소 풍부 환경, 오존, 아산화질소 등) 또는 환원(희석 또는 농축 수소, 탄화수소(포화된, 불포화된, 선형 또는 분지형, 방향족) 등) 조건일 수 있다. 압력은 약 1 Torr∼약 1000 Torr가 바람직하다. 그러나, 진공 대기도 열 어닐링 및 기타 임의의 후-처리 수단에 적합하다. 온도는 200∼500℃가 바람직하며, 온도 경사률은 0.1∼100 deg ℃/분이다. 총 어닐링 시간은 0.01분∼12시간인 것이 바람직하다.
OSG 필름의 가능한 화학적 변형 및 불안정한 기의 선택적인 제거를 위한 플라즈마 처리는 이하 조건하에서 수행한다.
환경은 비활성(질소, CO2, 희가스(He, Ar, Ne, Kr, Xe) 등), 산화(예, 산소, 공기, 산소 희석 환경, 산소 풍부 환경, 오존, 아산화질소 등) 또는 환원(희석 또는 농축 수소, 탄화수소(포화된, 불포화된, 선형 또는 분지형, 방향족) 등) 조건일 수 있다. 플라즈마 전력은 0∼5000 W가 바람직하다. 온도는 상온∼500℃가 바람직하다. 압력은 10 mtorr∼대기압이 바람직하다. 총 경화 시간은 0.01분∼12시간인 것이 바람직하다.
UV 노출을 통한 포로젠 및 희생 유기 물질의 제거는 이하 조건하에서 수행한다.
환경은 비활성(예, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe) 등), 산화(예, 산소, 공기, 산소 희석 환경, 산소 풍부 환경, 오존, 아산화질소 등) 또는 환원(희석 또는 농축 탄화수소, 수소 등) 조건일 수 있다. 온도는 상온∼500℃가 바람직하다. 전력은 0∼5000 W가 바람직하다. 파장은 IR, 가시광선, UV 또는 딥(deep) UV(파장 < 200nm)인 것이 바람직하다. 총 경화 시간은 0.01분∼12시간인 것이 바람직하다.
마이크로파 노출을 통한 포로젠 및 희생 유기 물질의 제거는 이하 조건하에서 수행한다.
환경은 비활성(예, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe) 등), 산화(예, 산소, 공기, 산소 희석 환경, 산소 풍부 환경, 오존, 아산화질소 등) 또는 환원(희석 또는 농축 탄화수소, 수소 등) 조건일 수 있다. 온도는 상온∼500℃가 바람직하다. 전력 및 파장은 다양하며 특정 결합에 대해 가변적이다. 총 경화 시간은 0.01분∼12시간인 것이 바람직하다.
전자빔 노출을 통한 포로젠 및 희생 유기 물질의 제거는 이하 조건하에서 수 행한다.
환경은 진공, 비활성(예, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe) 등), 산화(예, 산소, 공기, 산소 희석 환경, 산소 풍부 환경, 오존, 아산화질소 등) 또는 환원(희석 또는 농축 탄화수소, 수소 등) 조건일 수 있다. 온도는 상온∼500℃가 바람직하다. 전자 밀도 및 에너지는 다양할 수 있으며 특정 결합에 대해 가변적이다. 총 경화 시간은 0.01분∼12시간인 것이 바람직하며, 연속식 또는 펄스식일 수 있다. 전자빔의 일반적인 사용방법에 관한 추가적인 가이드는 문헌[S. Chattopadhyay 등, Journal of Materials Science, 36 (2001) 4323-4330; G. Kloster 등, Proceedings of IITC, June 3-5, 2002, SF, CA; 및 미국특허 제6,207,555 B1호, 제6,204,201 B1호 및 제6,132,814 A1호]에서 얻을 수 있다. 전자빔 처리로 인해 매트릭스 내 결합-형성 과정을 통해 필름의 기계적 성질의 개선 및 포로젠 제거를 제공할 수 있다.
본 발명의 필름은 또한 무기 불소의 형태로 불소를 함유할 수 있다(예, Si-F). 불소가 존재하는 경우 그 양을 0.5∼7 원자%로 함유하는 것이 바람직하다.
필름은 화학 기계적 평탄화(CMP) 및 비등방성 에칭과 양립가능하며, 규소, SiO2, Si3N4, OSG, FSG, 탄화규소, 수소화 탄화규소, 질화규소, 수소화 질화규소, 규소 카보니트리드, 수소화 규소 카보니트리드, 보로니트리드, 반사방지성 코팅, 포토레지스트, 유기 중합체, 다공성 유기 및 무기 물질과 같은 다양한 물질, 구리 및 알루미늄 같은 금속, 및 확산 배리어 층(TiN, Ti(C)N TaN, Ta(C)N, Ta, W, WN 또는 W(C)N를 포함하나 이들로 제한되지 않음)에 접착할 수 있다. 1종 이상의 전술한 물질에 충분히 접착되어, ASTM D3359-95a 테이프 인장(pull) 테스트와 같은 종래의 인장 테스트를 통과할 수 있는 필름이 바람직하다. 필름이 식별가능하게 제거되지 않는 경우 샘플은 이 테스트를 통과한 것으로 여겨진다.
본 발명의 제품은 CVD에 의해 증착될 수 있는 임의의 형태, 예를 들어, 코팅, 멀티라미나 어셈블리, 및 기타 평면이나 얇을 필요가 없는 대상의 다른 형태, 및 집적 회로내에 사용될 필요가 없는 다수의 대상으로 제공될 수 있다. 기판이 반도체인 것이 바람직하다.
공극 구조 내에 희생 물질로 사용하기 위한 본 발명의 물질에 추가하여, 본 발명은 제품 제조 방법, 제품 사용 방법 및 제품 제조에 유용한 화합물 및 조성물을 포함한다.
도 6A-G는 본 발명의 반도체 기판 제조 방법의 단계를 설명하는 단면도를 보여준다. 도 6A는 희생 물질(30)의 층의 상부상에 포로젠 + SiO2 또는 OSG의 층(40)을 보여준다. 이러한 층의 아래에는, 기판(50)의 상부상에, 적어도 간극 공간(10), 다공성 실리카 또는 OSG 층(20), 금속화 층(60), 및 구리 금속(70)을 포함하는 이미 제작된 공극 인터케넥트 레벨이 존재한다. 도 6B에서, 적어도 포로젠 및 실리카 또는 OSG로 구성된 상부층(40)은 포토리소그래피 기법을 이용하여 에칭되는 것이 바람직하다. 상부층(40)이 레벨을 통해 패턴화된 이후, 도 6C에 나타낸 바와 같이 표준 포토리소그래피 기법을 이용하여 희생층(30)으로 에칭될 수 있다. 이후, 1종 이상의 Ta, TaN, Ru, Ti, TiN, TiSiN를 포함하는 구리 배리어층(60)을 도 6D에 나타낸 바와 같이 증착시킨다. 이후, 구리(70)를 예를 들어 전기도금 또는 무전해 도금에 의해 증착시키고(도 6E), 구리 및 배리어의 CMP를 수행하여 평면 구조를 형성한다(도 6F). 마지막으로, 포로젠 및 희생층을 도 6G에 나타낸 바와 같이 제거한다.
싱글 다마신 방법을 도 7A-7K에 나타내며, 이 방법으로 희생층이 메탈 라인 사이에 형성되게 된다. 이러한 방법에서, 각각의 층은 별개로 증착 및 에칭된다. 먼저, 희생층(30)이 도 7A 및 7B에 나타낸 바와 같이 증착 및 에칭된다. 이후, 1종 이상의 Ta, TaN, Ru, Ti, TiN, TiSiN를 포함하는 구리 배리어층(60)을 도 7C에 나타낸 바와 같이 증착시킨다. 이후, 구리(70)를 예를 들어 전기도금 또는 무전해 도금에 의해 증착시키고(도 7D), 구리 및 배리어의 CMP를 수행하여 평면 구조를 형성한다(도 7E). 이후, 복합 실리카 또는 SOG 층을 포로젠(40)으로 증착(도 7F) 및 에칭(도 7G)시킨다. 이후, 1종 이상의 Ta, TaN, Ru, Ti, TiN, TiSiN를 포함하는 구리 배리어층(60)을 도 7H에 나타낸 바와 같이 증착시킨다. 이후, 구리(70)를 예를 들어 전기도금 또는 무전해 도금에 의해 증착시키고(도 7I), 구리 및 배리어의 CMP를 수행하여 평면 구조를 형성한다(도 7J). 마지막으로, 포로젠 및 희생층을 도 7K에 나타낸 바와 같이 제거한다.
도 8A-I는 듀얼 다마신 접근법을 사용하여 금속 라인 사이에 공극이 어떻게 형성될 수 있는지를 도식적으로 보여준다. 먼저, 도 8A에 나타낸 바와 같이 복합 실리카 또는 OSG 층을 포로젠(40)으로 기판(50)상에 증착시킨다. 이후, 포로젠을 제거하여 도 8B에 나타낸 바와 같이 다공성 실리카 또는 OSG 층(20)을 얻는다. 이후, 희생층(30)을 도 8C에 나타낸 바와 같이 다공성층(20)상에 증착시킨다. 이후, 희생층 및 다공성층을 포토리소그래피 기법을 이용하여 에칭시키며(도 8D-E), 희생층과 포토레지스트 사이의 에칭 및 에싱 선책성을 증강시키기 위해, 특히 희생층이 유기 물질인 경우에는 이 단계에서 하드 마스크를 사용하는 것이 필요할 수 있다. 이후, 1종 이상의 Ta, TaN, Ru, Ti, TiN, TiSiN를 포함하는 구리 배리어층(60)을 도 8F에 나타낸 바와 같이 증착시킨다. 이후, 구리(70)를 예를 들어 전기도금 또는 무전해 도금에 의해 증착시키고(도 8G), 구리 및 배리어의 CMP를 수행하여 평면 구조를 형성한다(도 8H). 이후, 실리카 또는 OSG의 복합층을 포로젠(40)으로 상부상에 증착시킨다(도 8I).
상기 설명은 예시적인 것이며, 부가적인 단계 및/또는 부가적인 층을 사용할 수도 있다. 또한, 특정 구체예에서는 에칭정지층, 반사방지성 코팅, SiO2 하드마스크층, TiN 또는 기타 금속 하드마스크, 라이너, 배리어층, Cu 확산층, 금속 시드층, 금속 접착층, 탄소 하드마스크 등과 같은 층을 포함시켜 구조의 설계 및 제작에 도움을 주도록 하는 것이 유리할 수도 있다. 추가적인 예로는, 하드 마스크층, 에칭 정지 배리어층, 접착층, 접착 촉진제, 스트레스 버퍼, 에칭 후 처리, 회복 화학법, 제1 듀얼 다마신 에칭을 통한 제1 트레치용 희생층이 포함되나, 이들로 제한되지 않는다.
본 발명을 이하 실시예를 참고하여 보다 상세히 설명할 것이나, 본 발명이 이로 제한되어 해석되는 것이 아니라는 것을 이해해야 한다.
실시예
200 mm DxZ 진공 챔버(Advance Energy 200 rf 발생기가 장착됨) 내에서, 여러 상이한 화학적 전구체 및 공정 조건으로 Applied Materials Precision-5000 시스템을 이용하여 플라즈마 증강 CVD 공정을 통해 대표적인 필름을 형성하였다. CVD 공정은 일반적으로 하기 기본적인 단계를 포함한다: 초기 셋업 및 기체 흐름의 안정화 단계, 증착, 및 웨이퍼 제거 이전 챔버의 정화/배출 단계. 각각의 필름의 두께 및 굴절률은 SCI Filmtek 2000 Reflectometer 상에서 측정하였다. RIE 에칭은 표준 RIE 에칭 방법을 사용하여 AMAT 플랫폼 상에서 수행하였다. 포토리소그래피는 KarlSuss MA6 콘택트 스텝퍼를 사용하여 365 nm에서 수행하였으며, 표준 TMAH 현상제 용액을 이용하여 현상하였다. 형상의 RIE 에칭 이후, 잔여 포토레지스트를 O2 하류 에싱 기구 내에서 제거하였다.
적용시, 열 후-증착 처리 또는 어닐링은 Applied Test Systems, Inc. Series 3210 튜브 노(furnace)(2∼4 slpm 범위의 질소 흐름을 갖는 4" 직경의 정화된 석영 튜브가 장착됨) 내에서 수행하였다. 램프 속도는 25∼425℃에서 분당 13℃이었다. 425℃에서, 필름을 4시간 동안 침지시켰다. 필름을 노에서 제거하기 이전에 100℃ 아래로 냉각시켰다.
실시예 1∼3
유기 전구체를 사용한 희생층의 증착
3가지의 대표적인 희생층을 본 명세서에 소개한 플라즈마 증강 CVD 공정을 통해 기판의 표면상에 증착시켰다. 표 I은 3가지 대표적인 희생층에 대한 증착 조건을 제공한다.
[표 I]
다양한 유기 전구체의 증착 변수
증착 변수 실시예 1: 데카히드로나프탈렌(DHN) 실시예 2: 1,5-디메틸-1,5-시클로옥타디엔(DM-COD) 실시예 3: 알파-테르피넨(ATP) 실시예 4: 리모넨(Limo)
액체 흐름(m/gm) 600 600 600 800
캐리어 기체 흐름 500 sccm (CO2) 500 sccm (CO2) 220 sccm (CO2) 200 sccm (CO2)
증착 온도 200℃ 200℃ 250℃ 250℃
챔버 압력 10 Torr 8 Torr 8 Torr 8 Torr
공간(밀리인치) 400 400 350 350
플라즈마 전력 600 W 600 W 600 W 600 W
증착 속도 87 nm/분 126 nm/분 87 nm/분 45 nm/분
실시예 4
DEMS / Limo 복합층으로 Limo 희생층의 증착
희생층을 800 mg/분 Limo 액체 흐름, 200 sccm CO2 캐리어 기체 흐름, 350 밀리인치 샤워헤드/웨이퍼 공간, 250℃ 웨이퍼 척(chuck) 온도, 8 Torr 챔버 압력에서 360초 동안의 조건에서 증착하여, 289 nm 필름 두께 및 1.568의 굴절률을 갖는 필름을 얻었다.
복합층을 800 mg/분 액체 흐름(20/80 DEMS/Limo 몰 혼합물), 220 sccm CO2 캐리어 기체 흐름, 350 밀리인치 샤워헤드/웨이퍼 공간 250℃ 웨이퍼 척 온도, 8 Torr 챔버 압력에서 60초 동안의 조건에서 Limo 희생층의 꼭대기에 증착하여, 114 nm 필름 두께 및 1.439의 굴절률을 갖는 필름을 얻었다.
이후, 상기 웨이퍼를 Shipley 1805 레지스트의 500 nm로 코팅하고, KarlSuss MA6 콘택트 스텝퍼를 사용하여, 365 nm, 12 mW/cm2에서 1초 동안 노출하여 현상시켰다. 그 후, 패턴은 TMAH를 사용하여 현상시켰다.
그 후, 이러한 패턴화된 웨이퍼를 이하 순서로 에칭시켰다: 먼저, 6초의 고밀도 O2 플라즈마를 적용하여 패턴을 세척하고, C4F8/O2/Ar 에칭 방법을 사용하여 60초간 에칭하며(이 방법은 하그 마스크를 세척하기 위해 140 nm/분의 에칭 속도를 가짐), 하드 마스크의 상부상의 포토레지스트를 에칭하고 희생 리모넨 층을 에칭하기 위해 24초 동안 제2 O2 플라즈마를 수행한다. 이후, 캡핑 복합층을 이하 방법으로 증착시켰다: 800 mg/분 액체 흐름(20/80 DEMS/Limo 몰 혼합물), 220 sccm CO2 캐리어 기체 흐름, 350 밀리인치 샤워헤드/웨이퍼 공간, 250℃ 웨이퍼 척 온도, 8 Torr 챔버 압력, 180초 동안의 조건으로 증착시켜, 362 nm의 필름 두께 및 1.439의 굴절률을 갖는 필름을 얻었다.
그 후, 상기 구조를 열 어닐링하여 포로젠 및 희생층을 제거하여 공극을 형성시킨다. 열 어닐링 이후 스택캔드(stackand)의 주사전자 현미경(SEM) 사진을 도 9A에 나타낸다.
실시예 5
규소 형태의 선택적인 에칭을 사용하여 공극을 제작하는 과정은, 베어 규소 웨이퍼을 열적으로 산화시켜, XeF2/BrF3 선택적인 에칭을 위해 에칭 정지를 제공하 는 것으로 시작하였다. 열 옥시드의 상부상에서, 0.5 미크론 두께의 폴리-규소층을 실란을 사용하여 고온(900℃) CVD 공정을 통해 성장시켰으며, 무정형 규소와 같은 다른 유형의 규소도 사용할 수 있다. 폴리-규소를 표준 포토리소그래피 기법 및 BCl3계 RIE 공정을 사용하여 패턴화시켰다. 폴리-규소의 에칭 이후, 포토레지스트를 O2 플라즈마 내에서 에싱한 후, 패턴화된 폴리-규소 형태를 Meso-ELKTM(Air Products 소유) 스핀-온 다공성 OSG 공정을 사용하여 복합 OSG 층으로 고팅하였다. 이후, 스택을 공기 중에서 40℃에서 하소하여 포로젠을 제거하였다. 샘플을 10 Torr의 BrF3에 실온에서 1시간 동안 노출시켰다. BrF3를 Meso-ELK 구조를 통해 확산시키고, 규소 형태를 선택적으로 에칭시켰다. BrF3를 사용하여 규소층을 선택적으로 에칭시킨 이후 형성된 공극의 SEM 사진을 도 9B에 나타내었다. XeF2 또한 상기 제작 공정에 사용될 수 있다.
실시예 6
수용성 희생층을 사용한 공극의 제작은 1.2 미크론의 GeO2 층을 규소 웨이퍼상에 증착시킴으로써 시작하였다. 본 발명자는 Me4Ge 및 O2로부터 GeO2를 증착하는데 PECVD를 사용하였으나, 기타 게르마늄계 전구체, 예를 들어, Ge(OEt)4도 사용될 수 있다. SiO2 층을 Me4Si + O2를 사용하여 GeO2의 상부에 증착시켜, 포토레지스트의 TMAH 현상 동안 수분 배리어의 역할을 하게 하였으나, 여러 상이한 SiO2 또는 OSG 전구체 역시 수분 배리어를 위해 사용될 수 있다. 포토레지스트가 패턴화 및 현상되면, SiO2/GeO2 스택을 CF4/Ar/O2 RIE 공정으로 에칭시켰다. O2 플라즈마 에싱 과정 중에 포토레지스트를 제거한 후에, SiO2 캡은 CF4/Ar/O2 RIE 공정 중 기정된 시간에 유지 또는 제거될 수 있으며, 본 실시예에서 캡은 기정된 에칭시 제거되었다. 이후, 패턴화된 GeO2를 Meso-ELKTM(Air Products 소유) 스핀-온 다공성 OSG 공정을 사용하여 복합 OSG 층으로 고팅하였다. 이후, 복합층을 공기 중에서 400℃에서 하소하여 포로젠을 제거하였다. 다공성 OSG으로 코팅한 후, 필름을 물 내에 10분 동안 담그며, 이 시간 동안 GeO2가 용해된다. 다공성 OSG 층 아래의 부분적으로 용해된 GeO2 희생층의 SEM 단면도를 도 9C에 나타내었다. 이 과정은 또한 B2O3 또는 기타 수용성 금속 산화물 물질을 희생 물질로 사용하여 유사한 방법으로 수행할 수도 있다.
본 발명의 기법은 3가지의 상이한 희생 물질을 사용하여 공극 구조를 제작하는데 있어 성공적이었다. 제1 물질은 불안정한 유기 희생 물질이었으며, 이는 다공성 OSG 층 내의 세공을 형성하기 위해 사용되는 불안정한 물질과 동일한 것으로, 이러한 동일 사용이 구조의 형성을 가능케 한다. 희생 물질과 포로젠 둘 다로 동일한 물질을 사용함으로써, 동일한 PECVD 챔버를 두 층 모두에 사용할 수 있으며, 한번의 어닐링 단계를 공극 형성은 물론 공극 형성시 부산물이 확산되는 다공성 OSG 을 형성하는데 사용할 수 있다. 이러한 과정의 또 다른 이점은 PECVD 증착 공정이 > 250℃의 온도에서 수행될 수 있다는 것으로, 이는 스핀-온 중합체에 비해 보다 형태적으로 안정한 희생 물질의 사용을 가능케 한다는 것이다.
제2 희생 물질은 수용성 GeO2 구조이다. 희생 물질로 무기 물질을 사용함으로써, 기계적 강도가 보다 강한 구조를 얻을 수 있으며, 구조 제작시 보다 표준적인 에칭 공정을 사용하는 것이 가능하다. 공극 제작시 GeO2 또는 B2O3를 사용하는 경우의 단점은 이들이 현상제, 스트립퍼, Cu 증착 및 CMP와 같은 습윤 공정에 민감성을 가진다는 것이었다. 따라서, GeO2/B2O3 구조를 하드 마스크 또는 Cu 배리어에 의해 이러한 공정으로부터 보호하면, GeO2/B2O3는 열 견고성 및 기계적 강도로 인해 독특한 장점을 제공하게 된다.
마지막 성공적인 희생 물질은 규소이다. 규소는 규소 대 SiO2 또는 OSG에 대한 XeF2 또는 BrF3 열 에칭의 선택적인 특징, 및 여러 다공성 OSG 층 중 어느 것도 증착시키는 능력(예를 들어, PDEMSTM 또는 Meso-ELK 다공성 유전체를 사용)으로 인해 사용될 수 있다. 반복하여, 무기 희생층을 사용하면 제작 동안 구조를 보다 기계적으로 강하게 할 수 있으며, 보다 표준적인 비등방성 에칭 공정을 사용하는 것을 가능케 한다.
본 발명을 특정 실시예를 참고하여 상세히 설명하였으나, 본 발명의 기술사상 및 보호범위를 벗어나지 않고 본 발명에 다양한 변화 및 변형을 가할 수 있다는 것은 본 기술분야의 당업자에게 자명할 것이다.

Claims (70)

  1. (a) 기판을 제공하는 단계;
    (b) 희생층을 1종 이상의 유기 전구체로 기판상에 증착시키는 단계;
    (c) 복합층을 포로젠(단계 (b)에서 1종 이상의 유기 전구체에 해당함) 및 1종 이상의 실리카 함유 전구체 또는 유기실리케이트 유리(OSG) 전구체로 기판상에 증착시키는 단계; 및
    (d) 희생층 및 복합층을 갖는 기판에 에너지를 공급하여, 희생층을 제거하여 공극(air gap)을 제공하고 포로젠을 제거하여 다공성층을 형성하는 단계를 포함하는 공극 형성 방법.
  2. (a) 기판을 제공하는 단계;
    (b) 규소를 포함하는 희생층을 증착시키는 단계;
    (c) 복합층을 포로젠 및 1종 이상의 실리카 함유 전구체 또는 유기실리케이트 유리(OSG) 전구체로 증착시키는 단계;
    (d) 희생층 및 복합층을 갖는 기판에 에너지를 공급하여, 포로젠을 제거하여 다공성층을 형성하는 단계; 및
    (e) 희생층 및 다공성층을 갖는 기판을 다공성층을 통해 확산가능한 불소 함유 시약과 감압하에서 접촉시켜, 선택적으로 희생층을 제거하여 공극을 형성하는 단계를 포함하는 공극 형성 방법.
  3. (a) 기판을 제공하는 단계;
    (b) 극성 용매 가용성 금속 산화물 희생층을 금속 전구체로 증착시키는 단계;
    (c) 복합층을 포로젠 및 1종 이상의 실리카 함유 전구체 또는 유기실리케이트 유리(OSG) 전구체로 증착시키는 단계;
    (d) 희생층 및 복합층을 갖는 기판에 에너지를 공급하여, 포로젠을 제거하여 다공성층을 형성하는 단계; 및
    (e) 희생층 및 다공성층을 갖는 기판을, 다공성층을 통해 확산가능한 극성 유기 용매와 접촉시켜, 희생층을 제거하여 공극을 형성하는 단계를 포함하는 공극 형성 방법.
  4. (a) 기판을 제공하는 단계;
    (b) 극성 용매 가용성 금속 산화물 희생층을 금속 전구체로 증착시키는 단계;
    (c) 복합층을 포로젠(단계 (b)에서 극성 용매 가용성 금속 산화물에 해당함) 및 1종 이상의 실리카 함유 전구체 또는 유기실리케이트 유리(OSG) 전구체로 증착시키는 단계; 및
    (d) 희생층 및 복합층을 갖는 기판을 극성 용매와 접촉시켜, 포로젠을 제거하여 다공성층을 형성시키고 희생층을 제거하여 공극을 형성하는 단계를 포함하는 공극 형성 방법.
  5. 제1항에 있어서, 1종 이상의 유기 전구체가 이하 (1) 내지 (25)로 구성된 군에서 선택된 1종 이상의 구성요소인 것인 공극 형성 방법:
    (1) 환식 구조 및 식 CnH2n를 갖는 1종 이상의 환식 탄화수소(n은 4∼14이고, 환식 구조 내 탄소수는 4∼10이고, 1종 이상의 환식 탄화수소는 임의적으로 환식 구조상에 치환된 복수개의 단순형 또는 분지형 탄화수소를 함유함);
    (2) 일반식 CnH(2n+2)-2y의 1종 이상의 선형 또는 분지형, 포화된, 단일 또는 다중 불포화된 탄화수소(n은 2∼20이고, y는 0∼n임);
    (3) 환식 구조 및 식 CnH2n-2x를 갖는 1종 이상의 단일 또는 다중 불포화된 환식 탄화수소(x는 불포화된 위치의 수이고, n은 4∼14이고, 환식 구조 내 탄소수는 4∼10이고, 1종 이상의 단일 또는 다중 불포화된 환식 탄화수소는 임의적으로 환식 구조상에 치환된 복수개의 단순형 또는 분지형 탄화수소 치환체를 함유하고, 환식내 불포화 또는 탄화수소 치환체중 하나상에 불포화를 함유함);
    (4) 이환식 구조 및 식 CnH2n-2를 갖는 1종 이상의 이환식 탄화수소(n은 4∼14이고, 이환식 구조 내 탄소수는 4∼12이고, 1종 이상의 이환식 탄화수소는 임의적으로 이환식 구조상에 치환된 복수개의 단순형 또는 분지형 탄화수소를 함유함);
    (5) 이환식 구조 및 식 CnH2n-(2+2x)를 갖는 1종 이상의 다중 불포화된 이환식 탄화수소(x는 불포화된 위치의 수이고, n은 4∼14이고, 이환식 구조 내 탄소수는 4∼12이고, 1종 이상의 다중 불포화된 이환식 탄화수소는 이환식 구조상에 치환된 복수개의 단순형 또는 분지형 탄화수소 치환체를 함유하고, 환식내 불포화 또는 탄화수소 치환체중 하나상에 불포화를 함유함);
    (6) 삼환식 구조 및 식 CnH2n-4를 갖는 1종 이상의 삼환식 탄화수소(n은 4∼14이고, 삼환식 구조 내 탄소수는 4∼12이고, 1종 이상의 삼환식 탄화수소는 임의적으로 환식 구조상에 치환된 복수개의 단순형 또는 분지형 탄화수소를 함유함);
    (7) 1개 이상의 알콜기를 함유하고 일반식 CnH2n+2-2x-2y-z(OH)z를 갖는 1종 이상의 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 화합물 내 알콜기의 수로 1∼4이고, 알콜 작용기는 환식외 또는 환식내에 존재할 수 있음);
    (8) 1개 이상의 에테르기를 함유하고 일반식 CnH2n+2-2x-2yOz를 갖는 1종 이상의 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 구조 내 에테르 결합의 수로 1∼4이고, 에테르 결합(들)은 환식외 또는 환식내에 존재할 수 있음);
    (9) 1개 이상의 에폭시드기를 함유하고 일반식 CnH2n+2-2x-2y-2zOz를 갖는 1종 이상의 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 구조 내 에폭시드기의 수로 1∼4이고, 에폭시드기는 환식 고리 또는 선형 사슬에 부착될 수 있음);
    (10) 1개 이상의 알데히드기를 함유하고 일반식 CnH2n+2-2x-2y-2zOz를 갖는 1종 이상의 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 구조 내 알데히드기의 수로 1∼4임);
    (11) 1개 이상의 환식외 또는 환식내기를 함유하고 일반식 CnH2n+2-2x-2y-2zOz를 갖는 1종 이상의 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 구조 내 알데히드기의 수로 1∼4이고, 환식외 또는 환식내기(들)는 환식외 또는 환식내에 존재할 수 있음);
    (12) 1개 이상의 카르복실산기를 함유하고 일반식 CnH2n+2-2x-2y-3z(OOH)z를 갖는 1종 이상의 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 구조 내 카르복실산기의 수로 1∼4임);
    (13) 짝수의 카르복실산기를 가지고, 산작용기가 탈수화되어 환식 무수물기를 형성하며, 일반식 CnH2n+2-2x-2y-6z(O3)z를 갖는 1종 이상의 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 구조 내 무수물기의 수로 1 또는 2임);
    (14) 에스테르기를 함유하고 일반식 CnH2n+2-2x-2y-2z(O2)z를 갖는 1종 이상의 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수이고, 불포화 결합 중 어느 것도 에스테르의 카르보닐기와 컨쥬게이트되지 않고, z는 구조 내 무수물기의 수로 1 또는 2임);
    (15) 에스테르의 카르보닐기와 컨쥬게이트된 1종 이상의 불포화 결합 및 에스테르기로 구성된 아크릴레이트 작용기를 함유하고, 일반식 CnH2n+2-2x-2y-2z(O2)z를 갖는 1종 이상의 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 1 이상이고, 적어도 불포화 결합은 에스테르의 카르보닐기와 컨쥬게이트되고, z는 구조 내 에스테르기의 수로 1 또는 2임);
    (16) 에테르 및 카르보닐 작용기 둘 다를 함유하고 일반식 CnH2n+2-2w-2x-2y(O)y(O)z를 갖는 1종 이상의 탄화수소 구조(n은 1∼12이고, w는 구조 내 환식 고리의 수로 0∼4이고, x는 구조 내 불포화 결합의 수로 0∼n이고, y는 구조 내 카르보닐기의 수이고, 카르보닐기는 케톤 또는 알데히드일 수 있고, z는 구조 내 에테르기의 수로 1 또는 2이고, 에테르기(들)는 환식내 또는 환식외 존재할 수 있음);
    (17) 에테르 및 알콜 작용기 둘 다를 함유하고 일반식 CnH2n+2-2w-2x-y(OH)y(O)z를 갖는 1종 이상의 탄화수소 구조(n은 1∼12이고, w는 구조 내 환식 고리의 수로 0∼4이고, x는 구조 내 불포화 결합의 수로 0∼n이고, y는 구조 내 알콜기의 수이고, z는 구조 내 에테르기의 수로 1 또는 2이고, 에테르기(들)는 환식내 또는 환식외 존재할 수 있음);
    (18) 알콜, 에테르, 카르보닐, 및 카르복실산 중에서 선택된 작용기의 임의의 조합을 함유하고, 일반식 CnH2n+2-2u-2v-w-2y-3z(OH)w(O)x(O)y(OOH)z를 갖는 1종 이상의 탄화수소 구조(n은 1∼12이고, u는 구조 내 환식 고리의 수로 0∼4이고, v는 구조 내 불포화 결합의 수로 0∼n이고, w는 구조 내 알콜기의 수로 0∼4이고, x는 구조 내 에테르기의 수로 0∼4이고, 에테르기(들)는 환식내 또는 환식외 존재할 수 있고, y는 구조 내 카르보닐기의 수로 0∼3이고, 카르보닐기는 케톤 또는 알데히드일 수 있고, z는 구조 내 카르복실산기의 수로 0∼2임);
    (19) 1개 이상의 1차 아민기를 함유하고 일반식 CnH2n+2-2x-2y-z(NH2)z를 갖는 1종 이상의 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 화합물 내 아민기의 수로 1∼4이고, 아민 작용기는 환식외 또는 환식내에 존재할 수 있음);
    (20) 1개 이상의 2차 아민기를 함유하고 일반식 CnH2n+2-2x-2y-2z(NH)z를 갖는 1종 이상의 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 화합물 내 2차 아민기의 수로 1∼4이고, 아민 작용기는 환식외 또는 환식내에 존재할 수 있음);
    (21) 1개 이상의 3차 아민기를 함유하고 일반식 CnH2n+2-2x-2y-3z(N)z를 갖는 1종 이상의 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 화합물 내 3차 아민기의 수로 1∼4이고, 아민 작용기는 환식외 또는 환식내에 존재할 수 있음);
    (22) 1개 이상의 니트로기를 함유하고 일반식 CnH2n+2-2x-2y-z(NO2)z를 갖는 1종 이상의 탄화수소 구조(n은 1∼12이고, x는 구조 내 환식 고리의 수로 0∼4이고, y는 구조 내 불포화 결합의 수로 0∼n이고, z는 화합물 내 니트로기의 수로 1∼4이고, 니트로 작용기는 환식외 또는 환식내에 존재할 수 있음);
    (23) 아민 및 에테르 작용기 둘 다를 함유하고 일반식 CnH2n+2-2u-2v-w-2x-3y-z(NH2)w(NH)x(N)y(OH)z를 갖는 1종 이상의 탄화수소 구조(n은 1∼12이고, u는 구조 내 환식 고리의 수로 0∼4이고, v는 구조 내 불포화 결합의 수로 0∼n이고, w는 1차 아민기의 수이고, x는 2차 아민기의 수이고, y는 3차 아민기의 수이고, 1<w+x+y<4이고, z는 화합물 내 알콜기의 수로 1∼4이고, 알콜 및 아민기는 환식외 또는 환식내에 존재할 수 있음);
    (24) 아민 및 알콜 작용기 둘 다를 함유하고 일반식 CnH2n+2-2u-2v-w-2x-3y-z(NH2)w(NH)x(N)y(OH)z를 갖는 1종 이상의 탄화수소 구조(n은 1∼12이고, u는 구조 내 환식 고리의 수로 0∼4이고, v는 구조 내 불포화 결합의 수로 0∼n이고, w는 1차 아민기의 수이고, x는 2차 아민기의 수이고, y는 3차 아민기의 수이고, 1<w+x+y<4이고, z는 화합물 내 에테르기의 수로 1∼4이고, 에테르 및 아민기는 환식외 또는 환식내에 존재할 수 있음); 및
    (25) 아민 및 카르보닐 작용기 둘 다를 함유하고 일반식 CnH2n+2-2u-2v-w-2x-3y-2z(NH2)w(NH)x(N)y(O)z를 갖는 1종 이상의 탄화수소 구조(n은 1∼12이고, u는 구조 내 환식 고리의 수로 0∼4이고, v는 구조 내 불포화 결합의 수로 0∼n이고, w는 1차 아민기의 수이고, x는 2차 아민기의 수이고, y는 3차 아민기의 수이고, 1<w+x+y<4이고, z는 화합물 내 카르보닐기의 수로 1∼4이고, 카르보닐기는 알데히드(들) 또는 케톤(들)일 수 있고, 카르보닐 및 아민기는 환식외 또는 환식내에 존재할 수 있음).
  6. 제1항에 있어서, 1종 이상의 유기 전구체가 알파-테르피넨, 리모넨, 시클로헥산, 1,2,4-트리메틸시클로헥산, 1,5-디메틸-1,5-시클로옥타디엔, 캄펜, 아다만탄, 1,3-부타디엔, 치환된 디엔, 데카히드로나프탈렌, 1,5-시클로옥타디엔, 시클로옥탄, 시클로옥텐, 노르보르나디엔, 5-에틸리덴-2-노르보르넨, 시클로펜텐 옥시드 및 시클로펜타논으로 구성된 군에서 선택된 1종 이상의 구성요소인 것인 공극 형성 방법.
  7. 제1항 내지 제4항 중 어느 하나의 항에 있어서, 희생층, 복합층, 다공성층 및 이들의 조합으로 구성된 군에서 선택된 층을 패턴화하는 단계를 추가적으로 포함하는 것인 공극 형성 방법.
  8. 제1항에 있어서, 희생층 및 복합층의 증착이 둘 다 화학기상증착(CVD)을 통한 것인 공극 형성 방법.
  9. 제1항에 있어서, 희생층 및 복합층의 증착이 둘 다 플라즈마 증강 화학기상증착(PECVD)을 통한 것인 공극 형성 방법.
  10. 제1항에 있어서, 희생층 및 복합층이 하나의 화학기상증착(CVD) 단계에서 증착되는 것인 공극 형성 방법.
  11. 제1항에 있어서, 희생층 및 복합층이 하나의 플라즈마 증강 화학기상증착(PECVD) 단계에서 증착되는 것인 공극 형성 방법.
  12. 제1항 또는 제2항에 있어서, 공급 단계 (d)에서의 에너지가 α-입자, β-입자, γ-입자, X-선, 고에너지 전자, 전자빔, 가시광선, 적외선, 마이크로주파, 방사-주파, 플라즈마, 및 이들의 조합으로 구성된 군에서 선택된 1종 이상을 포함하는 것인 공극 형성 방법.
  13. 제3항에 있어서, 공급 단계 (d)에서의 에너지가 α-입자, β-입자, γ-입자, X-선, 고에너지 전자, 전자빔, 자외선, 가시광선, 적외선, 마이크로주파, 방사-주파, 열, 플라즈마, 및 이들의 조합으로 구성된 군에서 선택된 1종 이상을 포함하는 것인 공극 형성 방법.
  14. 제1항 내지 제3항 중 어느 하나의 항에 있어서, 공급 단계 (d)에서의 에너지가 자외선인 것인 공극 형성 방법.
  15. 제14항에 있어서, 공급 단계 (d)를 자외선 전력이 0∼5000 W의 범위이고; 대기 조건이 비활성, 산화 및 환원 조건으로 구성된 군에서 선택되고; 온도가 상온∼500℃의 범위이고, 노출 시간이 0.01분∼12시간의 범위일 때 수행하는 것인 공극 형성 방법.
  16. 제1항 내지 제3항 중 어느 하나의 항에 있어서, 공급 단계 (d)에서의 에너지가 열 에너지인 것인 공극 형성 방법.
  17. 제16항에 있어서, 공급 단계 (d)를 압력이 10 mtorr∼대기압의 범위이고; 대기 조건이 비활성, 산화 및 환원 조건으로 구성된 군에서 선택되고; 온도가 상온∼500℃의 범위이고, 노출 시간이 0.01분∼12시간의 범위일 때 수행하는 것인 공극 형성 방법.
  18. 제1항 내지 제4항 중 어느 하나의 항에 있어서, 다공성층 내의 세공(pore)을 충진시키는 단계를 추가적으로 포함하는 것인 공극 형성 방법.
  19. 제18항에 있어서, 충진 과정을 중합화시 활성화될 수 있는 중합성 유기종으로 세공을 충진함으로써 수행하는 것인 공극 형성 방법.
  20. 제1항 내지 제4항 중 어느 하나의 항에 있어서, 멀티레벨(multilevel) 구조를 제조하기 위해 상기 단계들을 1번 이상 반복하는 단계를 추가적으로 포함하는 것인 공극 형성 방법.
  21. 제20항에 있어서, 다공성층 내의 세공을 충진시키는 단계를 추가적으로 포함하는 것인 공극 형성 방법.
  22. 제21항에 있어서, 충진 과정을 중합화시 활성화될 수 있는 중합성 유기종으로 세공을 충진함으로써 수행하는 것인 공극 형성 방법.
  23. 제2항에 있어서, 불소 함유 시약이 XeF2 또는 BrF3인 것인 공극 형성 방법.
  24. 제2항에 있어서, 불소 함유 시약이 HF, 희가스 할라이드, 인터할로겐, ClF3 및 이들의 혼합물로 구성된 군에서 선택된 기체인 것인 공극 형성 방법.
  25. 제2항에 있어서, 단계 (e)를 온도가 150℃ 미만일 때 수행하는 것인 공극 형성 방법.
  26. 제2항에 있어서, 희생층이 폴리-규소 또는 무정형-규소를 포함하는 것인 공극 형성 방법.
  27. 제2항에 있어서, 기판의 기저층을 보호하기 위해 에칭정지층을 형성하는 단계를 추가적으로 포함하는 것인 공극 형성 방법.
  28. 제27항에 있어서, 에칭정지층이 규소 기판을 열적으로 산화시켜 형성된 SiO2 층인 것인 공극 형성 방법.
  29. 제2항에 있어서, 단계 (b)에서 희생층의 증착이 화학기상증착(CVD)을 통한 것인 공극 형성 방법.
  30. 제2항에 있어서, 단계 (b)에서 희생층의 증착이 플라즈마 증강 화학기상증착(PECVD)을 통한 것인 공극 형성 방법.
  31. 제2항에 있어서, 단계 (c)에서 복합층의 증착이 화학기상증착, 스핀-온 코팅, 딥 코팅 및 미스트(mist) 증착으로 구성된 군에서 선택된 과정을 통한 것인 공극 형성 방법.
  32. 제3항 또는 제4항에 있어서, 단계 (b)에서 극성 용매 가용성 금속 산화물 희생층의 증착 및 단계 (d)에서 복합층의 증착이 화학기상증착, 스핀-온 코팅, 딥 코팅 및 미스트 증착으로 구성된 군에서 선택된 과정을 통한 것인 공극 형성 방법.
  33. 제3항 또는 제4항에 있어서, 극성 용매 가용성 금속 전구체가 게르마늄(Ge)계 전구체 또는 붕소(B)계 전구체이고; 극성 용매 가용성 금속 산화물 희생층이 GeO2 층 또는 B2O3 층인 것인 공극 형성 방법.
  34. 제33항에 있어서, 게르마늄(Ge)계 전구체가 테트라메틸 게르만, 게르만, 테트라메톡시 게르마늄 및 테트라에톡시 게르마늄으로 구성된 군에서 선택되고; 붕소(B)계 전구체가 트리메틸 붕소, 트리메톡시 보란, 트리에톡시 보란 및 디보란으로 구성된 군에서 선택되는 것인 공극 형성 방법.
  35. 제3항 또는 제4항에 있어서, 단계 (e)에서 극성 용매가 알콜, 에테르, 헤테로원자 함유 분자, 에스테르, 케톤, 알데히드 및 이들의 혼합물로 구성된 군에서 선택되는 것인 공극 형성 방법.
  36. 제3항 또는 제4항에 있어서, 단계 (e)에서 극성 용매가 물인 것인 공극 형성 방법.
  37. 제3항 또는 제4항에 있어서, 다공성 유전체층을 통한 극성 용매의 확산을 용이하게 하기 위해, 계면활성제를 극성 용매에 추가적으로 첨가하는 것인 공극 형성 방법.
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 삭제
  63. 삭제
  64. 삭제
  65. 삭제
  66. 삭제
  67. 삭제
  68. 삭제
  69. 삭제
  70. 삭제
KR1020070037866A 2006-04-18 2007-04-18 통제되는 간극을 형성하는 물질 및 방법 KR100859178B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US79279306P 2006-04-18 2006-04-18
US60/792,793 2006-04-18
US11/693,707 US8399349B2 (en) 2006-04-18 2007-03-29 Materials and methods of forming controlled void
US11/693,707 2007-03-29

Publications (2)

Publication Number Publication Date
KR20070103318A KR20070103318A (ko) 2007-10-23
KR100859178B1 true KR100859178B1 (ko) 2008-09-19

Family

ID=38322643

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070037866A KR100859178B1 (ko) 2006-04-18 2007-04-18 통제되는 간극을 형성하는 물질 및 방법

Country Status (6)

Country Link
US (3) US8399349B2 (ko)
EP (1) EP1848032B1 (ko)
JP (2) JP4838190B2 (ko)
KR (1) KR100859178B1 (ko)
CN (2) CN102569179B (ko)
TW (1) TWI395268B (ko)

Families Citing this family (337)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7943412B2 (en) * 2001-12-10 2011-05-17 International Business Machines Corporation Low temperature Bi-CMOS compatible process for MEMS RF resonators and filters
US6949456B2 (en) * 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US8399349B2 (en) * 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
JP2008258488A (ja) * 2007-04-06 2008-10-23 Oki Electric Ind Co Ltd 半導体装置の製造方法
KR100891146B1 (ko) * 2007-07-30 2009-04-06 한국과학기술원 계층적 기공구조물 및 계층적 기공구조물을 이용한초소수성 및 초친수성 표면 제조방법
FR2926397B1 (fr) * 2008-01-16 2010-02-12 Commissariat Energie Atomique Procede de fabrication de films dielectriques permeables
FR2926396B1 (fr) * 2008-01-16 2010-03-19 Commissariat Energie Atomique Procede de fabrication de films de carbure de silicium hydrogene amorphe munis de pores traversants et films ainsi obtenus
US8030218B2 (en) * 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US8310053B2 (en) 2008-04-23 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a device with a cavity
FR2931015B1 (fr) * 2008-05-06 2010-08-20 Commissariat Energie Atomique Procede de realisation de cavites d'air dans des microstructures, notamment du type structures d'interconnexions a cavites d'air pour circuit integre
US9790343B2 (en) 2008-06-12 2017-10-17 Avery Dennison Corporation Porous material and method for producing the same
US20090324928A1 (en) * 2008-06-26 2009-12-31 Vijayakumar Ramachandrarao Forming ultra low dielectric constant porous dielectric films and structures formed thereby
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
FR2942465B1 (fr) * 2009-02-25 2011-03-18 Univ Lille Sciences Tech Procede de fabrication de microcanaux sur un support, et support comprenant de tels microcanaux
US7855123B2 (en) * 2009-03-31 2010-12-21 Tokyo Electron Limited Method of integrating an air gap structure with a substrate
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20120119641A1 (en) * 2009-05-14 2012-05-17 Yijian Shi Output efficiency of organic light emitting devices
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011093883A1 (en) * 2010-01-29 2011-08-04 Hewlett-Packard Development Company, L.P. Multimode vertical-cavity surface-emitting laser arrays
US8642252B2 (en) * 2010-03-10 2014-02-04 International Business Machines Corporation Methods for fabrication of an air gap-containing interconnect structure
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
CN102427053A (zh) * 2011-06-17 2012-04-25 上海华力微电子有限公司 预防超低介电常数薄膜损伤的方法
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
ES2692653T3 (es) 2012-08-21 2018-12-04 Avery Dennison Corporation Sistemas y métodos para fabricar películas, fibras, esferas y otros artículos porosos
US8833171B2 (en) * 2012-08-23 2014-09-16 Nxp, B.V. Pressure sensor
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR20150129810A (ko) * 2013-03-13 2015-11-20 어플라이드 머티어리얼스, 인코포레이티드 저 k 유전체 막들에 대한 세공 생성과 유전 상수 감소를 위한 후 처리
CN103641509B (zh) * 2013-12-09 2016-02-10 中国建筑材料科学研究总院 一种反应烧结用多孔碳预制体及其制备方法与应用
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN104902678B (zh) * 2014-03-07 2018-02-02 鹏鼎控股(深圳)股份有限公司 柔性印刷电路板及其制作方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20150136387A (ko) * 2014-05-27 2015-12-07 삼성전자주식회사 반도체 소자의 제조 방법
US9607881B2 (en) 2014-06-20 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Insulator void aspect ratio tuning by selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
WO2016043481A1 (ko) * 2014-09-15 2016-03-24 한국생산기술연구원 기공 사이즈 조절을 통한 3차원 개방형 네트워크 구조의 금속 또는 금속산화물 다공성 박막의 건식 제조방법 및 이 제조방법으로 제조되는 3차원 개방형 네트워크 구조의 금속 또는 금속산화물 다공성 박막
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9847249B2 (en) * 2014-11-05 2017-12-19 Sandisk Technologies Llc Buried etch stop layer for damascene bit line formation
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10096485B2 (en) 2015-02-19 2018-10-09 Toshiba Memory Corporation Semiconductor device and method of manufacturing the same
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9576894B2 (en) * 2015-06-03 2017-02-21 GlobalFoundries, Inc. Integrated circuits including organic interlayer dielectric layers and methods for fabricating the same
US9941157B2 (en) 2015-06-26 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Porogen bonded gap filling material in semiconductor manufacturing
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9842734B2 (en) * 2015-12-21 2017-12-12 Imec Vzw Method of forming a feature of a target material on a substrate
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN108471758B (zh) 2016-01-26 2022-05-06 营养与生物科技美国1有限责任公司 包含无麸质面粉和羟丙基甲基纤维素的组合物
US10224235B2 (en) * 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017146737A1 (en) * 2016-02-26 2017-08-31 Intel Corporation Via interconnects in substrate packages
TWI717346B (zh) * 2016-04-13 2021-02-01 大陸商盛美半導體設備(上海)股份有限公司 阻擋層的去除方法和半導體結構的形成方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10581003B2 (en) 2016-09-02 2020-03-03 The Board of Trustee of the Leland Stanford Junior Universtiy Method for lithograghic patterning of sensitive materials
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
CN110612365B (zh) * 2017-05-19 2022-04-05 昭和电工株式会社 电化学制造锗烷的方法
WO2018212007A1 (ja) * 2017-05-19 2018-11-22 昭和電工株式会社 電気化学的にゲルマンを製造する方法
WO2018212005A1 (ja) * 2017-05-19 2018-11-22 昭和電工株式会社 電気化学的にゲルマンを製造する方法
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI762194B (zh) * 2017-07-18 2022-04-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10361137B2 (en) * 2017-07-31 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN107703029B (zh) * 2017-11-07 2019-05-10 大连理工大学 一种结合ct与pvt计算co2盐水扩散系数的方法
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10157773B1 (en) * 2017-11-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having layer with re-entrant profile and method of forming the same
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US20210023829A1 (en) * 2018-03-28 2021-01-28 Nitto Denko Corporation Undercoat layer-forming composition, undercoat layer, and coating film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
CN108550527B (zh) * 2018-05-16 2021-01-22 京东方科技集团股份有限公司 一种图形化方法
JP2019200369A (ja) * 2018-05-18 2019-11-21 ジオマテック株式会社 表面微細構造の形成方法及び表面微細構造を備える物品
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10950426B2 (en) 2018-08-14 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric layer, interconnection structure using the same, and manufacturing method thereof
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
JP2022514402A (ja) * 2018-12-21 2022-02-10 マジック リープ, インコーポレイテッド 導波管内の全内部反射を助長するための空気ポケット構造
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
CN109935549B (zh) * 2019-03-21 2021-05-18 长江存储科技有限责任公司 金属互连线的形成方法
CN109971226A (zh) * 2019-03-27 2019-07-05 德淮半导体有限公司 用于在半导体装置的制造过程中形成牺牲材料层的混合物以及半导体装置的制造方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210049604A (ko) 2019-10-25 2021-05-06 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466358B2 (en) * 2019-12-13 2022-10-11 Arizona Board Of Regents On Behalf Of Arizona State University Method of forming a porous multilayer material
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040026630A (ko) * 2002-09-24 2004-03-31 쉬플리 캄파니, 엘.엘.씨. 전자 디바이스 제조

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1016888A (en) 1911-01-14 1912-02-06 Walter V Knight Window-screen.
US4564997A (en) * 1981-04-21 1986-01-21 Nippon-Telegraph And Telephone Public Corporation Semiconductor device and manufacturing process thereof
US4889609A (en) * 1988-09-06 1989-12-26 Ovonic Imaging Systems, Inc. Continuous dry etching system
US4885186A (en) * 1988-12-29 1989-12-05 Bell Communications Research, Inc. Method for preparation of silicate glasses of controlled index of refraction
JP2969680B2 (ja) * 1989-09-28 1999-11-02 ソニー株式会社 半導体装置の製造方法
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
US5470801A (en) * 1993-06-28 1995-11-28 Lsi Logic Corporation Low dielectric constant insulation layer for integrated circuit structure and method of making same
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US6376330B1 (en) 1996-06-05 2002-04-23 Advanced Micro Devices, Inc. Dielectric having an air gap formed between closely spaced interconnect lines
US5814555A (en) 1996-06-05 1998-09-29 Advanced Micro Devices, Inc. Interlevel dielectric with air gaps to lessen capacitive coupling
US5981367A (en) * 1996-10-17 1999-11-09 Micron Technology, Inc. Method for making an access transistor
JPH10290036A (ja) * 1997-04-11 1998-10-27 Nissan Motor Co Ltd 表面マイクロマシンの製造方法
JP2962272B2 (ja) 1997-04-18 1999-10-12 日本電気株式会社 半導体装置の製造方法
US6277728B1 (en) 1997-06-13 2001-08-21 Micron Technology, Inc. Multilevel interconnect structure with low-k dielectric and method of fabricating the structure
US6281585B1 (en) 1997-06-30 2001-08-28 Philips Electronics North America Corporation Air gap dielectric in self-aligned via structures
EP0895276A1 (en) 1997-07-31 1999-02-03 STMicroelectronics S.r.l. Process for manufacturing integrated microstructures of single-crystal semiconductor material
US6057226A (en) 1997-11-25 2000-05-02 Intel Corporation Air gap based low dielectric constant interconnect structure and method of making same
US5949143A (en) 1998-01-22 1999-09-07 Advanced Micro Devices, Inc. Semiconductor interconnect structure with air gap for reducing intralayer capacitance in metal layers in damascene metalization process
US6297125B1 (en) 1998-01-23 2001-10-02 Texas Instruments Incorporated Air-bridge integration scheme for reducing interconnect delay
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6231989B1 (en) 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
US6380206B1 (en) 1998-11-23 2002-04-30 Cell Pathways, Inc. Method of inhibiting neoplastic cells with 4,5-diaminopyrimidine derivatives
KR100319743B1 (ko) 1998-11-24 2002-05-09 오길록 기생 캐패시턴스 및 자장의 간섭을 감소시킬 수 있는 집적소자및 그 제조 방법
US6207555B1 (en) 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
US6245655B1 (en) * 1999-04-01 2001-06-12 Cvc Products, Inc. Method for planarized deposition of a material
US6204202B1 (en) 1999-04-14 2001-03-20 Alliedsignal, Inc. Low dielectric constant porous films
FR2792650B1 (fr) 1999-04-20 2003-02-28 Oreal Equivalent de peau agee, son procede de preparation et son utilisation
US6130151A (en) 1999-05-07 2000-10-10 Taiwan Semiconductor Manufacturing Company Method of manufacturing air gap in multilevel interconnection
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
TW471104B (en) * 1999-07-26 2002-01-01 Ibm Low dielectric constant, porous film formed from regularly arrayed nanoparticles
US20020002563A1 (en) 1999-08-23 2002-01-03 Mary M. Bendik Document management systems and methods
US6211057B1 (en) 1999-09-03 2001-04-03 Taiwan Semiconductor Manufacturing Company Method for manufacturing arch air gap in multilevel interconnection
US6238987B1 (en) 1999-09-13 2001-05-29 United Microelectronics Corp. Method to reduce parasitic capacitance
US6214719B1 (en) 1999-09-30 2001-04-10 Novellus Systems, Inc. Method of implementing air-gap technology for low capacitance ILD in the damascene scheme
US6200900B1 (en) 1999-10-08 2001-03-13 National Semiconductor Corporation Method for formation of an air gap in an integrated circuit architecture
US7041224B2 (en) 1999-10-26 2006-05-09 Reflectivity, Inc. Method for vapor phase etching of silicon
US6592980B1 (en) 1999-12-07 2003-07-15 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
US6365266B1 (en) 1999-12-07 2002-04-02 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
TW439147B (en) 1999-12-20 2001-06-07 United Microelectronics Corp Manufacturing method to form air gap using hardmask to improve isolation effect
US6815329B2 (en) 2000-02-08 2004-11-09 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
TW444342B (en) 2000-02-17 2001-07-01 United Microelectronics Corp Manufacturing method of metal interconnect having inner gap spacer
US6329279B1 (en) 2000-03-20 2001-12-11 United Microelectronics Corp. Method of fabricating metal interconnect structure having outer air spacer
US6287979B1 (en) 2000-04-17 2001-09-11 Chartered Semiconductor Manufacturing Ltd. Method for forming an air gap as low dielectric constant material using buckminsterfullerene as a porogen in an air bridge or a sacrificial layer
US6265321B1 (en) * 2000-04-17 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Air bridge process for forming air gaps
JP2002009148A (ja) * 2000-06-26 2002-01-11 Asm Japan Kk 半導体装置の製造方法
US6645873B2 (en) 2000-06-21 2003-11-11 Asm Japan K.K. Method for manufacturing a semiconductor device
US20020149085A1 (en) 2000-07-24 2002-10-17 Taiwan Semiconductor Manufacturing Company Method of manufacturing air gap in multilevel interconnection
JP3706008B2 (ja) 2000-08-01 2005-10-12 富士通株式会社 プロセッサ間データ通信装置、プロセッサ間データ通信方法およびデータ処理装置
US6413852B1 (en) * 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
TWI227043B (en) 2000-09-01 2005-01-21 Koninkl Philips Electronics Nv Method of manufacturing a semiconductor device
US6743731B1 (en) 2000-11-17 2004-06-01 Agere Systems Inc. Method for making a radio frequency component and component produced thereby
US6380106B1 (en) 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
ITTO20001134A1 (it) 2000-12-05 2002-06-05 St Microelectronics Srl Processo di fabbricazione di un dispositivo elettronico a semiconduttore con migliorato isolamento tramite air gap.
TW462122B (en) 2000-12-18 2001-11-01 United Microelectronics Corp Air gap semiconductor structure and the manufacturing method thereof
TW476135B (en) 2001-01-09 2002-02-11 United Microelectronics Corp Manufacture of semiconductor with air gap
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6406992B1 (en) 2001-05-29 2002-06-18 United Microelectronics Corp. Fabrication method for a dual damascene structure
US6403461B1 (en) 2001-07-25 2002-06-11 Chartered Semiconductor Manufacturing Ltd. Method to reduce capacitance between metal lines
US6766817B2 (en) 2001-07-25 2004-07-27 Tubarc Technologies, Llc Fluid conduction utilizing a reversible unsaturated siphon with tubarc porosity action
WO2003016075A1 (en) * 2001-08-15 2003-02-27 Florida State University Method of manufacturing and design of microreactors, including microanalytical and separation devices
US20030073302A1 (en) 2001-10-12 2003-04-17 Reflectivity, Inc., A California Corporation Methods for formation of air gap interconnects
US6649531B2 (en) * 2001-11-26 2003-11-18 International Business Machines Corporation Process for forming a damascene structure
US6933586B2 (en) 2001-12-13 2005-08-23 International Business Machines Corporation Porous low-k dielectric interconnects with improved adhesion produced by partial burnout of surface porogens
US6835616B1 (en) 2002-01-29 2004-12-28 Cypress Semiconductor Corporation Method of forming a floating metal structure in an integrated circuit
US20070105247A1 (en) * 2002-01-30 2007-05-10 Advanced Micro Devices Method And Apparatus For Detecting The Endpoint Of A Chemical-Mechanical Polishing Operation
WO2003068825A2 (en) * 2002-02-15 2003-08-21 Dow Global Technologies Inc. Multifunctional monomers and their use in making cross-linked polymers and porous films
AU2003233470A1 (en) * 2002-04-02 2003-10-20 Dow Global Technologies Inc. Process for making air gap containing semiconducting devices and resulting semiconducting device
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6809032B1 (en) * 2002-05-01 2004-10-26 Advanced Micro Devices, Inc. Method and apparatus for detecting the endpoint of a chemical-mechanical polishing operation using optical techniques
JP2003340799A (ja) 2002-05-27 2003-12-02 Sumitomo Electric Ind Ltd 微小駆動部品の製造方法
US7122880B2 (en) 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
US7307343B2 (en) 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US6780753B2 (en) 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
JP4574145B2 (ja) 2002-09-13 2010-11-04 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. エアギャップ形成
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US20040075159A1 (en) * 2002-10-17 2004-04-22 Nantero, Inc. Nanoscopic tunnel
US6809028B2 (en) * 2002-10-29 2004-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Chemistry for liner removal in a dual damascene process
US6949456B2 (en) 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US7449407B2 (en) 2002-11-15 2008-11-11 United Microelectronics Corporation Air gap for dual damascene applications
US7294934B2 (en) 2002-11-21 2007-11-13 Intel Corporation Low-K dielectric structure and method
US6924222B2 (en) * 2002-11-21 2005-08-02 Intel Corporation Formation of interconnect structures by removing sacrificial material with supercritical carbon dioxide
US6861332B2 (en) * 2002-11-21 2005-03-01 Intel Corporation Air gap interconnect method
US7238604B2 (en) 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US6713835B1 (en) 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
CN100407400C (zh) 2003-05-29 2008-07-30 日本电气株式会社 布线结构
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US7645704B2 (en) * 2003-09-17 2010-01-12 Texas Instruments Incorporated Methods and apparatus of etch process control in fabrications of microstructures
US7060638B2 (en) 2004-03-23 2006-06-13 Applied Materials Method of forming low dielectric constant porous films
US7193325B2 (en) * 2004-04-30 2007-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
US20050266691A1 (en) * 2004-05-11 2005-12-01 Applied Materials Inc. Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
US7629272B2 (en) * 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
JP4679193B2 (ja) * 2005-03-22 2011-04-27 株式会社東芝 半導体装置の製造方法及び半導体装置
US7629225B2 (en) * 2005-06-13 2009-12-08 Infineon Technologies Ag Methods of manufacturing semiconductor devices and structures thereof
US7323410B2 (en) * 2005-08-08 2008-01-29 International Business Machines Corporation Dry etchback of interconnect contacts
KR101015444B1 (ko) * 2005-08-17 2011-02-18 후지쯔 가부시끼가이샤 반도체 장치 및 그 제조 방법
US7253100B2 (en) * 2005-11-17 2007-08-07 International Business Machines Corporation Reducing damage to ulk dielectric during cross-linked polymer removal
US7521377B2 (en) * 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
US8399349B2 (en) * 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US7534696B2 (en) * 2006-05-08 2009-05-19 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
KR100796509B1 (ko) * 2006-07-24 2008-01-21 동부일렉트로닉스 주식회사 반도체 소자의 제조방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040026630A (ko) * 2002-09-24 2004-03-31 쉬플리 캄파니, 엘.엘.씨. 전자 디바이스 제조

Also Published As

Publication number Publication date
CN102569179A (zh) 2012-07-11
JP2007311777A (ja) 2007-11-29
JP5485953B2 (ja) 2014-05-07
US20140363950A1 (en) 2014-12-11
CN101060095A (zh) 2007-10-24
EP1848032A3 (en) 2012-02-29
CN102569179B (zh) 2016-08-03
CN101060095B (zh) 2012-12-05
KR20070103318A (ko) 2007-10-23
TWI395268B (zh) 2013-05-01
EP1848032B1 (en) 2017-03-01
JP4838190B2 (ja) 2011-12-14
JP2011233926A (ja) 2011-11-17
US9293361B2 (en) 2016-03-22
US20080038934A1 (en) 2008-02-14
US20130157435A1 (en) 2013-06-20
TW200741865A (en) 2007-11-01
US8846522B2 (en) 2014-09-30
US8399349B2 (en) 2013-03-19
EP1848032A2 (en) 2007-10-24

Similar Documents

Publication Publication Date Title
KR100859178B1 (ko) 통제되는 간극을 형성하는 물질 및 방법
JP4874614B2 (ja) 多孔質の低誘電率組成物並びにそれを作製及び使用するための方法
JP4216768B2 (ja) 有機ケイ酸塩ガラス膜及びその作製方法並びに有機ケイ酸塩ガラス膜作製のための混合物
US7404990B2 (en) Non-thermal process for forming porous low dielectric constant films
TWI397606B (zh) 生孔劑、生孔性前驅物及使用其提供具有低介電常數之多孔性有機矽石玻璃膜的方法
US8062983B1 (en) Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
JP2004320005A (ja) 有機シリカ多孔性膜製造のための化学気相成長方法
JP2004006822A (ja) ポロゲン、ポロゲン化された前駆体および低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
TW200845212A (en) Activated chemical process for enhancing material properties of dielectric films
JP2008520100A (ja) 多孔性低k誘電体フィルムの紫外線に補助された細孔シーリング
JP2008010877A (ja) 還元性雰囲気下における絶縁膜の硬化
EP1420439B1 (en) Non-thermal process for forming porous low dielectric constant films
CN101021680B (zh) 形成曝光光线阻挡膜的材料、多层互连结构及其制造方法以及半导体器件
KR20000076713A (ko) 층간 절연막을 형성하기위한 방법 및, 반도체 장치
JP2006190872A (ja) 半導体装置の製造方法
Wu et al. Nanoporous organosilicate glass films via chemical vapor deposition onto colloidal crystal templates

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120830

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130830

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140828

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180816

Year of fee payment: 11