DE102021108491A1 - Interconnect-struktur mit stapel aus dielektrischer kappschicht und ätzstoppschicht - Google Patents

Interconnect-struktur mit stapel aus dielektrischer kappschicht und ätzstoppschicht Download PDF

Info

Publication number
DE102021108491A1
DE102021108491A1 DE102021108491.1A DE102021108491A DE102021108491A1 DE 102021108491 A1 DE102021108491 A1 DE 102021108491A1 DE 102021108491 A DE102021108491 A DE 102021108491A DE 102021108491 A1 DE102021108491 A1 DE 102021108491A1
Authority
DE
Germany
Prior art keywords
layer
dielectric
etch stop
forming
cap layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021108491.1A
Other languages
English (en)
Inventor
Chao-Chun Wang
Jen Hung Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021108491A1 publication Critical patent/DE102021108491A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Bipolar Transistors (AREA)

Abstract

Ein Verfahren zum Bilden einer Halbleitervorrichtung umfasst: Bilden eines ersten leitfähigen Merkmals in einer ersten dielektrischen Schicht, die über einem Substrat angeordnet ist; Bilden einer Metalldeckschicht über einer oberen Oberfläche des ersten leitfähigen Merkmals distal von dem Substrat; selektives Bilden einer dielektrischen Deckschicht über einer oberen Oberfläche der ersten dielektrischen Schicht und seitlich neben der Metalldeckschicht, wobei die Metalldeckschicht durch die dielektrische Deckschicht freigelegt wird; und Bilden eines Ätzstoppschichtstapels über der Metalldeckschicht und der dielektrischen Deckschicht, wobei der Ätzstoppschichtstapel mehrere Ätzstoppschichten umfasst.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung Nr. 63/084,812 , eingereicht am 29. September 2020 mit dem Titel „ESL Film Scheme Designed for Yield, Reliability Improvement“, die hiermit durch Bezugnahme in den vorliegenden Text aufgenommen wird.
  • HINTERGRUND
  • Integrierte Schaltkreise mit hoher Dichte, wie zum Beispiel „Very Large Scale Integration“-Schaltkreise (VLSI-Schaltkreise), werden in der Regel mit mehreren metallischen Interconnect-Verbindungen gebildet, um als dreidimensionale Verdrahtungsleitungsstrukturen zu dienen. Der Zweck der mehreren Interconnect-Verbindungen ist es, dicht gepackte Vorrichtungen korrekt miteinander zu verbinden. Mit zunehmenden Integrationsgraden nimmt der Effekt einer parasitären Kapazität zwischen den metallischen Interconnect-Verbindungen, der zu RC-Verzögerung und Übersprechen führt, entsprechend zu. Um die parasitäre Kapazität zu reduzieren und die Leitungsgeschwindigkeit zwischen den metallischen Interconnect-Verbindungen zu erhöhen, werden üblicherweise dielektrische Materialien mit niedrigem k-Wert verwendet, um Zwischenschichtdielektrikum-Schichten (Inter-Layer Dielectric, ILD-Schichten) und Zwischenmetalldielektrikum-Schichten (Inter-Metal Dielectric, IMD-Schichten) zu bilden.
  • In den IMD-Schichten werden Metallleitungen und Durchkontaktierungen gebildet. Ein Bildungsprozess kann das Bilden einer Ätzstoppschicht über ersten leitfähigen Merkmalen und das Bilden einer dielektrischen Schicht mit niedrigem k-Wert über der Ätzstoppschicht umfassen. Die dielektrische Schicht mit niedrigem k-Wert und die Ätzstoppschicht werden strukturiert, um einen Graben und eine Durchkontaktierungsöffnung zu bilden. Der Graben und die Durchkontaktierungsöffnung werden dann mit einem leitfähigen Material gefüllt, gefolgt von einem Planarisierungsprozess, um überschüssiges leitfähiges Material zu entfernen, so dass eine Metallleitung und eine Durchkontaktierung gebildet werden.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung beliebig vergrößert oder verkleinert sein.
    • 1 bis 7 veranschaulichen Querschnittsansichten einer Halbleitervorrichtung auf verschiedenen Herstellungsstufen gemäß einer Ausführungsform.
    • 8 bis 10 veranschaulichen Querschnittsansichten einer Halbleitervorrichtung auf verschiedenen Herstellungsstufen gemäß einer anderen Ausführungsform.
    • 11 veranschaulicht eine Querschnittsansicht einer Halbleitervorrichtung gemäß einer anderen Ausführungsform.
    • 12 veranschaulicht eine Querschnittsansicht einer Halbleitervorrichtung gemäß einer anderen Ausführungsform.
    • 13 veranschaulicht eine Querschnittsansicht einer Halbleitervorrichtung gemäß einer weiteren Ausführungsform.
    • 14 veranschaulicht ein Flussdiagramm eines Verfahrens zum Bilden einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung bereit. Im Folgenden werden konkrete Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und dienen nicht der Einschränkung. Zum Beispiel kann das Bilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Merkmal in direktem Kontakt gebildet sind, und kann auch Ausführungsformen umfassen, bei denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet sein können, so dass das erste und das zweite Merkmal nicht unbedingt in direktem Kontakt stehen.
  • Des Weiteren können räumlich relative Begriffe, wie zum Beispiel „darunterliegend“, „unter“, „unterer“, „darüberliegend“, „oberer“ und dergleichen, im vorliegenden Text zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Elementen oder Merkmalen, wie in den Figuren veranschaulicht, zu beschreiben. Die räumlich relativen Begriffe sollen auch andere Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb neben der in den Figuren gezeigten Ausrichtung umfassen. Die Vorrichtung kann auch anders ausgerichtet sein (um 90 Grad gedreht, oder sonstige Ausrichtungen), und die im vorliegenden Text verwendeten räumlich relativen Deskriptoren können gleichermaßen entsprechend interpretiert werden. In der gesamten Beschreibung des vorliegenden Textes, sofern nicht anders angegeben, beziehen sich gleiche oder ähnliche Bezugszahlen in verschiedenen Figuren auf das gleiche oder ein ähnliches Element, das durch ein gleiches oder ähnliches Bildungsverfahren unter Verwendung eines oder mehrerer gleicher oder ähnlicher Materialien gebildet wird.
  • Gemäß einigen Ausführungsformen werden eine Interconnect-Struktur einer Halbleitervorrichtung und das Verfahren zu ihrem Bilden bereitgestellt. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst das Bilden einer Interconnect-Struktur das Bilden einer Metallkappe über einem ersten leitfähigen Merkmal (zum Beispiel einer leitfähigen Leitung), das in einer ersten dielektrischen Schicht angeordnet ist. Eine dielektrische Deckschicht, die ein nitridhaltiges dielektrisches Material ist, wird selektiv auf der oberen Oberfläche der ersten dielektrischen Schicht und seitlich neben der Metalldeckschicht gebildet. Die dielektrische Deckschicht kann durch einen selektiven Abscheidungsprozess oder durch einen Ionenimplantationsprozess gebildet werden. Die dielektrische Deckschicht reduziert Leckstrompfade zwischen benachbarten leitfähigen Leitungen in der ersten dielektrischen Schicht an der Grenzfläche zwischen der ersten dielektrischen Schicht und einer anschließend gebildeten zweiten dielektrischen Schicht und verbessert das „Time-Dependent Dielectric Brakedown“-Verhalten (zeitabhängiges dielektrisches Durchschlagen, TDDB-Verhalten). Als Nächstes wird ein Ätzstoppschichtstapel, der mehrere Ätzstoppschichten (zum Beispiel drei oder vier Ätzstoppschichten) aufweist, auf der dielektrischen Deckschicht und der Metalldeckschicht gebildet. In einigen Ausführungsformen umfasst der Ätzstoppschichtstapel eine Aluminiumnitridschicht, eine erste Aluminiumoxidschicht, eine sauerstoffdotierte Siliziumcarbidschicht (Oxygen-Doped silicon Carbide, ODC-Schicht) und eine zweite Aluminiumoxidschicht, die nacheinander über der dielektrischen Deckschicht und der Metalldeckschicht gebildet werden. Durch das Filmregime des Ätzstoppschichtstapels werden verschiedene Vorteile realisiert. Zum Beispiel verhindert der Ätzstoppschichtstapel, dass Kupfer in dem ersten leitfähigen Merkmal nach oben in die Ätzstoppschichten und die darüberliegende zweite dielektrische Schicht diffundiert. Die Aufwärtsdiffusion von Kupfer kann die Ätzraten der zweiten dielektrischen Schicht und des Ätzstoppschichtstapels in dem anschließenden Ätzprozess zum Bilden von Durchkontaktierungen reduzieren, und die reduzierten Ätzraten können dazu führen, dass das Ätzen der Durchkontaktierungsöffnungen vorzeitig stoppt, was zu einem Ausfall der elektrischen Verbindung zwischen den Durchkontaktierungen und den darunterliegenden leitfähigen Leitungen führt. Das Filmregime der Ätzstoppschicht verhindert das oben genannte Problem, indem es die Kupferdiffusion verhindert. Zu weiteren Vorteilen gehören ein besseres Ätzselektivitätsfenster und ein weiter reduzierter Leckstrom.
  • 1 bis 7 veranschaulichen Querschnittsansichten einer Halbleitervorrichtung 100 auf verschiedenen Herstellungsstufen gemäß einer Ausführungsform. Die Halbleitervorrichtung 100 kann ein Vorrichtungswafer sein, der aktive Vorrichtungen (zum Beispiel Transistoren, Dioden oder dergleichen) und/oder passive Vorrichtungen (zum Beispiel Kondensatoren, Induktivitäten, Widerstände oder dergleichen) aufweist. In einigen Ausführungsformen ist die Halbleitervorrichtung 100 ein Interposer-Wafer, der gegebenenfalls aktive Vorrichtungen und/oder passive Vorrichtungen enthalten kann. Gemäß einer weiteren Ausführungsform der vorliegenden Offenbarung ist die Halbleitervorrichtung 100 ein Package-Substratstreifen, bei dem es sich um Package-Substrate mit Kernen darin oder um kernlose Package-Substrate handeln kann. In der anschließenden Besprechung wird ein Vorrichtungswafer als ein Beispiel für die Halbleitervorrichtung 100 verwendet. Dem Fachmann leuchtet ein, dass die Lehre der vorliegenden Offenbarung auch auf Interposer-Wafer, Package-Substrate oder andere Halbleiterstrukturen angewendet werden kann.
  • Wie in 1 veranschaulicht, umfasst die Halbleitervorrichtung 100 ein Halbleitersubstrat 101 und Integrierte-Schaltkreis-Vorrichtungen 103 (zum Beispiel aktive Vorrichtungen, passive Vorrichtungen), die auf oder in dem Halbleitersubstrat 101 gebildet werden (kann auch als Substrat 101 bezeichnet werden). Das Halbleitersubstrat 101 kann ein Halbleitermaterial, wie zum Beispiel Silizium, dotiert oder undotiert, oder eine aktive Schicht eines Halbleiter-auf-Isolator-Substrats (Semiconductor-on-Insulator, SOI-Substrats) enthalten. Das Halbleitersubstrat 101 kann andere Halbleitermaterialien enthalten, wie zum Beispiel Germanium; einen Verbundhalbleiter, einschließlich Siliziumcarbid, Galliumarsen, Galliumphosphid, Galliumnitrid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, einschließlich SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon. Andere Substrate, wie zum Beispiel mehrschichtige oder Gradientensubstrate, können ebenfalls verwendet werden.
  • In dem Beispiel von 1 werden Integrierte-Schaltkreis-Vorrichtungen 103 auf oder in dem Halbleitersubstrat 101 gebildet. Zu beispielhaften Integrierten-Schaltkreis-Vorrichtungen 103 gehören Transistoren (zum Beispiel Complementary Metal-Oxide Semiconductor-Transistoren (CMOS-Transistoren)), Widerstände, Kondensatoren, Dioden und dergleichen. Die Integrierten-Schaltkreis-Vorrichtungen 103 können unter Verwendung eines beliebigen geeigneten Verfahrens gebildet werden; die Details werden hier nicht weiter erörtert.
  • Nachdem die Integrierten-Schaltkreis-Vorrichtungen 103 gebildet wurden, wird eine Zwischenschichtdielektrikum-Schicht (ILD-Schicht) 107 über dem Halbleitersubstrat 101 und über den Integrierten-Schaltkreis-Vorrichtungen 103 gebildet. Die ILD-Schicht 107 kann Räume zwischen den Gate-Stapeln der Transistoren (nicht gezeigt) der Integrierten-Schaltkreis-Vorrichtungen 103 füllen. Gemäß einigen Ausführungsformen umfasst die ILD-Schicht 107 Siliziumoxid, Phosphorsilikatglas (PSG), Borsilikatglas (BSG), Bor-dotiertes Phosphorsilikatglas (BPSG), Fluor-dotiertes Silikatglas (FSG), Tetraethylorthosilikat (TEOS) oder dergleichen. Die ILD-Schicht 107 kann unter Verwendung von Schleuderbeschichtung, fließfähiger chemischer Gasphasenabscheidung (Flowable Chemical Vapor Deposition, FCVD), Plasma-verstärker chemischer Gasphasenabscheidung (Plasma Enhanced Chemical Vapor Deposition, PECVD), chemischer Niederdruck-Gasphasenabscheidung (Low Pressure Chemical Vapor Deposition, LPCVD) oder dergleichen gebildet werden.
  • Wir bleiben bei 1. In der ILD-Schicht 107 werden Kontaktstecker 105 gebildet, die die integrierten Schaltkreise 103 elektrisch mit darüberliegenden leitfähigen Merkmalen, wie zum Beispiel Metallleitungen, Durchkontaktierungen und leitfähigen Pfeilern, koppeln. Es ist zu beachten, dass sich in der vorliegenden Offenbarung, sofern nicht anders angegeben, „leitfähige Merkmale“ auf elektrisch leitfähige Merkmale beziehen. Gemäß einigen Ausführungsformen werden die Kontaktstecker 105 aus einem leitfähigen Material wie zum Beispiel Wolfram, Aluminium, Kupfer, Titan, Tantal, Titannitrid, Tantalnitrid, Legierungen davon und/oder Mehrfachschichten davon gebildet. Das Bilden der Kontaktstecker 105 kann das Bilden von Kontaktöffnungen in der ILD-Schicht 107, das Bilden eines oder mehrerer leitfähiger Materialien in den Kontaktöffnungen sowie das Ausführen eines Planarisierungsprozesses, wie zum Beispiel eines chemisch-mechanischen Polierens (CMP), umfassen, um die obere Oberfläche der Kontaktstecker 105 mit der oberen Oberfläche der ILD-Schicht 107 bündig abschließen zu lassen.
  • Als Nächstes werden mehrere Zwischenmetalldielektrikum-Schichten (IMD-Schichten), wie zum Beispiel 109 und 111, über der ILD-Schicht 107 gebildet. Die IMD-Schichten 109 und 111 können aus einem dielektrischen Material wie zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumcarbid, Siliziumoxynitrid oder dergleichen gebildet werden. Gemäß einigen Ausführungsformen werden die IMD-Schichten 109 und 111 aus einem dielektrischen Material mit niedrigem k-Wert gebildet, das eine Dielektrizitätskonstante (k-Wert) von weniger als 3,0, wie zum Beispiel etwa 2,5, etwa 2,0 oder noch niedriger, aufweist. Die IMD-Schichten 109 und 111 können Black Diamond (ein eingetragenes Warenzeichen von Applied Materials), ein kohlenstoffhaltiges dielektrisches Material mit niedrigem k-Wert, Hydrogen SilsesQuioxane (HSQ), MethylSilsesQuioxane (MSQ) oder dergleichen umfassen. Das Bilden jeder der IMD-Schichten 109 und 111 kann das Abscheiden eines porogenhaltigen dielektrischen Materials über der ILD-Schicht 107 und das anschließende Ausführen eines Aushärtungsprozesses zum Austreiben des Porogens umfassen, wodurch zum Beispiel die IMD-Schicht gebildet wird, die porenhaltig ist. Es können auch andere geeignete Verfahren verwendet werden, um die IMD-Schichten 109 und 111 zu bilden. In einer beispielhaften Ausführungsform werden die IMD-Schichten 109 und 111 aus SiCO unter Verwendung eines chemischen Gasphasenabscheidungsprozesses (CVD-Prozesses) gebildet, wobei jede der IMD-Schichten 109 und 111 (zum Beispiel SiCO) eine Dicke zwischen etwa 200 Ängström und etwa 600 Ängström aufweist und einen k-Wert zwischen etwa 2,8 und etwa 3,5 aufweist. Eine Konzentration von Sauerstoff in den IMD-Schichten 109 und 111 kann zwischen etwa 40 Atomprozent (at%) und etwa 55 at% betragen, eine Konzentration von Kohlenstoff in den IMD-Schichten 109 und 111 kann zwischen etwa 5 at% und etwa 20 at% betragen, und eine Konzentration von Silizium in den IMD-Schichten 109 und 111 kann zwischen etwa 39 at% und etwa 40 at% betragen.
  • Wie in 1 veranschaulicht, werden leitfähige Merkmale 112 (zum Beispiel Metallleitungen) in der IMD-Schicht 111 gebildet. In dem veranschaulichten Beispiel sind die leitfähigen Merkmale 112 Metallleitungen, die eine Diffusionssperrschicht 113 (die auch als Sperrschicht bezeichnet werden kann) und ein leitfähiges Material 115 (zum Beispiel Kupfer oder ein kupferhaltiges Material) über der Diffusionssperrschicht 113 aufweisen. Die Diffusionssperrschicht 113 kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen enthalten und kann durch CVD, physikalische Gasphasenabscheidung (Physical Vapor Deposition, PVD), Atomschichtabscheidung (Atomic Layer Deposition, ALD) oder dergleichen gebildet werden. Nachdem die Diffusionssperrschicht 113 gebildet wurde, wird das leitfähige Material 115 über der Diffusionssperrschicht 113 gebildet. Das Bilden der leitfähigen Merkmale 112 kann einen Einzeldamaszenprozess umfassen, obwohl auch andere geeignete Bildungsverfahren verwendet werden können. Das leitfähige Merkmal 112 kann im Folgenden auch als eine leitfähige Leitung 112 oder eine Metallleitung 112 bezeichnet werden, wobei es sich versteht, dass das leitfähige Merkmal 112 auch andere Merkmale sein oder enthalten kann, wie zum Beispiel eine Durchkontaktierung oder eine leitfähige Leitung mit einer darunterliegenden Durchkontaktierung, die mit der leitfähigen Leitung verbunden ist. Obgleich 1 eine oder mehrere IMD-Schichten 109 veranschaulicht, die zwischen der IMD-Schicht 111 und der ILD-Schicht 107 gebildet werden, ist dies lediglich ein nicht-einschränkendes Beispiel. Dem Fachmann leuchtet ein, dass die IMD-Schicht 111 direkt auf der ILD-Schicht 107 gebildet sein kann (diese zum Beispiel physisch kontaktiert). Darüber hinaus werden, obgleich in 1 nicht veranschaulicht, leitfähige Merkmale, wie zum Beispiel leitfähige Leitungen und/oder Durchkontaktierungen, in den IMD-Schichten 109 gebildet, um die leitfähigen Leitungen 112 elektrisch mit den integrierten Schaltkreiselementen 103 zu koppeln.
  • Als Nächstes wird eine Metalldeckschicht 116 auf den oberen Oberflächen der leitfähigen Leitungen 112 gebildet (zum Beispiel selektiv gebildet). Der Abschnitt der Metalldeckschicht 116 auf jeder leitfähigen Leitung 112 wird auch als eine Metallkappe 116 für die darunterliegende leitfähige Leitung 112 bezeichnet. In einigen Ausführungsformen wird die Metalldeckschicht 116 aus einem elektrisch leitfähigen Material, wie zum Beispiel einem Metall oder einem metallhaltigen Material, gebildet. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die Metalldeckschicht 116 aus Cobalt (Co), CoWP, CoB, Wolfram (W), Tantal (Ta), Nickel (Ni), Molybdän (Mo), Titan (Ti), Eisen (Fe), Kombinationen davon, und/oder Legierungen davon gebildet. Ein geeignetes Bildungsverfahren, wie zum Beispiel PVD, CVD, PECVD, ALD oder dergleichen, kann verwendet werden, um die Metalldeckschicht 116 zu bilden. Eine Dicke der Metalldeckschicht 116 kann zum Beispiel zwischen etwa 20 Ängström und etwa 40 Ängström betragen.
  • In dem Beispiel von 1 hat die Metallkappe 116 auf jeder leitfähigen Leitung 112 die gleiche Breite wie die leitfähige Leitung 112, dergestalt, dass Seitenwände der Metallkappe 116 auf jeweilige Seitenwände der Sperrschicht 113 der leitfähigen Leitung 112 ausgerichtet (zum Beispiel vertikal ausgerichtet) sind. In anderen Ausführungsformen hat die Metallkappe 116 auf jeder leitfähigen Leitung 112 die gleiche Breite wie das leitfähige Material 115 der leitfähigen Leitung 112, dergestalt, dass Seitenwände der Metallkappe 116 auf jeweilige Seitenwände des leitfähigen Materials 115 ausgerichtet (zum Beispiel vertikal ausgerichtet) sind.
  • In einigen Ausführungsformen wird die Metalldeckschicht 116 durch einen selektiven Abscheidungsprozess gebildet, der eine erste Abscheidungsrate auf der leitfähigen Leitung 112 aufweist und eine zweite Abscheidungsrate auf der IMD-Schicht 111 aufweist, wobei die erste Abscheidungsrate höher ist als die zweite Abscheidungsrate. Nach dem selektiven Abscheidungsprozess wird ein Ätzprozess durchgeführt, um die Metalldeckschicht 116 von den oberen Oberflächen der IMD-Schicht 111 zu entfernen. In einer anderen Ausführungsform wird die Metalldeckschicht 116 flächendeckend über den leitfähigen Leitungen 112 und der IMD-Schicht 111 abgeschieden. Als Nächstes wird eine strukturierte Maskenschicht (zum Beispiel eine strukturierte Photoresistschicht) über der Metalldeckschicht 116 gebildet, wobei Abschnitte der Metalldeckschicht 116 über (zum Beispiel direkt über) den leitfähigen Leitungen 112 von der strukturierten Maskenschicht bedeckt werden und Abschnitte der Metalldeckschicht 116 über (zum Beispiel direkt über) der IMD-Schicht 111 durch Strukturen (zum Beispiel Öffnungen) der strukturierten Maskenschicht freigelegt werden. Anschließend wird ein Ätzprozess ausgeführt, um die durch die Strukturen der strukturierten Maskenschicht freigelegten Abschnitte der Metalldeckschicht 116 zu entfernen. Nach dem Ätzprozess wird die strukturierte Maskenschicht durch einen geeigneten Prozess, wie zum Beispiel Ashing, entfernt.
  • Wir wenden uns nun 2 zu, wo eine dielektrische Deckschicht 117 auf der oberen Oberfläche der IMD-Schicht 111 gebildet (zum Beispiel selektiv gebildet) wird. In einigen Ausführungsformen ist die dielektrische Deckschicht 117 ein nitridhaltiges dielektrisches Material, wie zum Beispiel SiNx, SiONx oder SiCNx, wobei x 1 oder 2 sein kann. Eine Dicke der dielektrischen Deckschicht 117 kann zwischen etwa 5 Ängström und etwa 50 Ångström oder zwischen etwa 10 Ångström und etwa 50 Ångström betragen. Eine Dichte der dielektrischen Deckschicht 117 kann zwischen etwa 1,5 g/cm3 und etwa 3,2 g/cm3 betragen.
  • In dem Beispiel von 2 wird die dielektrische Deckschicht 117 durch einen geeigneten Abscheidungsprozess, wie zum Beispiel PECVD, gebildet. In einigen Ausführungsformen wird der PECVD-Prozess unter Verwendung einer stickstoffhaltigen Gasquelle, wie zum Beispiel N2, NH3, NO oder N2O, ausgeführt. Ein Trägergas, wie zum Beispiel Ar, N2, O3 oder ein Gemisch aus He und O2, wird verwendet, um die stickstoffhaltige Gasquelle in eine Prozesskammer für den PECVD-Prozess zu transportieren. Nachdem die dielektrische Deckschicht 117 gebildet wurde, liegt eine Konzentration von Nitrid in der dielektrischen Deckschicht 117 in einigen Ausführungsformen zwischen etwa 2 at% und etwa 10 at%. Neben dem PECVD-Prozess sind auch andere Verfahren zum Bilden der dielektrischen Deckschicht 117 möglich und sollen in vollem Umfang im Geltungsbereich der vorliegenden Offenbarung enthalten sein. Zum Beispiel wird eine Ausführungsform, bei der die dielektrische Deckschicht 117 durch einen Ionenimplantierungsprozess gebildet wird, im Folgenden unter Bezug auf die 8-10 erörtert.
  • In einigen Ausführungsformen wird das Material der dielektrischen Deckschicht 117 während des PECVD-Prozesses zum Bilden der dielektrischen Deckschicht 117 mit einer ersten Abscheidungsrate auf der IMD-Schicht 111 gebildet und wird mit einer zweiten Abscheidungsrate auf der Metalldeckschicht 116 gebildet, wobei die erste Abscheidungsrate höher ist als die zweite Abscheidungsrate. Nach dem PECVD-Prozess kann ein Ätzprozess ausgeführt werden, um das Material der dielektrischen Deckschicht 117 von der oberen Oberfläche der Metalldeckschicht 116 zu entfernen, und die verbleibenden Abschnitte des Materials der dielektrischen Deckschicht 117 auf der oberen Oberfläche der IMD-Schicht 111 bilden die dielektrische Deckschicht 117.
  • In dem Beispiel von 2 grenzt die dielektrische Deckschicht 117 seitlich an die Metalldeckschicht 116. Eine untere Oberfläche 117L der dielektrischen Deckschicht 117 kontaktiert physisch eine obere Oberfläche 111U der IMD-Schicht 111 und liegt auf gleicher Höhe mit einer oberen Oberfläche 115U des leitfähigen Materials 115 (oder einer oberen Oberfläche der Sperrschicht 113), die von dem Substrat 101 entfernt liegt. Eine oberen Oberfläche 117U der dielektrischen Deckschicht 117 liegt in dem veranschaulichten Beispiel auf gleicher Höhe mit einer oberen Oberfläche 116U der Metalldeckschicht 116. In einer anderen Ausführungsform ist die obere Oberfläche 117U niedriger als die obere Oberfläche 116U der Metalldeckschicht 116, zum Beispiel vertikal zwischen der oberen Oberfläche 116U der Metalldeckschicht und der oberen Oberfläche 111U der IMD-Schicht 111. In einer weiteren Ausführungsform ist die obere Oberfläche 117U der dielektrischen Deckschicht 117 zum Beispiel um weniger als etwa 50 Ångström höher (erstreckt sich weiter von dem Substrat 101) als die obere Oberfläche 116U der Metalldeckschicht. Wie in 2 veranschaulicht, bedeckt die dielektrische Deckschicht 117 die obere Oberfläche 111U der IMD-Schicht 111 und erstreckt sich kontinuierlich entlang der oberen Oberfläche 111U der IMD-Schicht 111 von einer leitfähigen Leitung 112 zu einer benachbarten leitfähigen Leitung 112.
  • In einigen Ausführungsformen werden durch das Bilden der dielektrischen Deckschicht 117 zwischen benachbarten leitfähigen Leitungen 112 Leckstrompfade zwischen benachbarten leitfähigen Leitungen 112 an der Grenzfläche zwischen der IMD-Schicht 111 und einer darüberliegenden dielektrischen Schicht (siehe zum Beispiel 127 in 4) reduziert oder verhindert, wodurch die Leistung der Vorrichtung verbessert und der Stromverbrauch reduziert wird. Darüber hinaus wird auch das „Time-Dependent Dielectric Breakdown“-Verhalten (TDDB-Verhalten) der gebildeten Vorrichtung im Vergleich zu einem Referenzdesign ohne die dielektrische Deckschicht 117 verbessert.
  • Als Nächstes wird in 3 ein Ätzstoppschichtstapel 118 über der dielektrischen Deckschicht 117 und über der Metalldeckschicht 116 gebildet. In dem Beispiel von 3 weist der Åtzstoppschichtstapel 118 mehrere Åtzstoppschichten (Etch Stop Layers, ESLs) 119, 121, 123 und 125 auf. Daher umfasst das Bilden des Åtzstoppschichtstapels 118 das Bilden der ESLs 119, 121, 123 und 125 nacheinander über der dielektrischen Deckschicht 117 und über der Metalldeckschicht 116.
  • In einigen Ausführungsformen wird die ESL 119 aus einem Metallnitrid gebildet, das eine gute Haftung an der darunterliegenden Metalldeckschicht 116 und der IMD-Schicht 111 besitzt. Zum Beispiel kann die ESL 119 aus Aluminiumnitrid (AlN), Aluminiumoxynitrid (AlNO), Mangannitrid (Mn3N2), Galliumnitrid (GaN), Aluminiumgalliumnitrid (AlGaN) oder dergleichen gebildet werden. In der Besprechung im vorliegenden Text kann die ESL 119 alternativ als eine Aluminiumnitridschicht 119 bezeichnet werden, wobei es sich versteht, dass die ESL 119 auch aus anderen geeigneten Materialien anstelle von Aluminiumnitrid gebildet werden kann, wie zum Beispiel den oben aufgeführten.
  • Gemäß einigen Ausführungsformen wird die ESL 119 unter Verwendung eines geeigneten Bildungsverfahrens, wie zum Beispiel PVD, CVD, ALD oder dergleichen, gebildet. Die Vorläufer zum Bilden der ESL 119 können ein stickstoffhaltiges Prozessgas wie zum Beispiel NH3 und ein aluminiumhaltiges Prozessgas wie zum Beispiel Trimethylaluminium (TMA) (Al2(CH3)6) oder dergleichen enthalten. In einem beispielhaften Abscheidungsprozess (zum Beispiel einem ALD-Prozess) werden das stickstoffhaltige Prozessgas und das aluminiumhaltige Prozessgas alternativ in die Prozesskammer eingeleitet und dann herausgespült, um in jedem Zyklus des Abscheidungsprozesses Aluminiumnitridatomschichten zu züchten.
  • Gemäß einigen Ausführungsformen liegt die Dicke der ESL 119 in einem Bereich zwischen etwa 5 Ängström und etwa 30 Ångström. Die Dicke der ESL 119 sollte innerhalb eines geeigneten Bereichs liegen. Wenn die ESL 119 zu dick ist (zum Beispiel dicker als etwa 30 Ängström), so kann es beim Durchätzen der ESL 119 in anschließenden Prozessen zu Hinterschneidungen kommen. Wenn die ESL 119 zu dünn ist (zum Beispiel dünner als etwa 5 Ängström), so kann die ESL 119 das Ätzen der darüberliegenden Schichten möglicherweise nicht effektiv stoppen.
  • Die Temperatur der Halbleitervorrichtung 100 während der Abscheidung der Aluminiumnitridschicht 119 wird so gesteuert, dass sie in einem geeigneten Bereich liegt, wie zum Beispiel zwischen etwa 300°C und 380°C. Es versteht sich, dass die Temperatur der Halbleitervorrichtung 100 die Abscheidungsrate beeinflusst. Wenn die Temperatur zu niedrig ist (zum Beispiel niedriger als etwa 300°C), so könnte die Abscheidungsrate aufgrund der langen Zeit, die für das Bilden der Aluminiumnitridschicht 119 benötigt wird, zu niedrig sein, um für die Halbleiterherstellung wirtschaftlich zu sein. Wenn die Temperatur zu hoch ist (zum Beispiel höher als etwa 380°C), so ist die resultierende Aluminiumnitridschicht 119 kristallin (zum Beispiel polykristallin), was zu einer erhöhten Kupferdiffusion von der leitfähigen Leitung 112 zu darüberliegenden Schichten führen kann. Daher wird die Temperatur der Halbleitervorrichtung 100 während der Abscheidung der Aluminiumnitridschicht 119 so gewählt, dass sie in einigen Ausführungsformen in einem Bereich zwischen etwa 300°C und etwa 380°C liegt, um die oben genannten Probleme zu vermeiden.
  • Die Aluminiumnitridschicht 119 in ihrem abgeschiedenen Zustand kann (gegebenenfalls) einige kristalline Strukturen, wie zum Beispiel polykristalline Strukturen, enthalten, in denen sich Körner befinden. Einige Körner können miteinander verbunden sein, während einige andere Körner in amorphen Strukturen vergraben sein können. Das Kupfer in der leitfähigen Leitung 112 kann entlang der Korngrenzen nach oben in darüberliegende Schichten diffundieren, die später gebildet werden. Um die Aufwärtsdiffusion von Kupfer zu reduzieren, wird daher nach der Abscheidung der Aluminiumnitridschicht 119 ein Behandlungsprozess (auch als ein Amorphisierungsprozess bezeichnet) ausgeführt, um die polykristallinen Strukturen (soweit vorhanden) in der Aluminiumnitridschicht 119 in amorphe Strukturen umzuwandeln, so dass die gesamte Aluminiumnitridschicht 119 amorph ist. Da die amorphe Aluminiumnitridschicht 119 keine Korngrenzen aufweist, ist sie besser in der Lage, das Durchdiffundieren von Kupfer zu verhindern.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird der Behandlungsprozess (zum Beispiel ein Plasmaprozess) für die Aluminiumnitridschicht 119 im abgeschiedenen Zustand unter Verwendung eines Prozessgases ausgeführt, das NH3, N2 oder eine Kombination davon umfasst. Andere Gase, wie zum Beispiel Argon, können ebenfalls zugegeben werden. In dem Behandlungsprozess wird die Aluminiumnitridschicht 119 beschossen. Durch das Beschießen werden die kristallinen Strukturen zerstört. Außerdem können Wasserstoff und Stickstoff in die Aluminiumnitridschicht 119 eingebracht werden, da Wasserstoff- und Stickstoffatome in dem Prozessgas (zum Beispiel NH3) enthalten sind. Dementsprechend kann die Aluminiumnitridschicht 119 Wasserstoff umfassen, der als ein Ergebnis des Behandlungsprozesses in sie hinein dotiert wurde. Gemäß einigen Ausführungsformen weist die Aluminiumnitridschicht 119 nach dem Amorphisierungsprozess einen Wasserstoffatomprozentsatz im Bereich zwischen etwa 1 at% und etwa 3 at% auf.
  • Der Behandlungsprozess hat auch den Effekt, dass sich das Aluminium-Stickstoff-Atomverhältnis (im Folgenden als Al:N-Atomverhältnis bezeichnet) ändert, was auch beeinflusst, ob die resultierende Aluminiumnitridschicht 119 kristalline oder amorphe Strukturen aufweist. Zum Beispiel kann eine unverarbeitete kristalline Aluminiumnitridschicht ein Atomverhältnis Al:N nahe 1:1 haben. Die Zugabe von Stickstoffatomen durch den Amorphisierungsprozess verändert dieses Verhältnis. Wenn zum Beispiel Stickstoff für den Beschuss verwendet wird, so binden sich Stickstoffatome an Aluminiumatome, so dass ein Aluminiumatom mit mehr als einem Stickstoffatom vernetzt sein kann (das sich wiederum an Wasserstoffatome binden kann). Dadurch können amorphe Strukturen leichter gebildet werden. Außerdem wird durch die Bindung eines einzelnen Aluminiumatoms an mehr als ein Stickstoffatom die Rekristallisation der Aluminiumnitridschicht 119 verhindert, selbst wenn die Temperatur in anschließenden Prozessen für eine Rekristallisation hoch genug ist. Da die hinzugefügten Stickstoffatome außerdem an Wasserstoffatome gebunden sein können, wird durch den Behandlungsprozess auch Wasserstoff in die Aluminiumnitridschicht 119 eingebracht.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung hat das NH3-Gas (wenn es verwendet wird) während des Behandlungsprozesses eine Strömungsrate im Bereich zwischen etwa 50 sccm und etwa 500 sccm. Das N2-Gas (wenn es verwendet wird) hat eine Strömungsrate im Bereich zwischen etwa 1.000 sccm und etwa 3.000 sccm. Die Temperatur der Halbleitervorrichtung 100 während des Behandlungsprozesses kann im Bereich zwischen etwa 340°C und etwa 400°C liegen.
  • Gemäß einigen Ausführungsformen ist oder umfasst der Behandlungsprozess eine Plasmabehandlung, die ein direkter Plasmaprozess sein kann, wobei das Plasma in derselben Prozesskammer erzeugt wird, in der die Halbleitervorrichtung 100 behandelt wird. Der Plasmabehandlungsprozess wird sowohl unter Verwendung einer „High-Frequency Radio-Frequency“-Leistung (HFRF-Leistung) (zum Beispiel mit einer Frequenz von etwa 13,56 MHz) als auch mit einer „Low-Frequency Radio-Frequency“-Leistung (LFRF-Leistung) (zum Beispiel mit einer Frequenz von etwa 350 kHz) ausgeführt. Die HFRF-Leistung wird zur Ionisierung und zum Erzeugen eines Plasmas verwendet, und die LFRF-Leistung wird zum Beschießen der Aluminiumnitridschicht 119 zum Zweck der Amorphisierung verwendet. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung liegt die HFRF-Leistung im Bereich zwischen etwa 400 Watt und etwa 800 Watt.
  • Die LFRF-Leistung wird so gewählt, dass sie in einem geeigneten Bereich liegt. Wenn die LFRF-Leistung zu niedrig ist (zum Beispiel niedriger als etwa 90 Watt), so werden die Stickstoffionen möglicherweise nicht effektiv in die Aluminiumnitridschicht 119 dotiert. Wenn die LFRF-Leistung zu hoch ist (zum Beispiel höher als etwa 135 Watt), so kann es zu schweren plasmainduzierten Schäden an Schichten oder Strukturen unter der Aluminiumnitridschicht 119 kommen. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die LFRF-Leistung so gewählt, dass sie im Bereich zwischen etwa 90 Watt und etwa 135 Watt liegt, um die oben genannten Probleme zu vermeiden.
  • In einigen Ausführungsformen hat die Aluminiumnitridschicht 119 nach dem Behandlungsprozess einen Aluminiumatomprozentsatz in einem Bereich zwischen etwa 55 at% und etwa 63 at%, einen Nitridatomprozentsatz in einem Bereich zwischen etwa 37 at% und etwa 43 at%, und einen Kohlenstoffatomprozentsatz in einem Bereich zwischen etwa 0,5 at% und etwa 2 at%. In einigen Ausführungsformen können, wenn die Atomprozentsätze der verschiedenen Materialien (zum Beispiel Aluminium, Nitrid, Kohlenstoff) der Aluminiumnitridschicht 119 innerhalb der oben beschriebenen Bereiche liegen, die physikalischen Eigenschaften der Aluminiumnitridschicht 119 der ätzselektiven Fähigkeit des Ätzstoppschichtstapels 118 entsprechen, wobei mit der „ätzselektiven Fähigkeit“ des Ätzstoppschichtstapels 118 gemeint ist, dass während der anschließenden Ätzprozesse zum Bilden von Grabenöffnungen 131T und Durchkontaktierungöffnungen 131V (siehe zum Beispiel 5) die Ätzprozesse eine Anisotropie entlang der gewünschten Ätzrichtung (zum Beispiel vertikal) in dem Ätzstoppschichtstapel 118 aufrechterhalten können.
  • Wir bleiben bei 3, wo die ESL 121 auf der ESL 119 gebildet wird. Die ESL 121 wird in einer beispielhaften Ausführungsform aus Aluminiumoxid (AlOx, wobei x das Atomverhältnis von Sauerstoff zu Aluminium ist) gebildet. Die ESL 121 kann auch aus anderen Materialien gebildet werden, die eine hohe Ätzselektivität relativ zu der darunterliegenden ESL 119 und der darüberliegenden ESL 123 aufweisen. Zu den Bildungsverfahren für die ESL 121 gehören ALD, CVD, PECVD oder dergleichen. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die ESL 121 unter Verwendung von Vorläufern gebildet, die einen metallhaltigen Vorläufer wie zum Beispiel TMA und einen sauerstoffhaltigen Vorläufer wie zum Beispiel H2O, O3 oder dergleichen umfassen. Die Dicke der ESL 121 kann im Bereich zwischen etwa 10 Ängström und etwa 50 Ångström liegen. In einigen Ausführungsformen wird die Dicke der Aluminiumnitridschicht 119 und der ESL 121 zum Beispiel durch die ätzselektive Fähigkeit des Ätzstoppschichtstapels 118 und/oder das Zuverlässigkeitsfenster der gebildeten Vorrichtung bestimmt.
  • In einigen Ausführungsformen hat die ESL 121 nach ihrer Bildung einen Aluminiumatomprozentsatz in einem Bereich zwischen etwa 40 at% und etwa 45 at%, einen Sauerstoffatomprozentsatz in einem Bereich zwischen etwa 55 at% und etwa 60 at% und einen Kohlenstoffatomprozentsatz in einem Bereich zwischen etwa 0,5 at% und etwa 1 at%. In einigen Ausführungsformen können, wenn die Atomprozentsätze der verschiedenen Materialien (zum Beispiel Aluminium, Sauerstoff, Kohlenstoff) der ESL 121 innerhalb der oben beschriebenen Bereiche liegen, die physikalischen Eigenschaften der ESL 121 der ätzselektiven Fähigkeit des Ätzstoppschichtstapels 118 entsprechen.
  • Die ESL 121 verbessert die Ätzselektivität des Ätzstoppschichtstapels 118 und hilft in einigen Ausführungsformen, den Leckstrom zwischen den leitfähigen Leitungen 112 weiter zu reduzieren. Darüber hinaus kann der Prozess zum Bilden der Aluminiumnitridschicht 119 und der ESL 121 die Haftung zwischen der Metalldeckschicht 116 und dem leitfähigen Material 115 (zum Beispiel Kupfer) verbessern, wodurch Probleme, die durch die Diffusion von Kupfermetall hervorgerufen werden, wie zum Beispiel auf Kupfermetallleitungen gebildete Kupfergrübchen oder offene Kupfermetallleitungen, reduziert oder vermieden werden.
  • Als Nächstes wird die ESL 123 auf der ESL 121 gebildet. In einer beispielhaften Ausführungsform wird die ESL 123 aus sauerstoffdotiertem (Silizium-) Carbid (Oxygen-Doped silicon Carbide, ODC) gebildet, das auch als Siliziumoxycarbid (SiOC) bekannt ist. Die ESL 123 kann auch aus einem anderen Material, wie zum Beispiel stickstoffdotiertem Siliziumcarbid (Nitrogen-Doped silicon Carbide, NDC), SiC oder dergleichen, gebildet werden. Das Abscheidungsverfahren für die ESL 123 kann CVD oder ein anderes geeignetes Verfahren wie zum Beispiel ALD, PECVD, CVD mit hochdichtem Plasma (High-Density Plasma CVD, HDPCVD) oder dergleichen sein. Die Dicke der ESL 123 kann im Bereich zwischen etwa 20 Ångström und etwa 100 Ängström liegen.
  • In einigen Ausführungsformen hängen die Vorläufer zum Bilden der ESL 123 von der gewünschten Zusammensetzung der ESL 123 ab und können Silizium (Si), Kohlenstoff (C), Wasserstoff (H), Stickstoff (N), Sauerstoff (O), Bor (B) und/oder dergleichen enthalten. Gemäß einigen Ausführungsformen enthalten die Vorläufer ein Gas, das aus 1-Methylsilan (Si(CH)H3, auch als 1MS bekannt), 2-Methylsilan (Si(CH)2H2, auch als 2MS bekannt), 3-Methylsilan (Si(CH)3H, auch als 3MS bekannt), 4-Methylsilan (Si(CH)4, auch als 4MS bekannt) oder Kombinationen davon ausgewählt ist. Als Umgebungsgas kann ein Inertgas wie zum Beispiel He, N2, Ar, Xe oder dergleichen verwendet werden. Wenn ODC gebildet werden soll, so kann auch Kohlendioxid (CO2) zugegeben werden, um Sauerstoff bereitzustellen. Wenn NDC gebildet werden soll, so kann NH3 hinzugefügt werden, um Stickstoff bereitzustellen. Des Weiteren können die Vorläufer borhaltige Gase wie zum Beispiel B2H6, BH3 oder Kombinationen davon enthalten, um Bor in dem resultierenden ESL 123 bereitzustellen.
  • Zusätzlich zu den oben beschriebenen Vorläufern können ein oder mehrere Kohlenstoffquellengase hinzugefügt werden, um den Kohlenstoffgehalt in der resultierenden ESL 123 zu erhöhen. Das Kohlenstoffquellengas kann eine kohlenstoffreiche Quelle sein, was bedeutet, dass der Atomprozentsatz an Kohlenstoff in dem Kohlenstoffquellengas hoch ist, zum Beispiel größer als etwa 10 at% oder größer als etwa 20 at% oder 30 at%. In einer beispielhaften Ausführungsform ist das Kohlenstoffquellengas ein Kohlenstoff-Wasserstoff-haltiges Gas, das aus C2H4, C2H6 und Kombinationen davon ausgewählt ist. Mit dem zusätzlichen Kohlenstoff, der durch das Kohlenstoffquellengas bereitgestellt wird, wird der Kohlenstoffprozentsatz in der resultierenden ESL 123 erhöht, und die Eigenschaften der ESL 123 werden verbessert. Gemäß einigen Ausführungsformen ist das Verhältnis der Strömungsrate des Kohlenstoffquellengases zur Strömungsrate aller 1Ms/2Ms/3Ms/4Ms-Gase größer als etwa 2 bis 4.
  • Gemäß einigen Ausführungsformen wird das Bilden der ESL 123 in einer Kammer zum Beispiel unter Verwendung von PECVD ausgeführt, wobei die Temperatur der Halbleitervorrichtung 100 zwischen etwa 300°C und etwa 500°C liegen kann und der Kammerdruck zwischen etwa 2 Torr und etwa 10 Torr liegen kann. Die Energiequelle zum Bilden der ESL 123 kann eine HFRF-Leistung und eine LFRF-Leistung umfassen. Beim Bilden der ESL 123 kann die HFRF-Energiequelle eine Leistung zwischen etwa 100 Watt und etwa 1.000 Watt bereitstellen, während die LFRF-Energiequelle eine Leistung von weniger als etwa 135 Watt bereitstellen könnte, wobei die Leistung sogar null Watt betragen könnte (was bedeutet, dass keine niederfrequente Leistung bereitgestellt wird). Die „High-Frequency Radio Frequency“-Leistung und die LFRF-Leistung können gleichzeitig bereitgestellt werden.
  • In einigen Ausführungsformen hat die ESL 123 nach ihrer Bildung einen Sauerstoffatomprozentsatz in einem Bereich zwischen etwa 40 at% und etwa 50 at%, einen Siliziumatomprozentsatz in einem Bereich zwischen etwa 36 at% und etwa 40 at% und einen Kohlenstoffatomprozentsatz in einem Bereich zwischen etwa 15 at% und etwa 20 at%. In einigen Ausführungsformen können, wenn die Atomprozentsätze der verschiedenen Materialien (zum Beispiel Sauerstoff, Silizium, Kohlenstoff) der ESL 123 innerhalb der oben beschriebenen Bereiche liegen, die physikalischen Eigenschaften der ESL 123 der ätzselektiven Fähigkeit des Ätzstoppschichtstapels 118 entsprechen.
  • Als Nächstes wird die ESL 125 über der ESL 123 gebildet. In einer beispielhaften Ausführungsform wird die ESL 125 aus dem gleichen Material wie die ESL 121 gebildet, wie zum Beispiel Aluminiumoxid. Das Bildungsverfahren, die Abmessungen (zum Beispiel die Dicke) und die Materialzusammensetzung (zum Beispiel der Atomprozentsatz verschiedener Elemente) der ESL 125 können denen der ESL 121 gleich oder ähnlich sein und werden daher nicht wiederholt. In einer beispielhaften Ausführungsform wird die ESL 119 aus Aluminiumnitrid gebildet, die ESL 121 wird aus Aluminiumoxid gebildet, die ESL 123 wird aus ODC gebildet, und die ESL 125 wird aus Aluminiumoxid gebildet.
  • Jede der ESLs 121, 123 und 125 kann eine polykristalline Struktur oder eine amorphe Struktur aufweisen, was durch Justieren der Abscheidungstemperatur erreicht werden kann. Da die Diffusion von Kupferatomen durch die darunterliegende ESL 119 blockiert wird, hat der Umstand, ob die ESLs 121, 123 und 125 polykristallin oder amorph sind, keinen Einfluss auf die Aufwärtsdiffusion von Kupferatomen.
  • In dem Beispiel von 3 weist der Ätzstoppschichtstapel 118 vier ESLs (119, 121, 123 und 125) auf. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung weist der Ätzstoppschichtstapel 118 drei ESLs auf (siehe 11 und 12), wie zum Beispiel die ESLs 119, 123 und 125. Details zu den alternativen Ausführungsformen werden im Folgenden unter Bezug auf die 11 und 12 erörtert.
  • Als Nächstes wird, wie in 4 gezeigt, eine IMD-Schicht 127 über dem Ätzstoppschichtstapel 118 gebildet. Die IMD-Schicht 127 kann durch ein gleiches oder ein ähnliches Bildungsverfahren unter Verwendung eines oder mehrerer gleicher oder ähnlicher Materialien wie die IMD-Schicht 111 gebildet werden, daher werden keine Details wiederholt.
  • Als Nächstes wird eine Maskenschicht 129 über der IMD-Schicht 127 gebildet. Bei der anschließenden Verarbeitung wird eine Struktur zu der Maskenschicht 129 zum Beispiel unter Verwendung von Photolithographie- und Ätztechniken übertragen. Die Maskenschicht 129 kann dann als eine Strukturierungsmaske zum Ätzen der darunterliegenden IMD-Schicht 127 verwendet werden. Die Maskenschicht 129 kann aus einem Maskierungsmaterial wie zum Beispiel Siliziumnitrid, Titannitrid, Titanoxid oder dergleichen oder einer Kombination davon gebildet werden, wobei ein Prozess wie zum Beispiel CVD, PVD, ALD oder dergleichen oder eine Kombination davon verwendet wird.
  • Als Nächstes wird in 5 die Maskenschicht 129 strukturiert, und die Strukturen der Maskenschicht 129 werden zu der IMD-Schicht 127 zum Beispiel durch einen oder mehrere Ätzprozesse übertragen, um Öffnungen 131 zu bilden. In dem Beispiel von 5 umfasst jede der Öffnungen 131 eine Durchkontaktierungsöffnung 131V und eine Grabenöffnung 131T, die über der Durchkontaktierungsöffnung 131V liegt. In einer Ausführungsform wird zum Bilden der Durchkontaktierungsöffnungen 131V und der Grabenöffnungen 131T ein erster Ätzprozess (zum Beispiel ein anisotroper Ätzprozess) ausgeführt, wobei die strukturierte Maskenschicht 129 als die Ätzmaske verwendet wird, um die Grabenöffnungen 131T durch Ätzen in die IMD-Schicht 127 von der oberen Oberfläche der IMD-Schicht 127 her zu bilden. Der erste Ätzprozess wird gestoppt, sobald die Tiefe der Öffnungen 131 die Solltiefe der Grabenöffnungen 131T erreicht hat. Als Nächstes wird eine zweite Maskenschicht (nicht veranschaulicht), wie zum Beispiel eine Photoresistschicht, gebildet, um die Öffnungen 131 zu füllen, und wird über der oberen Oberfläche der Maskenschicht 129 gebildet. Die zweite Maskenschicht wird dann strukturiert, wobei die Strukturen (Öffnungen) der zweiten Maskenschicht den Positionen der Durchkontaktierungsöffnungen 131V entsprechen. Als Nächstes wird ein zweiter Ätzprozess (zum Beispiel ein anisotroper Ätzprozess) ausgeführt, wobei die strukturierte zweite Maskenschicht als Ätzmaske verwendet wird, um die Durchkontaktierungsöffnungen 131V zu bilden. Es ist zu beachten, dass der zweite Ätzprozess an der ESL 125 stoppen kann (zum Beispiel, wenn die ESL 125 frei liegt). Weitere Ätzschritte, wie unten noch im Detail beschrieben wird, werden ausgeführt, um die Durchkontaktierungsöffnungen 131V durch den Ätzstoppschichtstapel 118 hindurch zu erweitern und die Metalldeckschicht 116 freizulegen. Neben dem oben beschriebenen Verfahren sind auch andere Verfahren zum Bilden der Durchkontaktierungsöffnungen 131V und der Grabenöffnungen 131T möglich und sollen in vollem Umfang in den Geltungsbereich der vorliegenden Offenbarung fallen.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird das Ätzen der IMD-Schicht 127 unter Verwendung eines Prozessgases ausgeführt, das Fluor und Kohlenstoff umfasst, wobei Fluor zum Ätzen verwendet wird und Kohlenstoff zum Erzeugen eines Plasmas verwendet wird, das die Seitenwände der resultierenden Durchkontaktierungsöffnung 131V und der Grabenöffnungen 131T schützen kann. Mit einem zweckmäßigen Verhältnis von Fluor und Kohlenstoff können die Durchkontaktierungsöffnung 131V und die Grabenöffnungen 131T wünschenswerte Profile (zum Beispiel Seitenwandprofile) aufweisen. Zum Beispiel gehören zu den Prozessgasen für das Ätzen ein oder mehrere fluor- und kohlenstoffhaltige Gase, wie zum Beispiel C4F8 und/oder CF4, und ein Trägergas, wie zum Beispiel N2.
  • In der veranschaulichten Ausführungsform stoppt das Ätzen der IMD-Schicht 127 an der ESL 125. Als Nächstes wird die ESL 125 (zum Beispiel AlOx) zum Beispiel durch einen Trockenätzprozess, gefolgt von einem Nassätzprozess, geätzt. In einigen Ausführungsformen wird der Trockenätzprozess unter Verwendung von Ätzgasen, wie zum Beispiel einem Gemisch aus BCl3 und Cl2, ausgeführt. Das Nassätzen kann zum Beispiel unter Verwendung von Phosphorsäure ausgeführt werden. Als Nächstes wird die ESL 123 (zum Beispiel ODC) zum Beispiel unter Verwendung eines Ätzgases geätzt, das ein fluor- und kohlenstoffhaltiges Gas wie zum Beispiel CF4 und ein oder mehrere andere Gase, wie zum Beispiel Argon, enthält. Als Nächstes wird die ESL 121 (zum Beispiel AlOx) geätzt. In der veranschaulichten Ausführungsform werden die ESL 121 und die ESL 125 aus dem gleichen Material (zum Beispiel AlOx) gebildet, und daher können die gleichen Ätzprozesse zum Ätzen der ESL 125 erneut ausgeführt werden, um die ESL 121 zu ätzen. Als Nächstes wird die ESL 119 (zum Beispiel AlN) zum Beispiel unter Verwendung eines Gemisches aus BCl3, Cl2 und Argon durchgeätzt. Die ESL 119 kann auch durch einen Nassätzprozess zum Beispiel unter Verwendung von Phosphorsäure geätzt werden. Nach dem Ätzen der ESL 119 liegt die Metalldeckschicht 116 frei.
  • Das Bilden der amorphen ESL 119 (zum Beispiel AlN) hat den Vorteil, dass das oben erwähnte Ätzen der IMD-Schicht 127 und des Ätzstoppschichtstapels 118 verbessert wird. Die amorphe Struktur der ESL 119, die keine Körner und Korngrenzen aufweist, kann effektiv verhindern, dass die Kupferatome in den leitfähigen Leitungen 112 nach oben in den Ätzstoppschichtstapel 118 und die IMD-Schicht 127 diffundieren. Andernfalls kann, wenn die ESL 119 polykristalline Strukturen aufweist, Kupfer entlang der Korngrenzen in den Ätzstoppschichtstapel 118 und die darüberliegende IMD-Schicht 127 diffundieren. Das diffundierte Kupfer kann die Ätzraten beim Ätzen der ESLs 119/121/123/125 und der IMD-Schicht 127 reduzieren. Die verringerten Ätzraten können dazu führen, dass das Ätzen zum Bilden der Durchkontaktierungsöffnungen 131V innerhalb des Ätzstoppschichtstapels 118 oder sogar innerhalb der IMD-Schicht 127 vorzeitig stoppt. Dieser Effekt wird als Unterätzen des Ätzstoppschichtstapels 118 und der IMD-Schicht 127 bezeichnet. Infolge des Unterätzens sind die anschließend gebildeten Durchkontaktierungen in den Durchkontaktierungsöffnungen 131V nicht in der Lage, eine elektrische Verbindung zu den leitfähigen Leitungen 112 zu bilden, was zum Ausfall des Schaltkreises führt. Der im vorliegenden Text offenbarte Amorphisierungsprozess stellt sicher, dass die ESL 119 eine amorphe Struktur aufweist, um eine Kupferdiffusion zu verhindern, und vermeidet somit das Problem des Unterätzens. Infolgedessen werden die Zuverlässigkeit und die Produktionsausbeute der Vorrichtung verbessert.
  • Als Nächstes werden in 6 leitfähige Merkmale 132 in den Öffnungen 131 gebildet. Jedes der leitfähigen Merkmale 132 weist in dem veranschaulichten Beispiel eine Durchkontaktierung 138 und eine leitfähige Leitung 136 auf. Jede der Durchkontaktierungen 138 koppelt eine darüberliegende leitfähige Leitung 136 elektrisch mit einer darunterliegenden leitfähigen Leitung 112.
  • In einigen Ausführungsformen wird zum Bilden der leitfähigen Merkmale 132 eine Sperrschicht 133 (zum Beispiel konform) gebildet, um Seitenwände und Böden der Öffnungen 131 auszukleiden. Die Sperrschicht 133 kann auch über der oberen Oberfläche der Maskenschicht 129 gebildet werden (siehe 5). Als Nächstes wird ein leitfähiges Material 135 über der Sperrschicht 133 gebildet, um die Öffnungen 131 zu füllen. Die Sperrschicht 133 und das leitfähige Material 135 können der Sperrschicht 113 bzw. dem leitfähigen Material 115 gleich oder ähnlich sein und können unter Verwendung eines oder mehrerer gleicher oder ähnlicher Bildungsverfahren gebildet werden, weshalb keine Details wiederholt werden.
  • Nachdem die Sperrschicht 133 und das leitfähige Material 135 gebildet wurden, wird ein Planarisierungsprozess, wie zum Beispiel CMP, ausgeführt, um Aussparungsabschnitte der Sperrschicht 133 und des leitfähigen Materials 135 von der oberen Oberfläche der IMD-Schicht 127 zu entfernen. In der veranschaulichten Ausführungsform entfernt der Planarisierungsprozess auch die Maskenschicht 129. Nach dem Planarisierungsprozess bilden verbleibende Abschnitte der Sperrschicht 133 und des leitfähigen Materials 135 in den Durchkontaktierungsöffnungen 131V die Durchkontaktierungen 138, und verbleibende Abschnitte der Sperrschicht 133 und des leitfähigen Materials 135 in den Grabenöffnungen 131T bilden die leitfähigen Leitungen 136.
  • Als Nächstes wird in 7 eine Metalldeckschicht 146 über den oberen Oberflächen der leitfähigen Merkmale 132 gebildet (zum Beispiel selektiv gebildet). Als Nächstes wird eine dielektrische Deckschicht 137 über der oberen Oberfläche der IMD-Schicht 127 gebildet, und danach wird ein Ätzstoppschichtstapel 148, der ESLs 139, 141, 143 und 145 aufweist, auf der Metalldeckschicht 146 und der dielektrischen Deckschicht 137 gebildet. Die Metalldeckschicht 146 und die dielektrische Deckschicht 137 können aus den gleichen oder ähnlichen Materialien wie die Metalldeckschicht 116 bzw. die dielektrische Deckschicht 117 gebildet werden und können unter Verwendung des gleichen oder eines ähnlichen Bildungsverfahrens gebildet werden, weshalb keine Details wiederholt werden. Darüber hinaus können die ESLs 139, 141, 143 und 145 aus den gleichen oder ähnlichen Materialien unter Verwendung des gleichen oder eines ähnlichen Bildungsverfahrens wie die ESLs 119, 121, 123 bzw. 125 gebildet werden, weshalb keine Details wiederholt werden.
  • Um die Herstellung der Halbleitervorrichtung 100 abzuschließen, so kann eine zusätzliche Verarbeitung ausgeführt werden. Zum Beispiel können zusätzliche IMD-Schichten und zusätzliche leitfähige Merkmale (zum Beispiel Durchkontaktierungen, leitfähige Leitungen) über dem Ätzstoppschichtstapel 148 gebildet werden, um Interconnect-Strukturen zu bilden, die die Integrierten-Schaltkreis-Vorrichtungen 103 elektrisch verbinden, um funktionale Schaltkreise zu bilden. Außerdem können über den Interconnect-Strukturen Lötmetallisierungsstrukturen (Under Bump Metallization, UBM-Strukturen) gebildet werden, und über den UBM-Strukturen können externe Verbinder (zum Beispiel Kupferpfeiler und/oder Lotperlen) gebildet werden, um eine elektrische Verbindung zu den funktionalen Schaltkreisen der Halbleitervorrichtung 100 herzustellen. Details werden hier keine erörtert.
  • 8 bis 10 veranschaulichen Querschnittsansichten einer Halbleitervorrichtung 100A auf verschiedenen Herstellungsstufen gemäß einer anderen Ausführungsform. Die Halbleitervorrichtung 100A ähnelt der Halbleitervorrichtung 100, aber die dielektrische Deckschicht 117 wird durch einen anderen Amorphisierungsprozess gebildet. Insbesondere wird in 8 ein Ionenimplantationsprozess 120 ausgeführt, um obere Abschnitte (zum Beispiel Abschnitte distal von dem Substrat 101) der IMD-Schicht 111 in die dielektrische Deckschicht 117 umzuwandeln.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird der Ionenimplantationsprozess unter Verwendung eines stickstoffhaltigen Prozessgases, wie zum Beispiel NH3 oder N2O, ausgeführt. In einigen Ausführungsformen wird das Prozessgas zu einem Plasma gezündet, und Ionen des Prozessgases (zum Beispiel Ionen von Stickstoff) werden in die oberen Abschnitte der IMD-Schicht 111 implantiert, um die oberen Abschnitte der IMD-Schicht 111 in die stickstoffhaltige dielektrische Deckschicht 117 umzuwandeln. In einigen Ausführungsformen ist die dielektrische Deckschicht 117 ein nitridhaltiges dielektrisches Material, wie zum Beispiel SiNx, SiONx oder SiCNx, wobei x 1 oder 2 sein kann. Eine Dicke der dielektrischen Deckschicht 117 kann zwischen etwa 5 Ängström und etwa 50 Ängström oder zwischen etwa 10 Ängström und etwa 50 Ängström betragen. Eine Dichte der dielektrischen Deckschicht 117 kann zwischen etwa 1,5 g/cm3 und etwa 3,2 g/cm3 betragen. Die dielektrische Deckschicht 117 kann einen Stickstoffatomprozentsatz in einem Bereich zwischen etwa 2 at% und etwa 10 at% aufweisen.
  • In dem Beispiel von 8 liegt die untere Oberfläche 117L der dielektrischen Deckschicht 117 näher an dem Substrat 101 als die obere Oberfläche 115U des leitfähigen Materials 115 der leitfähigen Leitung 112. Die oberen Oberfläche 117U der dielektrischen Deckschicht 117 liegt auf gleicher Höhe mit der oberen Oberfläche 115U des leitfähigen Materials 115. Die oberen Oberfläche 111U der IMD-Schicht 111 liegt unterhalb der oberen Oberfläche 115U des leitfähigen Materials 115.
  • Als Nächstes wird in 9 der Ätzstoppschichtstapel 118, der die ESLs 119, 121, 123 und 125 aufweist, über der Metalldeckschicht 116 und der dielektrischen Deckschicht 117 gebildet. Das Bilden des Ätzstoppschichtstapels 118 ist gleich oder ähnlich dem Bilden des Ätzstoppschichtstapels 118 in 2, weshalb keine Details wiederholt werden. Es ist zu beachten, dass die obere Oberfläche der ESL 119 über der Metalldeckschicht 116 flach sein kann, wie durch die durchgezogene Linie 119U in 9 veranschaulicht, oder nicht-flach (zum Beispiel gekrümmt) sein kann - zum Beispiel aufgrund des vertikalen Versatzes zwischen der oberen Oberfläche der Metalldeckschicht 116 und der oberen Oberfläche der dielektrischen Deckschicht 117. Zum Beispiel können Abschnitte der oberen Oberfläche der ESL 119 über (zum Beispiel direkt über) der Metalldeckschicht 116 gekrümmt sein, wie durch die Strichlinie 119U' veranschaulicht. In den anschließenden Figuren ist die obere Oberfläche der ESL 119 als eine flache Fläche veranschaulicht, wobei es sich versteht, dass mindestens Abschnitte der oberen Oberfläche der ESL 119 nicht-flach (zum Beispiel gekrümmt) sein können.
  • Als Nächstes werden Verarbeitungsschritte, die die gleichen oder ähnliche sind wie die, die oben in den 4-7 beschrieben wurden, ausgeführt, um die Halbleitervorrichtung 100A in 10 zu bilden. Um die Beschreibung zu vereinfachen, werden keine Details wiederholt.
  • 11 veranschaulicht eine Querschnittsansicht einer Halbleitervorrichtung 100B gemäß einer anderen Ausführungsform. Die Halbleitervorrichtung 100B ähnelt der Halbleitervorrichtung 100 von 7, aber die Ätzstoppschichtstapel 118 und 148 in 11 umfassen drei Ätzstoppschichten anstelle von vier Ätzstoppschichten wie in 7. Insbesondere weist der Ätzstoppschichtstapel 118 die ESL 119 (zum Beispiel AlN), die ESL 123 (zum Beispiel ODC) und die ESL 125 (zum Beispiel AlOx) auf. In ähnlicher Weise weist der Ätzstoppschichtstapel 148 die ESL 139 (zum Beispiel AlN), die ESL 143 (zum Beispiel ODC) und die ESL 145 (zum Beispiel AlOx) auf.
  • 12 veranschaulicht eine Querschnittsansicht einer Halbleitervorrichtung 100C gemäß einer anderen Ausführungsform. Die Halbleitervorrichtung 100C ähnelt der Halbleitervorrichtung 100A von 10, aber die Ätzstoppschichtstapel 118 und 148 in 12 umfassen drei Ätzstoppschichten anstelle von vier Ätzstoppschichten wie in 10. Insbesondere weist der Ätzstoppschichtstapel 118 die ESL 119 (zum Beispiel AlN), die ESL 123 (zum Beispiel ODC) und die ESL 125 (zum Beispiel AlOx) auf. In ähnlicher Weise weist der Ätzstoppschichtstapel 148 die ESL 139 (zum Beispiel AlN), die ESL 143 (zum Beispiel ODC) und die ESL 145 (zum Beispiel AlOx) auf. Die dielektrischen Deckschichten 117 und 137 von 12 werden durch Ionenimplantierung gebildet.
  • 13 veranschaulicht eine Querschnittsansicht einer Halbleitervorrichtung 100D gemäß einer weiteren Ausführungsform. Die Halbleitervorrichtung 100D ähnelt der Halbleitervorrichtung 100 von 7, aber mindestens eine der Durchkontaktierungen 138 (zum Beispiel die Durchkontaktierung 138 auf der linken Seite) ist so gebildet, dass sie (zum Beispiel aufgrund von Ungenauigkeiten bei der Maskenausrichtung im Herstellungsprozess) nicht auf die darunterliegende leitfähige Leitung 112 ausgerichtet ist, dergestalt, dass sich ein Abschnitt der Bodenfläche der Durchkontaktierung 138 über seitliche Erstreckungen (zum Beispiel über Seitenwände) der leitfähigen Leitung 112 hinaus erstreckt und die dielektrische Deckschicht 117 kontaktiert (zum Beispiel physisch kontaktiert). Aufgrund der elektrischen Isolierung, die durch die dielektrische Deckschicht 117 bereitgestellt wird, wird in einigen Ausführungsformen ein Leckstrom zwischen der fehlausgerichteten Durchkontaktierung 138 und den leitfähigen Leitungen 112 reduziert oder verhindert.
  • Ausführungsformen der vorliegenden Offenbarung realisieren einige vorteilhafte Merkmale. Zum Beispiel reduziert die dielektrische Deckschicht 117 Leckstrompfade zwischen benachbarten leitfähigen Leitungen 112, wodurch die Leistung der Vorrichtung verbessert und der Stromverbrauch gesenkt wird. Außerdem wird das „Time-Dependent Dielectric Brakedown“-Verhalten (TDDB-Verhalten) der Vorrichtung ebenfalls verbessert. Das Filmregime des Ätzstoppschichtstapels (zum Beispiel 118, 148) bietet weitere Vorteile. Zum Beispiel gibt es dank des Bildens der amorphen Ätzstoppschicht 119 keine Korngrenzen in der Ätzstoppschicht 119, durch die Kupferatome hindurch migrieren könnten, weshalb die Kupferatome daran gehindert werden, in die darüberliegenden Ätzstoppschichten und dielektrischen Schichten (zum Beispiel 127) zu diffundieren. Da die Kupferatome das Unterätzen der dielektrischen Schichten und der Ätzstoppschichten verursachen können, wird durch das Blockieren der Kupferdiffusion das Unterätzen beseitigt, wodurch die Zuverlässigkeit der Vorrichtung und die Produktionsausbeute verbessert werden.
  • 14 veranschaulicht ein Flussdiagramm eines Verfahrens zum Fertigen einer Halbleiterstruktur gemäß einigen Ausführungsformen. Es versteht sich, dass das in 14 als Ausführungsform veranschaulichte Verfahren nur ein Beispiel für viele mögliche Ausführungsformen ist. Dem Durchschnittsfachmann fallen viele Variationen, Alternativen und Modifizierungen ein. So können zum Beispiel verschiedene Schritte, wie in 14 veranschaulicht, hinzugefügt, weggelassen, ersetzt, umgeordnet oder wiederholt werden.
  • Wie in 14 gezeigt, wird in Block 1010 ein erstes leitfähiges Merkmal in einer ersten dielektrischen Schicht gebildet, die über einem Substrat angeordnet ist. In Block 1020 wird eine Metalldeckschicht über einer oberen Oberfläche des ersten leitfähigen Merkmals distal von dem Substrat gebildet. In Block 1030 wird eine dielektrische Deckschicht selektiv über einer oberen Oberfläche der ersten dielektrischen Schicht und seitlich neben der Metalldeckschicht gebildet, wobei die Metalldeckschicht durch die dielektrische Deckschicht freigelegt wird. In Block 1040 wird ein Ätzstoppschichtstapel über der Metalldeckschicht und der dielektrischen Deckschicht gebildet, wobei der Ätzstoppschichtstapel mehrere Ätzstoppschichten umfasst.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung umfasst ein Verfahren zum Bilden einer Halbleitervorrichtung: Bilden eines ersten leitfähigen Merkmals in einer ersten dielektrischen Schicht, die über einem Substrat angeordnet ist; Bilden einer Metalldeckschicht über einer oberen Oberfläche des ersten leitfähigen Merkmals distal von dem Substrat; selektives Bilden einer dielektrischen Deckschicht über einer oberen Oberfläche der ersten dielektrischen Schicht und seitlich neben der Metalldeckschicht, wobei die Metalldeckschicht durch die dielektrische Deckschicht freigelegt wird; und Bilden eines Ätzstoppschichtstapels über der Metalldeckschicht und der dielektrischen Deckschicht, wobei der Ätzstoppschichtstapel mehrere Ätzstoppschichten umfasst. In einer Ausführungsform umfasst das Verfahren des Weiteren: Bilden einer zweiten dielektrischen Schicht über dem Ätzstoppschichtstapel; und Bilden eines zweiten leitfähigen Merkmals in der zweiten dielektrischen Schicht, wobei sich das zweite leitfähige Merkmal durch den Ätzstoppschichtstapel hindurch erstreckt und elektrisch mit der Metalldeckschicht gekoppelt ist. In einer Ausführungsform umfasst das Bilden der Metalldeckschicht das selektive Bilden eines elektrisch leitfähigen Materials über der oberen Oberfläche des ersten leitfähigen Merkmals. In einer Ausführungsform wird die dielektrische Deckschicht aus einem nitridhaltigen dielektrischen Material gebildet. In einer Ausführungsform ist das nitridhaltige dielektrische Material Siliziumnitrid, Siliziumoxynitrid oder Siliziumcarbonitrid. In einer Ausführungsform beträgt eine Dicke der dielektrischen Deckschicht zwischen etwa 10 Ängström und etwa 50 Ängström. In einer Ausführungsform umfasst das selektive Bilden der dielektrischen Deckschicht das selektive Abscheiden des nitridhaltigen dielektrischen Materials über der oberen Oberfläche der ersten dielektrischen Schicht unter Verwendung eines plasmaunterstützten chemischen Gasphasenabscheidungsprozesses (PECVD-Prozesses). In einer Ausführungsform wird das PECVD-Verfahren unter Verwendung eines Vorläufers ausgeführt, der N2, NH3, NO oder N2O umfasst. In einer Ausführungsform umfasst das selektive Bilden der dielektrischen Deckschicht das Umwandeln einer oberen Schicht der ersten dielektrischen Schicht in die dielektrische Deckschicht durch Ausführen eines Ionenimplantationsprozesses. In einer Ausführungsform wird der Ionenimplantationsprozess unter Verwendung einer Gasquelle ausgeführt, die NH3 oder N2O umfasst. In einer Ausführungsform umfasst das Bilden des Ätzstoppschichtstapels: Bilden einer Schicht aus Aluminiumnitrid über der Metalldeckschicht und der dielektrischen Deckschicht; Bilden einer Schicht aus sauerstoffdotiertem Siliziumcarbid über der Schicht aus Aluminiumnitrid; und Bilden einer Schicht aus Aluminiumoxid über der Schicht aus sauerstoffdotiertem Siliziumcarbid. In einer Ausführungsform umfasst das Bilden des Ätzstoppschichtstapels des Weiteren das Bilden einer weiteren Schicht aus Aluminiumoxid zwischen der Schicht aus Aluminiumnitrid und der Schicht aus sauerstoffdotiertem Siliziumcarbid.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung umfasst ein Verfahren zum Bilden einer Halbleitervorrichtung: Bilden erster leitfähiger Merkmale in einer ersten dielektrischen Schicht, die über einem Substrat angeordnet ist, wobei erste Flächen der ersten leitfähigen Merkmale, die sich distal von dem Substrat befinden, mit einer ersten Fläche der ersten dielektrischen Schicht auf gleicher Höhe liegen; selektives Bilden einer Metalldeckschicht auf den ersten Flächen der ersten leitfähigen Merkmale; selektives Bilden einer dielektrischen Deckschicht auf der ersten Fläche der ersten dielektrischen Schicht, wobei die dielektrische Deckschicht seitlich neben der Metalldeckschicht liegt, wobei die dielektrische Deckschicht aus einem nitridhaltigen dielektrischen Material gebildet wird; Bilden mehrerer Ätzstoppschichten nacheinander auf der Metalldeckschicht und auf der dielektrischen Deckschicht; Bilden einer zweiten dielektrischen Schicht auf den mehreren Ätzstoppschichten; und Bilden zweiter leitfähiger Merkmale in der zweiten dielektrischen Schicht, wobei sich die zweiten leitfähigen Merkmale durch die mehreren Ätzstoppschichten hindurch erstrecken und elektrisch mit jeweiligen der ersten leitfähigen Merkmale gekoppelt sind. In einer Ausführungsform umfasst das selektive Bilden der dielektrischen Deckschicht das Abscheiden des nitridhaltigen dielektrischen Materials auf der ersten Fläche der ersten dielektrischen Schicht, während eine obere Oberfläche der Metalldeckschicht distal von dem Substrat frei von dem nitridhaltigen dielektrischen Material gehalten wird, wobei sich das nitridhaltige dielektrische Material kontinuierlich zwischen benachbarten der ersten leitfähigen Merkmale erstreckt. In einer Ausführungsform umfasst das selektive Bilden der dielektrischen Deckschicht das Umwandeln eines oberen Abschnitts der ersten dielektrischen Schicht in der Nähe der ersten Fläche der ersten dielektrischen Schicht in die dielektrische Deckschicht durch einen Ionenimplantationsprozess. In einer Ausführungsform umfasst das Bilden der mehreren Ätzstoppschichten: Bilden einer ersten Ätzstoppschicht, die Aluminiumnitrid umfasst, über der Metalldeckschicht und der dielektrischen Deckschicht; Bilden einer zweiten Ätzstoppschicht, die sauerstoffdotiertes Siliziumcarbid umfasst, über der ersten Ätzstoppschicht; und Bilden einer dritten Ätzschicht, die Aluminiumoxid umfasst, über der zweiten Ätzstoppschicht. In einer Ausführungsform umfasst das Bilden der zweiten leitfähigen Merkmale: Bilden von leitfähigen Leitungen in der zweiten dielektrischen Schicht; und Bilden von Durchkontaktierungen, die unter den leitfähigen Leitungen liegen, wobei sich obere Abschnitte der Durchkontaktierungen in der zweiten dielektrischen Schicht befinden und untere Abschnitte der Durchkontaktierungen sich durch die mehreren Ätzstoppschichten erstrecken und elektrisch mit den ersten leitfähigen Merkmalen gekoppelt sind.
  • Gemäß einer Ausführungsform der vorliegenden Offenbarung weist eine Halbleitervorrichtung auf: ein Substrat; eine erste dielektrische Schicht über dem Substrat; ein erstes leitfähiges Merkmal in der ersten dielektrischen Schicht; eine Metalldeckschicht auf dem ersten leitfähigen Merkmal; eine dielektrische Deckschicht auf einer oberen Oberfläche der ersten dielektrischen Schicht distal von dem Substrat, wobei die dielektrische Deckschicht seitlich neben der Metalldeckschicht liegt, wobei die dielektrische Deckschicht ein nitridhaltiges dielektrisches Material umfasst, wobei eine obere Oberfläche der Metalldeckschicht distal von dem Substrat frei von der dielektrischen Deckschicht ist; einen Ätzstoppschichtstapel auf der Metalldeckschicht und der dielektrischen Deckschicht, wobei der Ätzstoppschichtstapel mehrere Ätzstoppschichten umfasst; eine zweite dielektrische Schicht auf dem Ätzstoppschichtstapel; und ein zweites leitfähiges Merkmal in der zweiten dielektrischen Schicht, wobei sich das zweite leitfähige Merkmal durch den Ätzstoppschichtstapel hindurch erstreckt und elektrisch mit dem ersten leitfähigen Merkmal gekoppelt ist. In einer Ausführungsform umfasst der Ätzstoppschichtstapel: eine erste Ätzstoppschicht, die Aluminiumnitrid umfasst, über der Metalldeckschicht und der dielektrischen Deckschicht; eine zweite Ätzstoppschicht, die sauerstoffdotiertes Siliziumcarbid umfasst, über der ersten Ätzstoppschicht; und eine dritte Ätzschicht, die Aluminiumoxid umfasst, über der zweiten Ätzstoppschicht. In einer Ausführungsform umfasst das zweite leitfähige Merkmal: eine Metallleitung in der zweiten dielektrischen Schicht, wobei eine untere Oberfläche der Metallleitung, die dem Substrat zugewandt ist, von dem Ätzstoppschichtstapel beabstandet ist; und eine Durchkontaktierung, die unter der Metallleitung liegt und mit dieser verbunden ist, wobei sich die Durchkontaktierung durch den Ätzstoppschichtstapel hindurch erstreckt und die Metalldeckschicht kontaktiert.
  • Das oben Dargelegte umreißt Merkmale verschiedener Ausführungsformen, so dass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Dem Fachmann ist klar, dass er die vorliegende Offenbarung ohne Weiteres als Basis für das Entwerfen oder Modifizieren anderer Prozesse und Strukturen verwenden kann, um die gleichen Zwecke und/oder die gleichen Vorteile wie bei den im vorliegenden Text vorgestellten Ausführungsformen zu erreichen. Dem Fachmann sollte auch klar sein, dass solche äquivalenten Bauformen nicht das Wesen und den Schutzumfang der vorliegenden Offenbarung verlassen, und dass er verschiedene Änderungen, Substituierungen und Modifizierungen an der vorliegenden Erfindung vornehmen kann, ohne vom Wesen und Schutzumfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/084812 [0001]

Claims (20)

  1. Verfahren zum Bilden einer Halbleitervorrichtung, wobei das Verfahren umfasst: Bilden eines ersten leitfähigen Merkmals in einer ersten dielektrischen Schicht, die über einem Substrat angeordnet ist; Bilden einer Metalldeckschicht über einer oberen Oberfläche des ersten leitfähigen Merkmals distal von dem Substrat; selektives Bilden einer dielektrischen Deckschicht über einer oberen Oberfläche der ersten dielektrischen Schicht und seitlich neben der Metalldeckschicht, wobei die Metalldeckschicht durch die dielektrische Deckschicht freigelegt wird; und Bilden eines Ätzstoppschichtstapels über der Metalldeckschicht und der dielektrischen Deckschicht, wobei der Ätzstoppschichtstapel mehrere Ätzstoppschichten aufweist.
  2. Verfahren nach Anspruch 1, ferner umfassend: Bilden einer zweiten dielektrischen Schicht über dem Ätzstoppschichtstapel; und Bilden eines zweiten leitfähigen Merkmals in der zweiten dielektrischen Schicht, wobei sich das zweite leitfähige Merkmal durch den Ätzstoppschichtstapel hindurch erstreckt und elektrisch mit der Metalldeckschicht gekoppelt ist.
  3. Verfahren nach Anspruch 1, wobei das Bilden der Metalldeckschicht umfasst: selektives Bilden eines elektrisch leitfähigen Materials über der oberen Oberfläche des ersten leitfähigen Merkmals.
  4. Verfahren nach einem der vorangehenden Ansprüche, wobei die dielektrische Deckschicht aus einem nitridhaltigen dielektrischen Material gebildet wird.
  5. Verfahren nach Anspruch 4, wobei das nitridhaltige dielektrische Material Siliziumnitrid, Siliziumoxynitrid oder Siliziumcarbonitrid ist.
  6. Verfahren nach Anspruch 4 oder 5, wobei eine Dicke der dielektrischen Deckschicht etwa 10 Ängström bis etwa 50 Ängström beträgt.
  7. Verfahren nach einem der Ansprüche 4 bis 6, wobei das selektive Bilden der dielektrischen Deckschicht umfasst: selektives Abscheiden des nitridhaltigen dielektrischen Materials über der oberen Oberfläche der ersten dielektrischen Schicht unter Verwendung eines plasmaunterstützten chemischen Gasphasenabscheidungsprozesses, PECVD-Prozesses, umfasst.
  8. Verfahren nach Anspruch 7, wobei der PECVD-Prozess unter Verwendung eines Vorläufers ausgeführt wird, der N2, NH3, NO oder N2O enthält.
  9. Verfahren nach einem der Ansprüche 4 bis 8, wobei das selektive Bilden der dielektrischen Deckschicht umfasst: Umwandeln einer oberen Schicht der ersten dielektrischen Schicht in die dielektrische Deckschicht durch Ausführen eines Ionenimplantationsprozesses.
  10. Verfahren nach Anspruch 9, wobei der Ionenimplantationsprozess unter Verwendung einer Gasquelle ausgeführt wird, die NH3 oder N2O enthält.
  11. Verfahren nach einem der Ansprüche 4 bis 10, wobei das Bilden des Ätzstoppschichtstapels umfasst: Bilden einer Schicht aus Aluminiumnitrid über der Metalldeckschicht und der dielektrischen Deckschicht; Bilden einer Schicht aus sauerstoffdotiertem Siliziumcarbid über der Schicht aus Aluminiumnitrid; und Bilden einer Schicht aus Aluminiumoxid über der Schicht aus sauerstoffdotiertem Siliziumcarbid.
  12. Verfahren nach Anspruch 11, wobei das Bilden des Ätzstoppschichtstapels ferner umfasst: Bilden einer weiteren Schicht aus Aluminiumoxid zwischen der Schicht aus Aluminiumnitrid und der Schicht aus sauerstoffdotiertem Siliziumcarbid.
  13. Verfahren zum Bilden einer Halbleitervorrichtung, umfassend: Bilden erster leitfähiger Merkmale in einer ersten dielektrischen Schicht, die über einem Substrat angeordnet wird, wobei erste Oberflächen der ersten leitfähigen Merkmale, die distal von dem Substrat liegen, mit einer ersten Oberfläche der ersten dielektrischen Schicht auf gleicher Höhe liegen; selektives Bilden einer Metalldeckschicht auf den ersten Oberflächen der ersten leitfähigen Merkmale; selektives Bilden einer dielektrischen Deckschicht auf der ersten Oberfläche der ersten dielektrischen Schicht, wobei die dielektrische Deckschicht seitlich neben der Metalldeckschicht liegt, wobei die dielektrische Deckschicht aus einem nitridhaltigen dielektrischen Material gebildet wird; Bilden mehrerer Ätzstoppschichten nacheinander auf der Metalldeckschicht und auf der dielektrischen Deckschicht; Bilden einer zweiten dielektrischen Schicht auf den mehreren Ätzstoppschichten; und Bilden zweiter leitfähiger Merkmale in der zweiten dielektrischen Schicht, wobei sich die zweiten leitfähigen Merkmale durch die mehreren Ätzstoppschichten hindurch erstrecken und elektrisch mit den jeweiligen ersten leitfähigen Merkmalen gekoppelt sind.
  14. Verfahren nach Anspruch 13, wobei das selektive Bilden der dielektrischen Deckschicht umfasst: Abscheiden des nitridhaltigen dielektrischen Materials auf der ersten Oberfläche der ersten dielektrischen Schicht, während eine obere Oberfläche der Metalldeckschicht distal von dem Substrat frei von dem nitridhaltigen dielektrischen Material verbleibt, wobei sich das nitridhaltige dielektrische Material kontinuierlich zwischen benachbarten ersten leitfähigen Merkmalen der ersten leitfähigen Merkmale erstreckt.
  15. Verfahren nach Anspruch 13 oder 14, wobei das selektive Bilden der dielektrischen Deckschicht umfasst: Umwandeln eines oberen Abschnitts der ersten dielektrischen Schicht nahe an der ersten Oberfläche der ersten dielektrischen Schicht in die dielektrische Deckschicht durch einen Ionenimplantationsprozess.
  16. Verfahren nach einem der Ansprüche 13 bis 15, wobei das Bilden den mehreren Ätzstoppschichten umfasst: Bilden einer ersten Ätzstoppschicht, die Aluminiumnitrid enthält, über der Metalldeckschicht und der dielektrischen Deckschicht; Bilden einer zweiten Ätzstoppschicht, die sauerstoffdotiertes Siliziumcarbid enthält, über der ersten Ätzstoppschicht; und Bilden einer dritten Ätzschicht, die Aluminiumoxid enthält, über der zweiten Ätzstoppschicht.
  17. Verfahren nach einem der vorangehenden Ansprüche 13 bis 16, wobei das Bilden der zweiten leitfähigen Merkmale umfasst: Bilden von leitfähigen Leitungen in der zweiten dielektrischen Schicht; und Bilden von Durchkontaktierungen, die unter den leitfähigen Leitungen liegen, wobei obere Abschnitte der Durchkontaktierungen in der zweiten dielektrischen Schicht liegen und untere Abschnitte der Durchkontaktierungen sich durch die mehreren Ätzstoppschichten erstrecken und elektrisch mit den ersten leitfähigen Merkmalen gekoppelt sind.
  18. Halbleitervorrichtung aufweisend: ein Substrat; eine erste dielektrische Schicht über dem Substrat; ein erstes leitfähiges Merkmal in der ersten dielektrischen Schicht; eine Metalldeckschicht auf dem ersten leitfähigen Merkmal; eine dielektrische Deckschicht auf einer oberen Oberfläche der ersten dielektrischen Schicht distal von dem Substrat, wobei die dielektrische Deckschicht seitlich neben der Metalldeckschicht liegt, wobei die dielektrische Deckschicht ein nitridhaltiges dielektrisches Material enthält, wobei eine obere Oberfläche der Metalldeckschicht distal von dem Substrat frei von der dielektrischen Deckschicht ist; einen Ätzstoppschichtstapel auf der Metalldeckschicht und der dielektrischen Deckschicht, wobei der Ätzstoppschichtstapel mehrere Ätzstoppschichten aufweist; eine zweite dielektrische Schicht auf dem Ätzstoppschichtstapel; und ein zweites leitfähiges Merkmal in der zweiten dielektrischen Schicht, wobei sich das zweite leitfähige Merkmal durch den Ätzstoppschichtstapel hindurch erstreckt und elektrisch mit dem ersten leitfähigen Merkmal gekoppelt ist.
  19. Halbleitervorrichtung nach Anspruch 18, wobei der Ätzstoppschichtstapel aufweist: eine erste Ätzstoppschicht, die Aluminiumnitrid enthält, über der Metalldeckschicht und der dielektrischen Deckschicht; eine zweite Ätzstoppschicht, die sauerstoffdotiertes Siliziumcarbid enthält, über der ersten Ätzstoppschicht; und eine dritte Ätzschicht, die Aluminiumoxid enthält, über der zweiten Ätzstoppschicht.
  20. Halbleitervorrichtung nach Anspruch 18 oder 19, wobei das zweite leitfähige Merkmal aufweist: eine Metallleitung in der zweiten dielektrischen Schicht, wobei eine untere Oberfläche der Metallleitung, die dem Substrat zugewandt ist, von dem Ätzstoppschichtstapel beabstandet ist; und eine Durchkontaktierung, die unter der Metallleitung liegt und mit dieser verbunden ist, wobei sich die Durchkontaktierung durch den Ätzstoppschichtstapel hindurch erstreckt und die Metalldeckschicht kontaktiert.
DE102021108491.1A 2020-09-29 2021-04-06 Interconnect-struktur mit stapel aus dielektrischer kappschicht und ätzstoppschicht Pending DE102021108491A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063084812P 2020-09-29 2020-09-29
US63/084,812 2020-09-29
US17/210,015 2021-03-23
US17/210,015 US11658064B2 (en) 2020-09-29 2021-03-23 Interconnect structure with dielectric cap layer and etch stop layer stack

Publications (1)

Publication Number Publication Date
DE102021108491A1 true DE102021108491A1 (de) 2022-03-31

Family

ID=79460139

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021108491.1A Pending DE102021108491A1 (de) 2020-09-29 2021-04-06 Interconnect-struktur mit stapel aus dielektrischer kappschicht und ätzstoppschicht

Country Status (5)

Country Link
US (2) US11658064B2 (de)
KR (1) KR20220043836A (de)
CN (1) CN113964083A (de)
DE (1) DE102021108491A1 (de)
TW (1) TWI787876B (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230343642A1 (en) * 2022-04-20 2023-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Film scheme to reduce plasma-induced damage
CN117613002B (zh) * 2024-01-22 2024-04-05 粤芯半导体技术股份有限公司 一种半导体器件的互连层的制作方法及半导体器件

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060226549A1 (en) 2005-04-12 2006-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabricating method thereof
DE102007004867B4 (de) * 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US7830010B2 (en) * 2008-04-03 2010-11-09 International Business Machines Corporation Surface treatment for selective metal cap applications
US7871929B2 (en) * 2008-07-30 2011-01-18 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US7776743B2 (en) * 2008-07-30 2010-08-17 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
TW201123346A (en) 2009-12-24 2011-07-01 Taiwan Memory Company Interconnect structure having air gap and manufacturing method thereof
US9349689B2 (en) 2012-04-20 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including conductive features with capping layers and methods of forming the same
US8710660B2 (en) 2012-07-20 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect scheme including aluminum metal line in low-k dielectric
US9236292B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US9548333B2 (en) 2014-09-25 2017-01-17 Qualcomm Incorporated MRAM integration with low-K inter-metal dielectric for reduced parasitic capacitance
US9659856B2 (en) 2014-10-24 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Two step metallization formation
US10854505B2 (en) 2016-03-24 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Removing polymer through treatment
US10685873B2 (en) 2016-06-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer for semiconductor devices
US10790142B2 (en) 2017-11-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selective capping processes and structures formed thereby
US11145751B2 (en) 2018-03-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with doped contact plug and method for forming the same

Also Published As

Publication number Publication date
TW202213465A (zh) 2022-04-01
US20220102203A1 (en) 2022-03-31
KR20220043836A (ko) 2022-04-05
TWI787876B (zh) 2022-12-21
CN113964083A (zh) 2022-01-21
US20230253247A1 (en) 2023-08-10
US11658064B2 (en) 2023-05-23

Similar Documents

Publication Publication Date Title
DE102014115934B4 (de) Zwei-Schritt-Ausbildung von Metallisierungen
DE112017003172B4 (de) Bildung eines Luftspalt-Abstandhalters für nanoskalige Halbleiterbauelemente und Halbleitervorrichtung
DE102016114870B4 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
EP1678746B1 (de) Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung
DE102017124072B4 (de) Zusammenschaltungsstruktur für halbleitervorrichtung und verfahren zu ihrer herstellung
DE102016100323B4 (de) Verringern der Dual-Damascene-Verwerfung in integrierten Schaltkreisstrukturen
DE102020105731B4 (de) Kondensator, metall-isolator-metallstruktur und verfahren zu ihrer herstellung
DE102021108491A1 (de) Interconnect-struktur mit stapel aus dielektrischer kappschicht und ätzstoppschicht
DE102020119184A1 (de) Diffusionssperre für halbleitervorrichtung und verfahren
DE102018221806B4 (de) Verfahren zur herstellung von back-end-of-line-strukturen mit luftspalten
DE102020122807B4 (de) Durchkontaktierung für halbleitervorrichtung und verfahren
DE102020128189A1 (de) Techniken zur verhinderung der delaminierung von fliessfähigem lückenfüllendem dielektrikum
DE102018126993B4 (de) Oberflächenänderungsschicht zum bilden eines leitenden merkmals
DE102019117894A1 (de) Ätzstoppschicht mit hoher durchbruchspannung
DE102021111910A1 (de) Interconnect-struktur und deren herstellungsverfahren
DE102021100639A1 (de) Verschaltungsstruktur einer halbleitervorrichtung
DE102020135142A1 (de) Tsv-struktur mit mehreren belägen und verfahren zu deren herstellung
DE102020115429A1 (de) Amorphe Schichten zur Reduzierung von Kupferdiffusion und deren Herstellungsverfahren
DE102018131694A1 (de) Selektives abscheiden einer metallsperrschicht bei damascene-prozessen
DE102018113674A1 (de) Sperrschichtbildung für leitfähiges Merkmal
DE102022100822A1 (de) Verringerung der oxidation durch gesondertes ätzen der opfer- und der schutzschicht
DE102021114103A1 (de) Metallische hartmasken zum reduzieren der leitungskrümmung
DE102021127166A1 (de) Mim-kondensatorstrukturen
DE102021118290A1 (de) Leitfähige strukturelemente umschliessender luftabstandshalter und verfahren zu dessen herstellung
DE102020127319A1 (de) Zweischichtauskleidung für metallisierung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication