CN113964083A - 具有电介质帽盖层和蚀刻停止层堆叠的互连结构 - Google Patents

具有电介质帽盖层和蚀刻停止层堆叠的互连结构 Download PDF

Info

Publication number
CN113964083A
CN113964083A CN202110569696.XA CN202110569696A CN113964083A CN 113964083 A CN113964083 A CN 113964083A CN 202110569696 A CN202110569696 A CN 202110569696A CN 113964083 A CN113964083 A CN 113964083A
Authority
CN
China
Prior art keywords
layer
dielectric
cap layer
etch stop
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110569696.XA
Other languages
English (en)
Inventor
王超群
王仁宏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN113964083A publication Critical patent/CN113964083A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Bipolar Transistors (AREA)

Abstract

本公开涉及具有电介质帽盖层和蚀刻停止层堆叠的互连结构。一种形成半导体器件的方法包括:在设置在衬底之上的第一电介质层中形成第一导电特征;在第一导电特征的远离衬底的上表面之上形成金属帽盖层;在第一电介质层的上表面之上并且与金属帽盖层横向相邻地选择性地形成电介质帽盖层,其中,金属帽盖层被电介质帽盖层暴露;以及在金属帽盖层和电介质帽盖层之上形成蚀刻停止层堆叠,其中,蚀刻停止层堆叠包括多个蚀刻停止层。

Description

具有电介质帽盖层和蚀刻停止层堆叠的互连结构
技术领域
本公开涉及具有电介质帽盖层和蚀刻停止层堆叠的互连结构。
背景技术
高密度集成电路,例如超大规模集成(VLSI)电路,通常形成有多个金属互连以用作三维布线结构。多个互连的目的是将密集封装的器件正确链接在一起。随着集成度的提高,金属互连之间的寄生电容效应(其导致RC延迟和串扰)会相应增加。为了减小金属互连之间的寄生电容并提高传导速度,通常使用低k电介质材料来形成层间电介质(ILD)层和金属间电介质(IMD)层。
金属线和过孔形成在IMD层中。形成工艺可以包括在第一导电特征之上形成蚀刻停止层,以及在蚀刻停止层之上形成低k电介质层。低k电介质层和蚀刻停止层被图案化以形成沟槽和过孔开口。然后用导电材料来填充沟槽和过孔开口,然后进行平坦化工艺以去除多余的导电材料,从而形成金属线和过孔。
发明内容
根据本公开的一个方面,提供了一种形成半导体器件的方法,所述方法包括:在设置在衬底之上的第一电介质层中形成第一导电特征;在所述第一导电特征的远离所述衬底的上表面之上形成金属帽盖层;在所述第一电介质层的上表面之上并且与所述金属帽盖层横向相邻地选择性地形成电介质帽盖层,其中,所述金属帽盖层被所述电介质帽盖层暴露;以及在所述金属帽盖层和所述电介质帽盖层之上形成蚀刻停止层堆叠,其中,所述蚀刻停止层堆叠包括多个蚀刻停止层。
根据本公开的另一方面,提供了一种形成半导体器件的方法,所述方法包括:在设置在衬底之上的第一电介质层中形成第一导电特征,其中,所述第一导电特征的远离所述衬底的第一表面与所述第一电介质层的第一表面齐平;在所述第一导电特征的第一表面上选择性地形成金属帽盖层;在所述第一电介质层的第一表面上选择性地形成电介质帽盖层,其中,所述电介质帽盖层与所述金属帽盖层横向相邻,其中,所述电介质帽盖层由含氮化物的电介质材料形成;在所述金属帽盖层和所述电介质帽盖层上依次形成多个蚀刻停止层;在所述多个蚀刻停止层上形成第二电介质层;以及在所述第二电介质层中形成第二导电特征,其中,所述第二导电特征延伸穿过所述多个蚀刻停止层并且电耦合到相应的所述第一导电特征。
根据本公开的又一方面,提供了一种半导体器件,包括:衬底;第一电介质层,位于所述衬底之上;第一导电特征,位于所述第一电介质层中;金属帽盖层,位于所述第一导电特征上;电介质帽盖层,位于所述第一电介质层的远离所述衬底的上表面上,其中,所述电介质帽盖层与所述金属帽盖层横向相邻,其中,所述电介质帽盖层包括含氮化物的电介质材料,其中,所述金属帽盖层的远离所述衬底的上表面没有所述电介质帽盖层;蚀刻停止层堆叠,位于所述金属帽盖层和所述电介质帽盖层上,其中,所述蚀刻停止层堆叠包括多个蚀刻停止层;第二电介质层,位于所述蚀刻停止层堆叠上;以及第二导电特征,位于所述第二电介质层中,其中,所述第二导电特征延伸穿过所述蚀刻停止层堆叠并且电耦合到所述第一导电特征。
附图说明
当结合附图进行阅读时,从以下具体实施方式可最佳地理解本公开的各方面。应当注意,根据行业的标准实践,各种特征没有按比例绘制。事实上,为了讨论的清楚,各种特征的尺寸可能被任意地增大或缩小了。
图1至图7示出了根据一个实施例的处于制造的各个阶段的半导体器件的截面图。
图8至图10示出了根据另一实施例的处于制造的各个阶段的半导体器件的截面图。
图11示出了根据另一实施例的半导体器件的截面图。
图12示出了根据另一实施例的半导体器件的截面图。
图13示出了根据又一实施例的半导体器件的截面图。
图14示出了根据一些实施例的形成半导体器件的方法的流程图。
具体实施方式
下面的公开内容提供了用于实现本发明的不同特征的许多不同的实施例或示例。以下描述了组件和布置的特定示例以简化本公开。当然,这些只是示例,并不旨在进行限制。例如,在下面的描述中在第二特征之上或上形成第一特征可以包括其中第一特征和第二特征以直接接触方式形成的实施例,并且还可以包括其中可以在第一特征和第二特征之间形成附加特征,使得第一特征和第二特征可以不直接接触的实施例。
此外,本文中可能使用了空间相关术语(例如,“下方”、“之下”、“低于”、“上方”、“上部”等),以易于描述图中所示的一个元件或特征相对于另外(一个或多个)元件或(一个或多个)特征的关系。除了图中所示的方向之外,空间相关术语还旨在涵盖器件在使用或操作中的不同方向。装置可以以其他方式定向(旋转90度或处于其他定向),并且本文使用的空间相关描述符也可以相应地解释。在本文的整个讨论中,除非另有说明,否则不同附图中的相同或相似的附图标记表示通过相同或相似的形成方法、使用(一种或多种)相同或相似的材料而形成的相同或相似的元件。
根据一些实施例,提供了一种半导体器件的互连结构及其形成方法。根据本公开的一些实施例,互连结构的形成包括在设置在第一电介质层中的第一导电特征(例如,导电线)之上形成金属帽盖层。在第一电介质层的上表面上并且与金属帽盖层横向相邻地选择性地形成电介质帽盖层(其是含氮化物的电介质材料)。电介质帽盖层可以通过选择性沉积工艺或通过离子注入工艺形成。电介质帽盖层减少了第一电介质层与随后形成的第二电介质层之间的界面处的第一电介质层中的相邻的导电线之间的泄漏电流路径,并且改善了时变介电击穿(Time-Dependent Dielectric Breakdown,TDDB)性能。接下来,在电介质帽盖层和金属帽盖层上形成包括多个蚀刻停止层(例如,三个或四个蚀刻停止层)的蚀刻停止层堆叠。在一些实施例中,蚀刻停止层堆叠包括依次形成在电介质帽盖层和金属帽盖层之上的氮化铝层、第一氧化铝层、掺杂氧的碳化硅(ODC)层和第二氧化铝层。蚀刻停止层堆叠的膜方案具有各种优点。例如,蚀刻停止层堆叠防止第一导电特征中的铜向上扩散到蚀刻停止层和上面的第二电介质层中。铜的向上扩散可能降低在用于形成过孔的后续蚀刻工艺中对第二电介质层和蚀刻停止层堆叠的蚀刻速率,并且降低的蚀刻速率可能导致过孔开口的蚀刻过早停止,从而导致过孔和下面的导电线之间的电连接故障。蚀刻停止层的膜方案通过防止铜扩散来防止上述问题。其他优点包括更好的蚀刻选择性窗口和进一步降低的泄漏电流。
图1至图7示出了根据一个实施例的处于制造的各个阶段的半导体器件100的截面图。半导体器件100可以是包括有源器件(例如,晶体管、二极管等)和/或无源器件(例如,电容器、电感器、电阻器等)的器件晶圆。在一些实施例中,半导体器件100是内插晶圆(interposer wafer),其可以包括或可以不包括有源器件和/或无源器件。根据本公开的又一实施例,半导体器件100是封装衬底条带,其可以是其中具有芯的封装衬底,或者可以是无芯的封装衬底。在随后的讨论中,将器件晶圆用作半导体器件100的示例。如本领域技术人员容易理解的,本公开的教导还可以应用于内插晶圆、封装衬底或其他半导体结构。
如图1所示,半导体器件100包括半导体衬底101和形成在半导体衬底101(也可以称为衬底101)之上或之内的集成电路器件103(例如,有源器件、无源器件)。半导体衬底101可以包括诸如掺杂的或未掺杂的硅之类的半导体材料,或者绝缘体上半导体(SOI)衬底的有源层。半导体衬底101可以包括其他半导体材料,例如,锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、氮化镓、磷化铟、砷化铟和/或锑化铟;合金半导体,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或其组合。也可以使用其他衬底,例如多层衬底或梯度衬底。
在图1的示例中,集成电路器件103形成在半导体衬底101之上或之内。示例集成电路器件103包括晶体管(例如,互补金属氧化物半导体(CMOS)晶体管)、电阻器、电容器、二极管等。可以使用任何合适的方法来形成集成电路器件103,这里不讨论细节。
在形成集成电路器件103之后,在半导体衬底101之上和集成电路器件103之上形成层间电介质(ILD)层107。ILD层107可以填充集成电路器件103的晶体管(未示出)的栅极堆叠之间的空间。根据一些实施例,ILD层107包括氧化硅、磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、掺杂硼的磷硅酸盐玻璃(BPSG)、掺杂氟的硅酸盐玻璃(FSG)、原硅酸四乙酯(TEOS)等。可以使用旋涂、可流动化学气相沉积(FCVD)、等离子体增强化学气相沉积(PECVD)、低压化学气相沉积(LPCVD)等来形成ILD层107。
仍然参考图1,在ILD层107中形成接触插塞105,接触插塞105将集成电路器件103电耦合到上面的导电特征,例如金属线、过孔和导电柱。注意,在本公开中,除非另有说明,否则导电特征是指导电的特征。根据一些实施例,接触插塞105由诸如钨、铝、铜、钛、钽、氮化钛、氮化钽、其合金和/或其多层之类的导电材料形成。接触插塞105的形成可以包括:在ILD层107中形成接触开口;在接触开口中形成一种或多种导电材料;以及执行平坦化工艺,例如化学机械抛光(CMP),以使接触插塞105的顶表面与ILD层107的顶表面齐平。
接下来,在ILD层107之上形成多个金属间电介质(IMD)层,例如109和111。IMD层109和111可以由诸如氧化硅、氮化硅、碳化硅、氮氧化硅等之类的电介质材料形成。根据一些实施例,IMD层109和111由介电常数(k值)低于3.0(例如,约2.5、约2.0或甚至更低)的低k电介质材料形成。IMD层109和111可以包括黑金刚石(应用材料公司(Applied Materials)的注册商标)、含碳的低k电介质材料、氢倍半硅氧烷(HSQ)、甲基硅氧烷(MSQ)等。作为示例,IMD层109和111中的每一个的形成可以包括在ILD层107之上沉积含致孔剂的电介质材料,并且然后执行固化工艺以驱除致孔剂,从而形成多孔的IMD层。也可以使用其他合适的方法来形成IMD层109和111。在示例实施例中,IMD层109和111使用化学气相沉积(CVD)工艺而由SiCO形成,其中,IMD层109和111(例如,SiCO)中的每一个的厚度在约200埃至约600埃之间,且k值介于约2.8至约3.5之间。IMD层109和111中的氧浓度可以在约40原子百分比(原子%)至约55原子%之间,IMD层109和111中的碳浓度可以在约5原子%至约20原子%之间,以及IMD层109和111中的硅浓度可以在约39原子%至约40原子%之间。
如图1所示,在IMD层111中形成导电特征112(例如,金属线)。在所示的示例中,导电特征112是金属线,其包括扩散阻挡层113(也可以称为阻挡层)和在扩散阻挡层113之上的导电材料115(例如,铜或含铜材料)。扩散阻挡层113可以包括钛、氮化钛、钽、氮化钽等,并且可以通过CVD、物理气相沉积(PVD)、原子层沉积(ALD)等形成。在形成扩散阻挡层113之后,在扩散阻挡层113之上形成导电材料115。导电特征112的形成可以包括单个镶嵌工艺,但是也可以使用其他合适的形成方法。导电特征112在下文中也可以被称为导电线112或金属线112,要理解的是,导电特征112可以是或包括其他特征(例如,过孔或导电线,该导电线具有连接至其的下面的过孔)。尽管图1示出了形成在IMD层111与ILD层107之间的一个或多个IMD层109,但这仅是非限制性示例。本领域技术人员将容易理解,IMD层111可以直接形成在ILD层107上(例如,实体接触)。此外,尽管在图1中未示出,但是在IMD层109中形成诸如导电线和/或过孔之类的导电特征,以将导电线112与集成电路器件103电耦合。
接下来,在导电线112的上表面上形成(例如,选择性地形成)金属帽盖层116。每个导电线112上的金属帽盖层116的部分也称为下面的导电线112的金属帽盖116。在一些实施例中,金属帽盖层116由诸如金属或含金属的材料之类的导电材料形成。根据本公开的一些实施例,金属帽盖层116由钴(Co)、CoWP、CoB、钨(W)、钽(Ta)、镍(Ni)、钼(Mo)、钛(Ti)、铁(Fe)、其组合和/或其合金形成。可以使用诸如PVD、CVD、PECVD、ALD等之类的合适的形成方法来形成金属帽盖层116。例如,金属帽盖层116的厚度可以在约20埃至约40埃之间。
在图1的示例中,每个导电线112上的金属帽盖116具有与导电线112相同的宽度,使得金属帽盖116的侧壁与导电线112的阻挡层113的相应侧壁对准(例如,垂直对准)。在其他实施例中,每个导电线112上的金属帽盖116具有与导电线112的导电材料115相同的宽度,使得金属帽盖116的侧壁与导电材料115的相应侧壁对准(例如,垂直对准)。
在一些实施例中,金属帽盖层116由选择性沉积工艺形成,该选择性沉积工艺在导电线112上具有第一沉积速率并且在IMD层111上具有第二沉积速率,其中第一沉积速率高于第二沉积速率。在该选择性沉积工艺之后执行蚀刻工艺以从IMD层111的上表面去除金属帽盖层116。在另一实施例中,将金属帽盖层116毯式沉积在导电线112和IMD层111之上。接下来,在金属帽盖层116之上形成图案化掩模层(例如,经图案化的光致抗蚀剂层),其中金属帽盖层116的在导电线112之上(例如,在其正上方)的部分被经图案化的掩模层覆盖,并且金属帽盖层116的在IMD层111之上(例如,在其正上方)的部分被经图案化的掩模层的图案(例如,开口)暴露。然后执行蚀刻工艺以去除金属帽盖层116的被经图案化的掩模层的图案暴露的部分。在蚀刻工艺之后,通过诸如灰化之类的合适的工艺来去除经图案化的掩模层。
现在参考图2,在IMD层111的上表面上形成(例如,选择性地形成)电介质帽盖层117。在一些实施例中,电介质帽盖层117是诸如SiNx、SiONx或SiCNx之类的含氮化物的电介质材料,其中x可以是1或2。电介质帽盖层117的厚度可以在约5埃至约50埃之间,或者在约10埃至约50埃之间。电介质帽盖层117的密度可以在约1.5g/cm3至约3.2g/cm3之间。
在图2的示例中,通过诸如PECVD之类的合适的沉积工艺来形成电介质帽盖层117。在一些实施例中,PECVD工艺是使用诸如N2、NH3、NO或N2O之类的含氮气体源来执行的。载气(例如,Ar、N2、O3、或He和O2的混合物)用于将含氮气体源带入到PECVD工艺的处理室中。在一些实施例中,在形成电介质帽盖层117之后,电介质帽盖层117中的氮化物浓度在约2原子%至约10原子%之间。除了PECVD工艺之外,用于形成电介质帽盖层117的其他方法也是可能的,并且完全旨在包括在本公开的范围内。例如,下文中将参考图8-图10讨论通过离子注入工艺来形成电介质帽盖层117的实施例。
在一些实施例中,在用于形成电介质帽盖层117的PECVD工艺期间,电介质帽盖层117的材料以第一沉积速率形成在IMD层111上,并且以第二沉积速率形成在金属帽盖层116上,其中第一沉积速率高于第二沉积速率。在PECVD工艺之后,可以执行蚀刻工艺以从金属帽盖层116的上表面去除电介质帽盖层l17的材料,并且在IMD层111的上表面上的电介质帽盖层117的材料的剩余部分形成电介质帽盖层117。
在图2的示例中,电介质帽盖层117与金属帽盖层116横向相邻。电介质帽盖层117的下表面117L与IMD层111的上表面111U实体接触,并且与导电材料115的远离衬底101的上表面115U(或阻挡层113的上表面)齐平。在所示的示例中,电介质帽盖层117的上表面117U与金属帽盖层116的上表面116U齐平。在另一实施例中,上表面117U低于金属帽盖层116的上表面116U,例如,垂直位于金属帽盖层的上表面116U和IMD层111的上表面111U之间。在又一实施例中,电介质帽盖层117的上表面117U高于(从衬底101延伸更远)金属帽盖层的上表面116U,例如,高了小于约50埃。如图2所示,电介质帽盖层117覆盖IMD层111的上表面111U,并且沿着IMD层111的上表面111U从导电线112连续地延伸到相邻的导电线112。
在一些实施例中,通过在相邻的导电线112之间形成电介质帽盖层117,在IMD层111与上面的电介质层(例如,参见图4中的127)之间的界面处,相邻的导电线112之间的泄漏电流路径被减少或避免,从而提高了器件性能并降低了功耗。此外,与没有电介质帽盖层117的参考设计相比,所形成的器件的时变介电击穿(TDDB)性能也得到了改善。
接下来,在图3中,在电介质帽盖层117之上和金属帽盖层116之上形成蚀刻停止层堆叠118。在图3的示例中,蚀刻停止层堆叠118包括多个蚀刻停止层(ESL)119、121、123和125。因此,形成蚀刻停止层堆叠118包括在电介质帽盖层117之上和金属帽盖层116之上依次形成ESL 119、121、123和125。
在一些实施例中,ESL 119由对下面的金属帽盖层116和电介质帽盖层117具有良好粘附力的金属氮化物形成。例如,ESL 119可以由氮化铝(AlN)、氮氧化铝(AlNO)、氮化锰(Mn3N2)、氮化镓(GaN)、氮化铝镓(AlGaN)等形成。在本文的讨论中,ESL 119可以替代地称为氮化铝层119,要理解的是,ESL 119可以由氮化铝以外的其他合适的材料(例如上面列出的那些)形成。
根据一些实施例,使用诸如PVD、CVD、ALD等之类的合适的形成方法来形成ESL119。用于形成ESL 119的前体可以包括诸如NH3之类的含氮工艺气体、以及诸如三甲基铝(TMA)(Al2(CH3)6)之类的含铝工艺气体等。在示例沉积工艺(例如,ALD工艺)中,将含氮工艺气体和含铝工艺气体交替地供应至处理室,并且然后在沉积工艺的每个循环中将其吹扫(purge)以生长氮化铝原子层。
根据一些实施例,ESL 119的厚度在约5埃至约30埃之间的范围内。ESL 119的厚度应当在合适的范围内。如果ESL 119过厚(例如,厚于约30埃),则在随后的工艺中蚀刻穿过ESL 119时,可能会生成底切(undercut)。如果ESL 119过薄(例如,薄于约5埃),则ESL 119可能无法有效地停止对上面的层的蚀刻。
在氮化铝层119的沉积期间,半导体器件100的温度被控制在适当的范围内,例如在约300℃至380℃之间。可以理解,半导体器件100的温度影响沉积速率。如果温度过低(例如,低于约300℃),则沉积速率可能过低而在经济上对于半导体制造是不可行的,因为需要很长时间来形成氮化铝层119。如果温度过高(例如,高于约380℃),则所得到的氮化铝层119是结晶的(例如,多晶的),这可能导致从导电线112到上面的层的铜扩散增加。因此,在一些实施例中,在氮化铝层119的沉积期间,半导体器件100的温度被选择为在约300℃至约380℃之间的范围内,以避免前述问题。
所沉积的氮化铝层119可以包括(或可以不包括)一些晶体结构,例如多晶结构,在其中包括晶粒。一些晶粒可以彼此连接,而另一些晶粒可以埋置在非晶结构中。导电线112中的铜可以沿着晶粒边界向上扩散到随后将形成的上面的层。因此,为了减少铜的向上扩散,在沉积氮化铝层119之后,执行处理工艺(也称为非晶化工艺)以将氮化铝层119中的多晶结构(如果存在的话)转化为非晶结构,使得整个氮化铝层119是非晶的。由于非晶氮化铝层119不具有晶粒边界,因此具有更好的防止铜扩散的能力。
根据本公开的一些实施例,使用包括NH3、N2或其组合的工艺气体来执行用于所沉积的氮化铝层119的处理工艺(例如,等离子体工艺)。也可以添加其他气体,例如氩。在处理工艺中,轰击氮化铝层119。轰击破坏了晶体结构。此外,在工艺气体(例如,NH3)中存在氢和氮原子的情况下,可以将氢和氮添加到氮化铝层119中。因此,作为处理工艺的结果,氮化铝层119可以包括掺杂在其中的氢。根据一些实施例,在非晶化工艺之后,氮化铝层119具有在约1原子%至约3原子%之间的范围内的氢原子百分比。
处理工艺还具有改变铝-氮原子比(以下称为Al:N原子比)的效果,这还影响所得到的氮化铝层119具有晶体结构还是非晶结构。例如,未处理的结晶氮化铝层可以具有接近1:1的原子比Al:N。通过非晶化工艺添加氮原子改变了该比率。例如,在氮被用于轰击的情况下,氮原子与铝原子键合,使得一个铝原子可以与多于一个的氮原子(其可以进一步与氢原子键合)交联。因此,更容易形成非晶结构。此外,在一个铝原子被键合到多于一个的氮原子的情况下,即使后续工艺中的温度足够高而进行重结晶,也可以防止氮化铝层119的重结晶。此外,由于添加的氮原子可以进一步与氢原子键合,因此还通过该处理工艺将氢添加到氮化铝层119中。
根据本公开的一些实施例,在处理工艺期间,NH3气体(使用时)的流速在约50sccm至约500sccm之间的范围内。N2气体(使用时)的流速在约1000sccm至约3000sccm之间的范围内。在处理工艺期间,半导体器件100的温度可以在约340℃至约400℃之间的范围内。
根据一些实施例,处理工艺是等离子体处理或包括等离子体处理,其可以是直接等离子体工艺,其中等离子体在与处理半导体器件100相同的工艺腔室中生成。使用高频射频(HFRF)功率(例如,具有约13.56MHz的频率)和低频射频(LFRF)功率(例如,具有约350KHz的频率)两者来执行该等离子体处理工艺。HFRF功率用于电离并生成等离子体,而LFRF功率用于轰击氮化铝层119以用于非晶化目的。根据本公开的一些实施例,HFRF功率在约400瓦至约800瓦之间的范围内。
LFRF功率被选择为在合适的范围内。如果LFRF功率太低(例如,低于约90瓦),则氮离子可能不能有效地掺杂到氮化铝层119中。如果LFRF功率太高(例如,高于约135瓦),则可能对氮化铝层119下面的层/结构造成严重的等离子体诱导的损害。根据本公开的一些实施例,LFRF功率被选择为在约90瓦特至约135瓦特之间的范围内,以避免前述问题。
在一些实施例中,在处理工艺之后,氮化铝层119具有在约55原子%至约63原子%之间的范围内的铝原子百分比、在约37原子%至约43原子%之间的范围内的氮化物原子百分比、以及在约0.5原子%至约2原子%之间的范围内的碳原子百分比。在一些实施例中,当氮化铝层119的不同材料(例如,铝、氮化物、碳)的原子百分比在上述范围内时,氮化铝层119的物理性质可以满足蚀刻停止层堆叠118的蚀刻选择能力,其中蚀刻停止层堆叠118的蚀刻选择能力意味着在形成沟槽开口131T和过孔开口131V(参见例如图5)的随后的蚀刻工艺中,蚀刻工艺可以在蚀刻停止层堆叠118中沿着期望的蚀刻方向(例如,垂直地)保持各向异性。
仍然参考图3,在ESL 119上形成ESL 121。在示例实施例中,ESL121由氧化铝(AlOX,其中x是氧与铝的原子比)形成。ESL 121也可以由相对于下面的ESL 119和上面的ESL 123具有高蚀刻选择性的其他材料形成。ESL 121的形成方法包括ALD、CVD、PECVD等。根据本公开的一些实施例,ESL 121使用包括含金属前体(例如,TMA)和含氧前体(例如,H2O、O3等)的前体形成。ESL 121的厚度可以在约10埃至约50埃之间的范围内。在一些实施例中,氮化铝层119和ESL 121的厚度由例如蚀刻停止层堆叠118的蚀刻选择能力和/或所形成的器件的可靠性窗口来确定。
在一些实施例中,在形成之后,ESL 121具有在约40原子%至约45原子%之间的范围内的铝原子百分比,在约55原子%至约60原子%之间的范围内的氧原子百分比,以及在约0.5原子%至约1原子%之间的范围内的碳原子百分比。在一些实施例中,当ESL 121的不同材料(例如,铝、氧、碳)的原子百分比在上述范围内时,ESL 121的物理性质可以满足蚀刻停止层堆叠118的蚀刻选择能力。
在一些实施例中,ESL 121改善了蚀刻停止层堆叠118的蚀刻选择性,并且有助于进一步减小导电线112之间的泄漏电流。此外,用于形成氮化铝层119和ESL 121的工艺可以增强金属帽盖层116和导电材料115(例如,铜)之间的粘附力,从而减少或避免了铜金属扩散引起的问题,例如在铜金属线或铜金属线开口上形成的铜凹点(pits)。
接下来,在ESL 121上形成ESL 123。在示例实施例中,ESL 123由掺杂氧的(硅)碳化物(ODC)形成,其也被称为碳氧化硅(SiOC)。ESL123也可以由诸如掺杂氮的碳化硅(NDC)、SiC等之类的另一种材料形成。ESL 123的沉积方法可以是CVD或另一种合适的方法,例如ALD、PECVD、高密度等离子体CVD(HDPCVD)等。ESL 123的厚度可以在约20埃至约100埃之间的范围内。
在一些实施例中,用于形成ESL 123的前体取决于ESL 123的期望组成,并且可以包括硅(Si)、碳(C)、氢(H)、氮(N)、氧(O)、硼(B)等。根据一些实施例,前体包括选自以下项的气体:1-甲基硅烷(Si(CH)H3,也称为1MS)、2-甲基硅烷(Si(CH)2H2,也称为2MS)、3-甲基硅烷(Si(CH)3H,也称为3MS)、4-甲基硅烷(Si(CH)4,也称为4MS)或其组合。诸如He、N2、Ar、Xe等之类的惰性气体可以用作环境气体。如果要形成ODC,则还可以添加二氧化碳(CO2)以提供氧。如果要形成NDC,则可以添加NH3以提供氮。此外,前体可以包括含硼气体,例如B2H6、BH3或其组合,以在所得到的ESL 123中提供硼。
除了上面讨论的前体之外,可以添加一种或多种碳源气体以增加所得到的ESL123中的碳含量。碳源气体可以是富碳源,这意味着碳源气体中碳原子百分比很高,例如,大于约10原子%、或大于约20原子%,或30原子%。在示例实施例中,碳源气体是选自C2H4、C2H6、及其组合的含碳氢的气体。利用由碳源气体提供的额外的碳,增加了所得到的ESL 123中的碳百分比,并且改善了ESL 123的性质。根据一些实施例,碳源气体的流速与所有1Ms/2Ms/3Ms/4Ms气体的流速之比大于约2至4。
根据一些实施例,使用例如PECVD在腔室中执行ESL 123的形成,其中半导体器件100的温度可以在约300℃至约500℃之间,并且腔室压力可以在约2托至约10托之间。用于形成ESL 123的功率源可以包括HFRF功率和LFRF功率。在形成ESL 123的过程中,HFRF功率源可以提供约100瓦至约1000瓦之间的功率,而LFRF功率源可以提供低于约135瓦的功率,并且可以低至零瓦(这意味着不提供低频功率)。可以同时提供高频RF功率和LFRF功率。
在一些实施例中,在形成之后,ESL 123具有在约40原子%至约50原子%之间的范围内的氧原子百分比,在约36原子%至约40原子%之间的范围内的硅原子百分比,以及在约15原子%至约20原子%之间的范围内的碳原子百分比。在一些实施例中,当ESL 123的不同材料(例如,氧、硅、碳)的原子百分比在上述范围内时,ESL 123的物理性质可以满足蚀刻停止层堆叠118的蚀刻选择能力。
接下来,在ESL 123之上形成ESL 125。在示例实施例中,ESL 125由与ESL 121相同的材料形成,例如氧化铝。ESL 125的形成方法、尺寸(例如,厚度)和材料组成(例如,各种元素的原子百分比)可以与ESL 121相同或相似,因此不再重复。在示例实施例中,ESL 119由氮化铝形成,ESL 121由氧化铝形成,ESL 123由ODC形成,并且ESL 125由氧化铝形成。
ESL 121、123和125中的每一个可以具有多晶结构或非晶结构,这可以通过调节沉积温度来实现。由于铜原子的扩散被下面的ESL 119阻止,所以ESL 121、123和125是多晶的还是非晶的都不会造成铜原子的向上扩散。
在图3的示例中,蚀刻停止层堆叠118包括四个ESL(119、121、123和125)。根据本公开的替代实施例,蚀刻停止层堆叠118包括三个ESL(参见图11和图12),例如ESL 119、123和125。在下文中参考图11和图12讨论替代实施例的细节。
接下来,参考图4,将IMD层127形成在蚀刻停止层堆叠118之上。IMD层127可以使用与IMD层111相同或相似的(一种或多种)材料而通过相同或相似的形成工艺形成,因此不再重复细节。
接下来,在IMD层127之上形成掩模层129。在随后的处理中,使用例如光刻和蚀刻技术将图案转移到掩模层129上。掩模层129然后可以用作用于蚀刻下面的IMD层127的图案化掩模。掩模层129可以使用诸如CVD、PVD、ALD等或其组合之类的工艺,由诸如氮化硅、氮化钛、氧化钛等或其组合之类的掩模材料形成。
接下来,在图5中,对掩模层129进行图案化,并且例如通过一种或多种蚀刻工艺将掩模层129的图案转移到IMD层127,以形成开口131。在图5的示例中,每个开口131包括过孔开口131V和上面于过孔开口131V的沟槽开口131T。在一个实施例中,为了形成过孔开口131V和沟槽开口131T,使用经图案化的掩模层129作为蚀刻掩模来执行第一蚀刻工艺(例如,各向异性蚀刻工艺),以通过从IMD层127的上表面蚀刻到IMD层127中来形成沟槽开口131T。一旦开口131的深度达到沟槽开口131T的目标深度,就停止第一蚀刻工艺。接下来,第二掩模层(未示出),例如光致抗蚀剂层,被形成以填充开口131,并形成在掩模层129的上表面之上。然后对第二掩模层进行图案化,其中第二掩模层的图案(开口)对应于过孔开口131V的位置。接下来,使用经图案化的第二掩模层作为蚀刻掩模来执行第二蚀刻工艺(例如,各向异性蚀刻工艺),以形成过孔开口131V。注意,第二蚀刻工艺可以在ESL 125处停止(例如,当ESL 125被暴露时)。如下面详细描述的,执行额外的蚀刻步骤以使过孔开口131V延伸穿过蚀刻停止层堆叠118并暴露金属帽盖层116。除了上述方法之外,用于形成过孔开口131V和沟槽开口131T的其他方法也是可能的,并且完全旨在包括在本公开的范围内。
根据本公开的一些实施例,使用包括氟和碳的工艺气体来执行对IMD层127的蚀刻,其中氟被用于蚀刻,并且碳被用于生成可以保护所得到的过孔开口131V和沟槽开口131T的侧壁的等离子体。利用适当的氟和碳比率,过孔开口131V和沟槽开口131T可以具有期望的轮廓(例如,侧壁轮廓)。例如,用于蚀刻的工艺气体包括诸如C4F8和/或CF4之类的(一种或多种)含氟和碳的气体、以及诸如N2之类的载气。
在所示的实施例中,对IMD层127的蚀刻在ESL 125处停止。接下来,例如通过干法蚀刻工艺然后进行湿法蚀刻工艺来蚀刻ESL 125(例如,AlOx)。在一些实施例中,使用诸如BCl3和Cl2的混合物之类的蚀刻气体来执行该干法蚀刻工艺。可以使用例如磷酸来执行该湿法蚀刻工艺。接下来,例如使用蚀刻气体(包括诸如CF4之类的含氟和碳的气体和诸如氩之类的(一种或多种)其他气体)来蚀刻ESL 123(例如,ODC)。接下来,蚀刻ESL 121(例如,AlOx)。在所示的实施例中,ESL 121和ESL 125由相同的材料(例如,AlOx)形成,并且因此,可以再次执行用于蚀刻ESL125的(一种或多种)相同的蚀刻工艺以蚀刻ESL 121。接下来,例如使用BCl3、Cl2和氩的混合物来蚀刻穿过ESL 119(例如,AlN)。还可以使用例如磷酸通过湿法蚀刻工艺来蚀刻ESL 119。在蚀刻ESL 119之后,金属帽盖层116被暴露。
形成非晶ESL 119(例如,AlN)具有改善前述对IMD层127和蚀刻停止层堆叠118的蚀刻的优点。不具有晶粒和晶粒边界的ESL 119的非晶结构可以有效地阻止导电线112中的铜原子向上扩散到蚀刻停止层堆叠118和IMD层127中。否则,如果ESL 119具有多晶结构,则铜可能会沿着晶粒边界扩散到蚀刻停止层堆叠118和上面的IMD层127中。扩散的铜可能会降低蚀刻ESL 119/121/123/125和IMD层127时的蚀刻速率。降低的蚀刻速率可能导致用于形成过孔开口131V的蚀刻过早地停止在蚀刻停止层堆叠118内部或者甚至在IMD层127内部,这种效果被称为蚀刻停止层堆叠118和IMD层127的欠蚀刻(under-etching)。作为欠蚀刻的结果,随后在过孔开口131V中形成的过孔不能电连接到导电线112,从而导致电路故障。本文公开的非晶化工艺确保了ESL 119具有非晶结构以防止铜扩散,并且因此避免了欠蚀刻问题。结果,提高了器件的可靠性和良率。
接下来,在图6中,在开口131中形成导电特征132。在所示的示例中,每个导电特征132包括过孔138和导电线136。每个过孔138将上面的导电线136电耦合到下面的导电线112。
在一些实施例中,为了形成导电特征132,形成(例如,共形地)阻挡层133以内衬开口131的侧壁和底部。阻挡层133也可以形成在掩模层129(参见图5)的上表面之上。接下来,在阻挡层133之上形成导电材料135以填充开口131。阻挡层133和导电材料135可以分别与阻挡层113和导电材料115相同或相似,并且可以使用相同或相似的(一种或多种)形成方法来形成,因此不再重复细节。
在形成阻挡层133和导电材料135之后,执行诸如CMP之类的平坦化工艺以从IMD层127的上表面去除阻挡层133和导电材料135的多余部分。在所示的实施例中,该平坦化工艺还去除了掩模层129。在平坦化工艺之后,阻挡层133和导电材料135的在过孔开口131V中的剩余部分形成过孔138,并且阻挡层133和导电材料135的在沟槽开口131T中的剩余部分形成导电线136。
接下来,在图7中,在导电特征132的上表面之上形成(例如,选择性地形成)金属帽盖层146。接下来,在IMD层127的上表面之上形成电介质帽盖层137,并且然后,在金属帽盖层146和电介质帽盖层137上形成包括ESL 139、141、143和145的蚀刻停止层堆叠148。金属帽盖层146和电介质帽盖层137可以分别由与金属帽盖层116和电介质帽盖层117相同或相似的(一种或多种)材料形成,并且可以使用相同或相似的形成方法形成,因此不再重复细节。此外,ESL 139、141、143和145可以分别使用与ESL 119、121、123和125相同或相似的形成方法而由(一种或多种)相同或相似的材料形成,因此不再重复细节。
可以执行附加处理以完成半导体器件100的制造。例如,可以在蚀刻停止层堆叠148之上形成附加IMD层和附加导电特征(例如,过孔、导电线)以形成互连结构,该互连结构将集成电路器件103电连接以形成功能电路。此外,可以在互连结构之上形成凸块下金属化(under bump metallization,UBM)结构,并且可以在UBM结构之上形成外部连接器(例如,铜柱和/或焊球)以提供与半导体器件100的功能电路的电连接。这里不讨论细节。
图8至图10示出了根据另一实施例的处于制造的各个阶段处的半导体器件100A的截面图。半导体器件100A类似于半导体器件100,但是电介质帽盖层117是通过不同的非晶化工艺形成的。具体地,在图8中,执行离子注入工艺120以将IMD层111的上部(例如,远离衬底101的部分)转化为电介质帽盖层117。
根据本公开的一些实施例,使用诸如NH3或N2O之类的含氮工艺气体来执行该离子注入工艺。在一些实施例中,将工艺气体点燃成等离子体,并且将工艺气体的离子(例如,氮离子)注入到IMD层111的上部中,以将IMD层111的上部转化为含氮电介质帽盖层117。在一些实施例中,电介质帽盖层117是含氮化物的电介质材料,例如SiNX、SiONX或SiCNX,其中x可以是1或2。电介质帽盖层117的厚度可以在约5埃至约50埃之间,或者在约10埃至约50埃之间。电介质帽盖层117的密度可以在约1.5g/cm3至约3.2g/cm3之间。电介质帽盖层117可以具有在约2原子%至约10原子%之间的范围内的氮原子百分比。
在图8的示例中,电介质帽盖层117的下表面117L比导电线112的导电材料115的上表面115U更靠近衬底101。电介质帽盖层117的上表面117U与导电材料115的上表面115U齐平。IMD层111的上表面111U退到低于导电材料115的上表面115U。
接下来,在图9中,在金属帽盖层116和电介质帽盖层117之上形成包括ESL 119、121、123和125的蚀刻停止层堆叠118。蚀刻停止层堆叠118的形成与图2中的蚀刻停止层堆叠118的形成相同或相似,因此不再重复细节。注意,ESL 119的上表面可以是平坦的,如图9中的实线119U所示,或者可由于例如金属帽盖层116的上表面与电介质帽盖层117的上表面之间的垂直偏移而在金属帽盖层116之上是非平坦的(例如,弯曲的)。例如,ESL 119的上表面的在金属帽盖层116之上(例如,正上方)的部分可以是弯曲的,如虚线119U’所示。在随后的附图中,ESL 119的上表面被示出为平坦的表面,要理解的是,ESL 119的上表面的至少一些部分可以是非平坦的(例如,弯曲的)。
接下来,执行与以上在图4-图7中讨论的那些处理步骤相同或相似的处理步骤,以形成图10中的半导体器件100A。为了简单起见,不再重复细节。
图11示出了根据另一实施例的半导体器件100B的截面图。半导体器件100B类似于图7的半导体器件100,但是图11中的蚀刻停止层堆叠118和148包括三个蚀刻停止层,而不是如图7中的四个蚀刻停止层。具体地,蚀刻停止层堆叠118包括ESL 119(例如,AlN)、ESL123(例如,ODC)和ESL 125(例如,AlOx)。类似地,蚀刻停止层堆叠148包括ESL 139(例如,AlN)、ESL 143(例如,ODC)和ESL 145(例如,AlOx)。
图12示出了根据另一实施例的半导体器件100C的截面图。半导体器件100C类似于图10的半导体器件100A,但是图12中的蚀刻停止层堆叠118和148包括三个蚀刻停止层,而不是如图10中的四个蚀刻停止层。具体地,蚀刻停止层堆叠118包括ESL 119(例如,AlN)、ESL 123(例如,ODC)和ESL 125(例如,AlOx)。类似地,蚀刻停止层堆叠148包括ESL139(例如,AlN)、ESL 143(例如,ODC)和ESL 145(例如,AlOx)。图12的电介质帽盖层117和137通过离子注入形成。
图13示出了根据又一实施例的半导体器件100D的截面图。半导体器件100D类似于图7的半导体器件100,但是过孔138中的至少一个(例如,左侧的过孔138)被形成为与下面的导电线112未对准(例如,由于制造过程中的掩模对准误差),使得过孔138的底表面的一部分延伸超过导电线112的横向范围(例如,超过侧壁)并且与电介质帽盖层117接触(例如,实体接触)。在一些实施例中,由于由电介质帽盖层117提供的电隔离,减少或避免了未对准的过孔138与导电线112之间的泄漏电流。
本公开的实施例实现了一些有利的特征。例如,电介质帽盖层117减少了相邻的导电线112之间的泄漏电流路径,从而提高了器件性能并降低了功耗。此外,器件的时变介电击穿(TDDB)性能也得到了改善。蚀刻停止层堆叠(例如,118、148)的膜方案提供了进一步的优点。例如,通过形成非晶蚀刻停止层119,在蚀刻停止层119中不存在用于铜原子迁移通过的晶粒边界,因此,阻止了铜原子扩散到上面的蚀刻停止层和电介质层(例如,127)中。由于铜原子可能引起电介质层和蚀刻停止层的欠蚀刻,阻止铜扩散消除了欠蚀刻,并且因此,提高了器件可靠性和制造良率。
图14示出了根据一些实施例的制造半导体结构的方法的流程图。应当理解,图14中示出的实施例方法仅仅是许多可能的实施例方法的示例。本领域普通技术人员将认识到许多变化、替代和修改。例如,可以添加、移除、替换、重新布置、和重复如图14所示的各种步骤。
参考图14,在框1010处,在设置在衬底之上的第一电介质层中形成第一导电特征。在框1020处,在第一导电特征的远离衬底的上表面之上形成金属帽盖层。在框1030处,在第一电介质层的上表面之上并且与金属帽盖层横向相邻地选择性地形成电介质帽盖层,其中,金属帽盖层被电介质帽盖层暴露。在框1040处,在金属帽盖层和电介质帽盖层之上形成蚀刻停止层堆叠,其中蚀刻停止层堆叠包括多个蚀刻停止层。
根据本公开的实施例,一种形成半导体器件的方法包括:在设置在衬底之上的第一电介质层中形成第一导电特征;在第一导电特征的远离衬底的上表面之上形成金属帽盖层;在第一电介质层的上表面之上并且与金属帽盖层横向相邻地选择性地形成电介质帽盖层,其中,金属帽盖层被电介质帽盖层暴露;以及在金属帽盖层和电介质帽盖层之上形成蚀刻停止层堆叠,其中,蚀刻停止层堆叠包括多个蚀刻停止层。在一个实施例中,该方法还包括:在蚀刻停止层堆叠之上形成第二电介质层;以及在第二电介质层中形成第二导电特征,其中,第二导电特征延伸穿过蚀刻停止层堆叠并电耦合到金属帽盖层。在一个实施例中,形成金属帽盖层包括将导电材料选择性地形成在第一导电特征的上表面之上。在一个实施例中,电介质帽盖层由含氮化物的电介质材料形成。在一个实施例中,含氮化物的电介质材料是氮化硅、氮氧化硅或碳氮化硅。在一个实施例中,电介质帽盖层的厚度在约10埃至约50埃之间。在一个实施例中,选择性地形成电介质帽盖层包括使用等离子体增强化学气相沉积(PECVD)工艺在第一电介质层的上表面之上选择性地沉积含氮化物的电介质材料。在一个实施例中,PECVD工艺是使用包括N2、NH3、NO或N2O的前体来执行的。在一个实施例中,选择性地形成电介质帽盖层包括通过执行离子注入工艺将第一电介质层的上层转化为电介质帽盖层。在一个实施例中,离子注入工艺是使用包括NH3或N2O的气体源来执行的。在一个实施例中,形成蚀刻停止层堆叠包括:在金属帽盖层和电介质帽盖层之上形成氮化铝层;在氮化铝层之上形成掺杂氧的碳化硅层;以及在掺杂氧的碳化硅层之上形成氧化铝层。在一个实施例中,形成蚀刻停止层堆叠还包括在氮化铝层和掺杂氧的碳化硅层之间形成另一氧化铝层。
根据本公开的实施例,一种形成半导体器件的方法包括:在设置在衬底之上的第一电介质层中形成第一导电特征,其中,第一导电特征的远离衬底的第一表面与第一电介质层的第一表面齐平;在第一导电特征的第一表面上选择性地形成金属帽盖层;在第一电介质层的第一表面上选择性地形成电介质帽盖层,其中,电介质帽盖层与金属帽盖层横向相邻,其中,电介质帽盖层由含氮化物的电介质材料形成;在金属帽盖层和电介质帽盖层上依次形成多个蚀刻停止层;在多个蚀刻停止层上形成第二电介质层;以及在第二电介质层中形成第二导电特征,其中,第二导电特征延伸穿过多个蚀刻停止层并且电耦合到相应的第一导电特征。在一个实施例中,选择性地形成电介质帽盖层包括在第一电介质层的第一表面上沉积含氮化物的电介质材料,但使金属帽盖层的远离衬底的上表面没有含氮化物的电介质材料,其中,含氮化物的电介质材料在相邻的第一导电特征之间连续地延伸。在一个实施例中,选择性地形成电介质帽盖层包括通过离子注入工艺将第一电介质层的靠近其第一表面的上部转化为电介质帽盖层。在一个实施例中,形成多个蚀刻停止层包括:在金属帽盖层和电介质帽盖层之上形成第一蚀刻停止层,该第一蚀刻停止层包括氮化铝;在第一蚀刻停止层之上形成第二蚀刻停止层,该第二蚀刻停止层包括掺杂氧的碳化硅;以及在第二蚀刻停止层之上形成第三蚀刻停止层,该第三蚀刻停止层包括氧化铝。在一个实施例中,形成第二导电特征包括:在第二电介质层中形成导电线;以及在导电线下面形成过孔,其中,过孔的上部在第二电介质层中,并且过孔的下部延伸穿过多个蚀刻停止层并且电耦合到第一导电特征。
根据本公开的实施例,一种半导体器件包括:衬底;第一电介质层,位于衬底之上;第一导电特征,位于第一电介质层中;金属帽盖层,位于第一导电特征上;电介质帽盖层,位于第一电介质层的远离衬底的上表面上,其中,电介质帽盖层与金属帽盖层横向相邻,其中,电介质帽盖层包括含氮化物的电介质材料,其中,金属帽盖层的远离衬底的上表面没有电介质帽盖层;蚀刻停止层堆叠,位于金属帽盖层和电介质帽盖层上,其中,蚀刻停止层堆叠包括多个蚀刻停止层;第二电介质层,位于蚀刻停止层堆叠上;以及第二导电特征,位于第二电介质层中,其中,第二导电特征延伸穿过蚀刻停止层堆叠并且电耦合到第一导电特征。在一个实施例中,蚀刻停止层堆叠包括:第一蚀刻停止层,包括氮化铝并且位于金属帽盖层和电介质帽盖层之上;第二蚀刻停止层,包括掺杂氧的碳化硅并且位于第一蚀刻停止层之上;以及第三蚀刻停止层,包括氧化铝并且位于第二蚀刻停止层之上。在一个实施例中,第二导电特征包括:金属线,位于第二电介质层中,其中,金属线的面向衬底的下表面与蚀刻停止层堆叠间隔开;以及过孔,位于金属线下面并且连接到金属线,其中,过孔延伸穿过蚀刻停止层堆叠并且接触金属帽盖层。
上文概述了若干实施例的特征,以使本领域技术人员可以更好地理解本公开的各方面。本领域的技术人员应当理解,他们可以容易地使用本公开作为基础,用于设计或者修改其他工艺和结构,以实现与本文引入的实施例相同的目的和/或达到与本文引入的实施例相同的优点。本领域技术人员还应当认识到,这些等同构造并不脱离本公开的精神和范围,并且他们可以在不脱离本公开的精神和范围的情况下进行各种改变、替代和变更。
示例1.一种形成半导体器件的方法,所述方法包括:在设置在衬底之上的第一电介质层中形成第一导电特征;在所述第一导电特征的远离所述衬底的上表面之上形成金属帽盖层;在所述第一电介质层的上表面之上并且与所述金属帽盖层横向相邻地选择性地形成电介质帽盖层,其中,所述金属帽盖层被所述电介质帽盖层暴露;以及在所述金属帽盖层和所述电介质帽盖层之上形成蚀刻停止层堆叠,其中,所述蚀刻停止层堆叠包括多个蚀刻停止层。
示例2.根据示例1所述的方法,还包括:在所述蚀刻停止层堆叠之上形成第二电介质层;以及在所述第二电介质层中形成第二导电特征,其中,所述第二导电特征延伸穿过所述蚀刻停止层堆叠并电耦合到所述金属帽盖层。
示例3.根据示例1所述的方法,其中,形成所述金属帽盖层包括:将导电材料选择性地形成在所述第一导电特征的上表面之上。
示例4.根据示例1所述的方法,其中,所述电介质帽盖层由含氮化物的电介质材料形成。
示例5.根据示例4所述的方法,其中,所述含氮化物的电介质材料是氮化硅、氮氧化硅或碳氮化硅。
示例6.根据示例4所述的方法,其中,所述电介质帽盖层的厚度在10埃至50埃之间。
示例7.根据示例4所述的方法,其中,选择性地形成所述电介质帽盖层包括:使用等离子体增强化学气相沉积(PECVD)工艺在所述第一电介质层的上表面之上选择性地沉积所述含氮化物的电介质材料。
示例8.根据示例7所述的方法,其中,所述PECVD工艺是使用包括N2、NH3、NO或N2O的前体来执行的。
示例9.根据示例4所述的方法,其中,选择性地形成所述电介质帽盖层包括:通过执行离子注入工艺将所述第一电介质层的上层转化为所述电介质帽盖层。
示例10.根据示例9所述的方法,其中,所述离子注入工艺是使用包括NH3或N2O的气体源来执行的。
示例11.根据示例4所述的方法,其中,形成所述蚀刻停止层堆叠包括:在所述金属帽盖层和所述电介质帽盖层之上形成氮化铝层;在所述氮化铝层之上形成掺杂氧的碳化硅层;以及在所述掺杂氧的碳化硅层之上形成氧化铝层。
示例12.根据示例11所述的方法,其中,形成所述蚀刻停止层堆叠还包括在所述氮化铝层和所述掺杂氧的碳化硅层之间形成另一氧化铝层。
示例13.一种形成半导体器件的方法,所述方法包括:在设置在衬底之上的第一电介质层中形成第一导电特征,其中,所述第一导电特征的远离所述衬底的第一表面与所述第一电介质层的第一表面齐平;在所述第一导电特征的第一表面上选择性地形成金属帽盖层;在所述第一电介质层的第一表面上选择性地形成电介质帽盖层,其中,所述电介质帽盖层与所述金属帽盖层横向相邻,其中,所述电介质帽盖层由含氮化物的电介质材料形成;在所述金属帽盖层和所述电介质帽盖层上依次形成多个蚀刻停止层;在所述多个蚀刻停止层上形成第二电介质层;以及在所述第二电介质层中形成第二导电特征,其中,所述第二导电特征延伸穿过所述多个蚀刻停止层并且电耦合到相应的所述第一导电特征。
示例14.根据示例13所述的方法,其中,选择性地形成所述电介质帽盖层包括:在所述第一电介质层的第一表面上沉积所述含氮化物的电介质材料,但使所述金属帽盖层的远离所述衬底的上表面没有所述含氮化物的电介质材料,其中,所述含氮化物的电介质材料在相邻的所述第一导电特征之间连续地延伸。
示例15.根据示例13所述的方法,其中,选择性地形成所述电介质帽盖层包括:通过离子注入工艺将所述第一电介质层的靠近其第一表面的上部转化为所述电介质帽盖层。
示例16.根据示例13所述的方法,其中,形成所述多个蚀刻停止层包括:在所述金属帽盖层和所述电介质帽盖层之上形成第一蚀刻停止层,所述第一蚀刻停止层包括氮化铝;在所述第一蚀刻停止层之上形成第二蚀刻停止层,所述第二蚀刻停止层包括掺杂氧的碳化硅;以及在所述第二蚀刻停止层之上形成第三蚀刻停止层,所述第三蚀刻停止层包括氧化铝。
示例17.根据示例13所述的方法,其中,形成所述第二导电特征包括:在所述第二电介质层中形成导电线;以及在所述导电线下面形成过孔,其中,所述过孔的上部在所述第二电介质层中,并且所述过孔的下部延伸穿过所述多个蚀刻停止层并且电耦合到所述第一导电特征。
示例18.一种半导体器件,包括:衬底;第一电介质层,位于所述衬底之上;第一导电特征,位于所述第一电介质层中;金属帽盖层,位于所述第一导电特征上;电介质帽盖层,位于所述第一电介质层的远离所述衬底的上表面上,其中,所述电介质帽盖层与所述金属帽盖层横向相邻,其中,所述电介质帽盖层包括含氮化物的电介质材料,其中,所述金属帽盖层的远离所述衬底的上表面没有所述电介质帽盖层;蚀刻停止层堆叠,位于所述金属帽盖层和所述电介质帽盖层上,其中,所述蚀刻停止层堆叠包括多个蚀刻停止层;第二电介质层,位于所述蚀刻停止层堆叠上;以及第二导电特征,位于所述第二电介质层中,其中,所述第二导电特征延伸穿过所述蚀刻停止层堆叠并且电耦合到所述第一导电特征。
示例19.根据示例18所述的半导体器件,其中,所述蚀刻停止层堆叠包括:第一蚀刻停止层,包括氮化铝并且位于所述金属帽盖层和所述电介质帽盖层之上;第二蚀刻停止层,包括掺杂氧的碳化硅并且位于所述第一蚀刻停止层之上;以及第三蚀刻停止层,包括氧化铝并且位于所述第二蚀刻停止层之上。
示例20.根据示例18所述的半导体器件,其中,所述第二导电特征包括:金属线,位于所述第二电介质层中,其中,所述金属线的面向所述衬底的下表面与所述蚀刻停止层堆叠间隔开;以及过孔,位于所述金属线下面并且连接到所述金属线,其中,所述过孔延伸穿过所述蚀刻停止层堆叠并且接触所述金属帽盖层。

Claims (10)

1.一种形成半导体器件的方法,所述方法包括:
在设置在衬底之上的第一电介质层中形成第一导电特征;
在所述第一导电特征的远离所述衬底的上表面之上形成金属帽盖层;
在所述第一电介质层的上表面之上并且与所述金属帽盖层横向相邻地选择性地形成电介质帽盖层,其中,所述金属帽盖层被所述电介质帽盖层暴露;以及
在所述金属帽盖层和所述电介质帽盖层之上形成蚀刻停止层堆叠,其中,所述蚀刻停止层堆叠包括多个蚀刻停止层。
2.根据权利要求1所述的方法,还包括:
在所述蚀刻停止层堆叠之上形成第二电介质层;以及
在所述第二电介质层中形成第二导电特征,其中,所述第二导电特征延伸穿过所述蚀刻停止层堆叠并电耦合到所述金属帽盖层。
3.根据权利要求1所述的方法,其中,形成所述金属帽盖层包括:将导电材料选择性地形成在所述第一导电特征的上表面之上。
4.根据权利要求1所述的方法,其中,所述电介质帽盖层由含氮化物的电介质材料形成。
5.根据权利要求4所述的方法,其中,所述含氮化物的电介质材料是氮化硅、氮氧化硅或碳氮化硅。
6.根据权利要求4所述的方法,其中,所述电介质帽盖层的厚度在10埃至50埃之间。
7.根据权利要求4所述的方法,其中,选择性地形成所述电介质帽盖层包括:使用等离子体增强化学气相沉积(PECVD)工艺在所述第一电介质层的上表面之上选择性地沉积所述含氮化物的电介质材料。
8.根据权利要求7所述的方法,其中,所述PECVD工艺是使用包括N2、NH3、NO或N2O的前体来执行的。
9.一种形成半导体器件的方法,所述方法包括:
在设置在衬底之上的第一电介质层中形成第一导电特征,其中,所述第一导电特征的远离所述衬底的第一表面与所述第一电介质层的第一表面齐平;
在所述第一导电特征的第一表面上选择性地形成金属帽盖层;
在所述第一电介质层的第一表面上选择性地形成电介质帽盖层,其中,所述电介质帽盖层与所述金属帽盖层横向相邻,其中,所述电介质帽盖层由含氮化物的电介质材料形成;
在所述金属帽盖层和所述电介质帽盖层上依次形成多个蚀刻停止层;
在所述多个蚀刻停止层上形成第二电介质层;以及
在所述第二电介质层中形成第二导电特征,其中,所述第二导电特征延伸穿过所述多个蚀刻停止层并且电耦合到相应的所述第一导电特征。
10.一种半导体器件,包括:
衬底;
第一电介质层,位于所述衬底之上;
第一导电特征,位于所述第一电介质层中;
金属帽盖层,位于所述第一导电特征上;
电介质帽盖层,位于所述第一电介质层的远离所述衬底的上表面上,其中,所述电介质帽盖层与所述金属帽盖层横向相邻,其中,所述电介质帽盖层包括含氮化物的电介质材料,其中,所述金属帽盖层的远离所述衬底的上表面没有所述电介质帽盖层;
蚀刻停止层堆叠,位于所述金属帽盖层和所述电介质帽盖层上,其中,所述蚀刻停止层堆叠包括多个蚀刻停止层;
第二电介质层,位于所述蚀刻停止层堆叠上;以及
第二导电特征,位于所述第二电介质层中,其中,所述第二导电特征延伸穿过所述蚀刻停止层堆叠并且电耦合到所述第一导电特征。
CN202110569696.XA 2020-09-29 2021-05-25 具有电介质帽盖层和蚀刻停止层堆叠的互连结构 Pending CN113964083A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063084812P 2020-09-29 2020-09-29
US63/084,812 2020-09-29
US17/210,015 US11658064B2 (en) 2020-09-29 2021-03-23 Interconnect structure with dielectric cap layer and etch stop layer stack
US17/210,015 2021-03-23

Publications (1)

Publication Number Publication Date
CN113964083A true CN113964083A (zh) 2022-01-21

Family

ID=79460139

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110569696.XA Pending CN113964083A (zh) 2020-09-29 2021-05-25 具有电介质帽盖层和蚀刻停止层堆叠的互连结构

Country Status (5)

Country Link
US (2) US11658064B2 (zh)
KR (1) KR20220043836A (zh)
CN (1) CN113964083A (zh)
DE (1) DE102021108491A1 (zh)
TW (1) TWI787876B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117613002A (zh) * 2024-01-22 2024-02-27 粤芯半导体技术股份有限公司 一种半导体器件的互连层的制作方法及半导体器件
TWI841403B (zh) * 2022-08-03 2024-05-01 南亞科技股份有限公司 具有不同關鍵尺寸導電特徵的佈線結構及其製備方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230343642A1 (en) * 2022-04-20 2023-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Film scheme to reduce plasma-induced damage

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060226549A1 (en) 2005-04-12 2006-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabricating method thereof
DE102007004867B4 (de) * 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US7830010B2 (en) * 2008-04-03 2010-11-09 International Business Machines Corporation Surface treatment for selective metal cap applications
US7871929B2 (en) * 2008-07-30 2011-01-18 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US7776743B2 (en) * 2008-07-30 2010-08-17 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
TW201123346A (en) 2009-12-24 2011-07-01 Taiwan Memory Company Interconnect structure having air gap and manufacturing method thereof
US9349689B2 (en) 2012-04-20 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including conductive features with capping layers and methods of forming the same
US8710660B2 (en) 2012-07-20 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect scheme including aluminum metal line in low-k dielectric
US9236292B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US9548333B2 (en) 2014-09-25 2017-01-17 Qualcomm Incorporated MRAM integration with low-K inter-metal dielectric for reduced parasitic capacitance
US9659856B2 (en) 2014-10-24 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Two step metallization formation
US10854505B2 (en) 2016-03-24 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Removing polymer through treatment
US10685873B2 (en) 2016-06-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer for semiconductor devices
US10790142B2 (en) 2017-11-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selective capping processes and structures formed thereby
US11145751B2 (en) 2018-03-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with doped contact plug and method for forming the same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI841403B (zh) * 2022-08-03 2024-05-01 南亞科技股份有限公司 具有不同關鍵尺寸導電特徵的佈線結構及其製備方法
CN117613002A (zh) * 2024-01-22 2024-02-27 粤芯半导体技术股份有限公司 一种半导体器件的互连层的制作方法及半导体器件
CN117613002B (zh) * 2024-01-22 2024-04-05 粤芯半导体技术股份有限公司 一种半导体器件的互连层的制作方法及半导体器件

Also Published As

Publication number Publication date
DE102021108491A1 (de) 2022-03-31
TWI787876B (zh) 2022-12-21
US20230253247A1 (en) 2023-08-10
TW202213465A (zh) 2022-04-01
US11658064B2 (en) 2023-05-23
US20220102203A1 (en) 2022-03-31
KR20220043836A (ko) 2022-04-05

Similar Documents

Publication Publication Date Title
KR101677345B1 (ko) 반도체 구조체 및 그 제조 방법
US7176571B2 (en) Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
TWI787876B (zh) 形成半導體裝置的方法和半導體裝置
TWI546919B (zh) 半導體元件及其製造方法
US20120256324A1 (en) Method for Improving Performance of Etch Stop Layer
US11961803B2 (en) Semiconductor structure having high breakdown voltage etch-stop layer
US20230369224A1 (en) Via for semiconductor device and method
US20240071815A1 (en) Method for forming interconnect structure
US9893144B1 (en) Methods for fabricating metal-insulator-metal capacitors
US20220102143A1 (en) Metal Hard Masks for Reducing Line Bending
CN112435958B (zh) 集成电路结构及其形成方法
TWI813257B (zh) 半導體元件及其形成方法
KR100632038B1 (ko) 다층 금속 배선의 제조 방법
KR20080089081A (ko) 반도체 소자의 장벽 금속층 형성방법 및 이를 이용한금속배선 형성방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination