DE102021127166A1 - Mim-kondensatorstrukturen - Google Patents

Mim-kondensatorstrukturen Download PDF

Info

Publication number
DE102021127166A1
DE102021127166A1 DE102021127166.5A DE102021127166A DE102021127166A1 DE 102021127166 A1 DE102021127166 A1 DE 102021127166A1 DE 102021127166 A DE102021127166 A DE 102021127166A DE 102021127166 A1 DE102021127166 A1 DE 102021127166A1
Authority
DE
Germany
Prior art keywords
electrode
dielectric layer
metal
integrated circuit
circuit structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021127166.5A
Other languages
English (en)
Inventor
Jim Shih-Chun Liang
Baozhen Li
Chih-Chao Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE102021127166A1 publication Critical patent/DE102021127166A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/56Capacitors with a dielectric comprising a perovskite structure material the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

Es wird eine integrierte Schaltungsstruktur bereitgestellt. Die integrierte Schaltungsstruktur weist eine Back-End-of-Line(BEOL)-Verdrahtungsschicht auf, die Metallleitungen sowie einen ersten Bereich zwischen den Metallleitungen umfasst. Die integrierte Schaltungsstruktur weist außerdem einen Metall-Isolator-Metall(MIM)-Kondensator auf, der in dem ersten Bereich ausgebildet ist. Der MIM-Kondensator weist auf: eine erste Elektrode, eine erste dielektrische Schicht, die auf der ersten Elektrode ausgebildet ist, eine zweite Elektrode, die auf der ersten dielektrischen Schicht ausgebildet ist, eine zweite dielektrische Schicht, die auf der zweiten Elektrode ausgebildet ist, eine dritte Elektrode, die auf der zweiten dielektrischen Schicht ausgebildet ist, eine dritte dielektrische Schicht, die auf der dritten Elektrode ausgebildet ist, eine vierte Elektrode, die auf der dritten dielektrischen Schicht ausgebildet ist, eine erste Metall-Zwischenverbindung, welche die erste Elektrode und die dritte Elektrode elektrisch verbindet, sowie eine zweite Metall-Zwischenverbindung, welche die zweite Elektrode mit der vierten Elektrode elektrisch verbindet.

Description

  • HINTERGRUND
  • Die vorliegende Offenbarung bezieht sich auf die Bereiche Elektrotechnik, Elektronik und Computer. Insbesondere bezieht sich die vorliegende Offenbarung auf Metall-Isolator-Metall(MIM)-Kondensatoren mit verschiedenen Plattenstrukturen. Typischerweise weist der MIM-Kondensator eine Sandwich-Struktur auf und kann als ein Kondensator mit parallelen Platten beschrieben werden. Das obere Metall des Kondensators (CTM, Capacitor Top Metal) ist durch eine dünne isolierende dielektrische Schicht von dem unteren Metall des Kondensators (CBM, Capacitor Bottom Metal) getrennt.
  • Metall-Isolator-Metall(MIM)-Kondensatoren können bei Anwendungen mit hohem Leistungsvermögen in einer Komplementär-Metall-Oxid-Halbleiter(CMOS)-Technologie verwendet werden. MIM-Kondensatoren wurden zum Beispiel in funktionellen Schaltungen verwendet, wie beispielsweise in Misch-Signal-Schaltungen, in analogen Schaltungen, Hochfrequenz(HF)-Schaltungen, in einem dynamischen Speicher mit wahlfreiem Zugriff (DRAM), einem eingebetteten DRAM sowie in Schaltungen mit logischer Verknüpfung. Bei System-auf-Chip(SOC)-Anwendungen wurden unterschiedliche Kondensatoren für unterschiedliche funktionelle Schaltungen auf einem gleichen Chip integriert, um unterschiedlichen Zwecken zu dienen. In Misch-Signal-Schaltungen werden zum Beispiel Kondensatoren als Entkopplungs-Kondensatoren und Hochfrequenz-Rausch-Filter verwendet. Für Schaltungen mit einem DRAM und einem eingebetteten DRAM werden Kondensatoren für eine Speicherspeicherung verwendet. Für HF-Schaltungen werden Kondensatoren jedoch in Oszillatoren und Phasenverschiebungs-Netzwerken für Kopplungs- und/oder Umgehungszwecke verwendet. Für Mikroprozessoren werden Kondensatoren für eine Entkopplung verwendet. Die Hochfrequenz und die geringe Leistung von Halbleiterchips können eine große Anzahl von Entkopplungs-Kondensatoren erfordern. Bei diesen Anwendungen wurden MIM-Kondensatoren für eine Entkopplung verwendet.
  • KURZDARSTELLUNG
  • Bestimmte Ausführungsformen beziehen sich auf eine integrierte Schaltungsstruktur. Die integrierte Schaltungsstruktur weist eine Back-End-of-Line(BEOL)-Verdrahtungsschicht auf, die Metallleitungen und einen ersten Bereich zwischen den Metallleitungen umfasst. Die integrierte Schaltungsstruktur weist außerdem einen Metall-Isolator-Metall(MIM)-Kondensator auf, der in dem ersten Bereich ausgebildet ist. Der MIM-Kondensator weist auf: eine erste Elektrode, eine erste dielektrische Schicht, die auf der ersten Elektrode ausgebildet ist, eine zweite Elektrode, die auf der ersten dielektrischen Schicht ausgebildet ist, eine zweite dielektrische Schicht, die auf der zweiten Elektrode ausgebildet ist, eine dritte Elektrode, die auf der zweiten dielektrischen Schicht ausgebildet ist, eine dritte dielektrische Schicht, die auf der dritten Elektrode ausgebildet ist, eine vierte Elektrode, die auf der dritten dielektrischen Schicht ausgebildet ist, eine erste Metall-Zwischenverbindung, welche die erste Elektrode und die dritte Elektrode elektrisch verbindet, sowie eine zweite Metall-Zwischenverbindung, welche die zweite Elektrode mit der vierten Elektrode elektrisch verbindet.
  • Weitere Ausführungsformen der vorliegenden Offenbarung beziehen sich auf ein Verfahren zum Herstellen einer integrierten Schaltungsstruktur. Das Verfahren weist auf: Bilden einer Back-End-of-Line(BEOL)-Verdrahtungsschicht, die Metallleitungen und einen ersten Bereich zwischen den Metallleitungen umfasst. Das Verfahren weist außerdem auf: Bilden eines Metall-Isolator-Metall(MIM)-Kondensators in dem ersten Bereich durch Bilden einer ersten Elektrode, Bilden einer ersten dielektrischen Schicht auf der ersten Elektrode, Bilden einer zweiten Elektrode auf der ersten dielektrischen Schicht, Bilden einer zweiten dielektrischen Schicht auf der zweiten Elektrode, Bilden einer dritten Elektrode auf der zweiten dielektrischen Schicht, Bilden einer dritten dielektrischen Schicht auf der dritten Elektrode, Bilden einer vierten Elektrode auf der dritten dielektrischen Schicht, Bilden einer ersten Metall-Zwischenverbindung, um die erste Elektrode und die dritte Elektrode elektrisch zu verbinden, sowie Bilden einer zweiten Metall-Zwischenverbindung, um die zweite Elektrode mit der vierten Elektrode elektrisch zu verbinden.
  • Die vorstehende Kurzdarstellung ist nicht dazu gedacht, jede dargestellte Ausführungsform oder jede Realisierung der vorliegenden Offenbarung zu beschreiben.
  • Figurenliste
  • Die Zeichnungen, die in der vorliegenden Anmeldung enthalten sind, sind in die Beschreibung integriert und bilden einen Teil derselben. Sie stellen Ausführungsformen der vorliegenden Offenbarung dar und erläutern zusammen mit der Beschreibung die Grundgedanken der Offenbarung. Die Zeichnungen sind lediglich illustrativ für bestimmte Ausführungsformen und beschränken die Offenbarung nicht.
    • 1A ist eine Querschnittsansicht einer beispielhaften Halbleitereinheit, welche die Verwendung von Dummy-Elektroden in leeren Bereichen zwischen Metallleitungen darstellt.
    • 1B ist eine Draufsicht auf die beispielhafte Halbleitereinheit von 1A.
    • 2A ist eine Draufsicht auf beispielhafte Formen einer unteren Elektrode einer MIM-Kondensatoreinheit mit mehreren Platten in leeren Bereichen zwischen Metallleitungen gemäß Ausführungsformen.
    • 2B ist eine Draufsicht auf eine weitere beispielhafte Form einer unteren Elektrode eines MIM-Kondensators mit mehreren Platten gemäß Ausführungsformen.
    • 2C ist eine Draufsicht auf eine weitere beispielhafte Form einer unteren Elektrode eines MIM-Kondensators mit mehreren Platten gemäß Ausführungsformen.
    • 3A ist eine Querschnittsansicht, die eine MIM-Kondensatoreinheit mit mehreren Platten in einem Zwischenstadium des Herstellungsprozesses gemäß Ausführungsformen darstellt.
    • 3B ist eine Querschnittsansicht einer MIM-Kondensatoreinheit mit mehreren Platten von 3A nach zusätzlichen Herstellungsvorgängen gemäß Ausführungsformen.
    • 3C ist eine Querschnittsansicht einer MIM-Kondensatoreinheit mit mehreren Platten von 3B nach zusätzlichen Herstellungsvorgängen gemäß Ausführungsformen.
    • 3D ist eine Querschnittsansicht einer MIM-Kondensatoreinheit mit mehreren Platten von 3C nach zusätzlichen Herstellungsvorgängen gemäß Ausführungsformen.
    • 3E ist eine Querschnittsansicht einer MIM-Kondensatoreinheit mit mehreren Platten von 3D nach zusätzlichen Herstellungsvorgängen gemäß Ausführungsformen.
    • 3F ist eine Querschnittsansicht einer MIM-Kondensatoreinheit mit mehreren Platten von 3E nach zusätzlichen Herstellungsvorgängen gemäß Ausführungsformen.
    • 3G ist eine Querschnittsansicht einer MIM-Kondensatoreinheit mit mehreren Platten von 3F nach zusätzlichen Herstellungsvorgängen gemäß Ausführungsformen.
    • 3H ist eine Querschnittsansicht einer MIM-Kondensatoreinheit mit mehreren Platten von 3G nach zusätzlichen Herstellungsvorgängen gemäß Ausführungsformen.
    • 3l ist eine Querschnittsansicht einer MIM-Kondensatoreinheit mit mehreren Platten von 3H nach zusätzlichen Herstellungsvorgängen gemäß Ausführungsformen.
    • 3J ist eine Querschnittsansicht einer MIM-Kondensatoreinheit mit mehreren Platten von 3l nach zusätzlichen Herstellungsvorgängen gemäß Ausführungsformen.
    • 3K ist eine Querschnittsansicht einer MIM-Kondensatoreinheit mit mehreren Platten von 3J nach zusätzlichen Herstellungsvorgängen gemäß Ausführungsformen.
    • 3L ist eine Querschnittsansicht einer MIM-Kondensatoreinheit mit mehreren Platten von 3K nach zusätzlichen Herstellungsvorgängen gemäß Ausführungsformen.
    • 3M ist eine Querschnittsansicht einer MIM-Kondensatoreinheit mit mehreren Platten von 3L nach zusätzlichen Herstellungsvorgängen gemäß Ausführungsformen.
    • 4 ist eine Querschnittsansicht, die eine MIM-Kondensatoreinheit mit mehreren Platten gemäß Ausführungsformen darstellt.
    • 5 ist eine Querschnittsansicht, die eine MIM-Kondensatoreinheit mit mehreren Platten gemäß Ausführungsformen darstellt.
  • Es ist anzumerken, dass Elemente in den Figuren der Einfachheit und der Klarheit halber dargestellt sind. Es ist möglich, dass wohlverstandene Elemente, die in einer kommerziell realisierbaren Ausführungsform nützlich oder notwendig sein können, der Einfachheit halber und zur Unterstützung des Verständnisses der dargestellten Ausführungsformen nicht gezeigt sind.
  • DETAILLIERTE BESCHREIBUNG
  • Die vorliegende Offenbarung beschreibt Metall-Isolator-Metall(MIM)-Kondensatoreinheiten sowie Verfahren zum Herstellen von MIM-Kondensatoreinheiten. Insbesondere beschreibt die vorliegende Offenbarung MIM-Kondensatoreinheiten, die sich in Bereichen zwischen Metallspurleitungen einer Halbleitereinheit befinden und die zumindest vier oder fünf MIM-Platten aufweisen (d.h. eine abwechselnde Metall- und Isolatorschicht).
  • Hierin werden verschiedene Ausführungsformen der vorliegenden Offenbarung unter Bezugnahme auf die zugehörigen Zeichnungen beschrieben. Alternative Ausführungsformen können konzipiert werden, ohne von dem Umfang der vorliegenden Offenbarung abzuweichen. Es ist anzumerken, dass in der folgenden Beschreibung und in den Zeichnungen verschiedene Anbindungen und positionelle Beziehungen (z.B. über, unter, benachbart etc.) zwischen Elementen dargelegt sind. Diese Anbindungen und/oder positionellen Beziehungen können, wenn nicht etwas anderes spezifiziert ist, direkt oder indirekt sein, und die vorliegende Offenbarung soll in dieser Hinsicht nicht beschränkend sein. Dementsprechend kann sich eine Kopplung von Entitäten entweder auf eine direkte oder eine indirekte Kopplung beziehen, und bei einer positionellen Beziehung zwischen Entitäten kann es sich um eine direkte oder eine indirekte positionelle Beziehung handeln. Als ein Beispiel für eine indirekte positionelle Beziehung weisen Bezugnahmen in der vorliegenden Beschreibung auf ein Bilden einer Schicht „A“ über einer Schicht „B“ Situationen auf, in denen sich eine oder mehrere Zwischenschichten (z.B. eine Schicht „C“) zwischen der Schicht „A“ und der Schicht „B“ befinden, solange die relevanten Eigenschaften und Funktionalitäten der Schicht „A“ und der Schicht „B“ durch die Zwischenschicht(en) nicht wesentlich verändert werden.
  • Die folgenden Definitionen und Abkürzungen sind für die Interpretation der Ansprüche und der Beschreibung zu verwenden. Wie hierin verwendet, sollen die Begriffe „weist auf“, „aufweisend“, „umfasst“, „umfassend“, „weist auf“, „aufweisend“, „enthält“ oder „enthaltend“ oder irgendeine andere Variation derselben eine nicht-ausschließende Inklusion abdecken. Eine Zusammensetzung, ein Gemisch, ein Prozess, ein Verfahren, ein Gegenstand oder eine Vorrichtung, die oder der oder das eine Auflistung von Elementen aufweist, ist zum Beispiel nicht zwangsläufig auf nur jene Elemente beschränkt, sondern kann weitere Elemente umfassen, die nicht ausdrücklich aufgelistet sind oder die einer solchen Zusammensetzung, einem solchen Gemisch, einem solchen Prozess, einem solchen Verfahren, einem solchen Gegenstand oder einer solchen Vorrichtung inhärent sind.
  • Für die Zwecke der Beschreibung sollen sich die Begriffe „oberer/obere/oberes“, „unterer/untere/unteres“ , „rechts“, „links“, „vertikal“, „horizontal“, „oben“, „unten“ sowie Ableitungen derselben auf die beschriebenen Strukturen und Verfahren beziehen, wie sie in den Zeichnungsfiguren orientiert sind. Die Begriffe „liegt/liegen über“, „oben auf“, „auf der Oberseite“, „positioniert auf“ oder „positioniert oben auf“ bedeuten, dass ein erstes Element, wie beispielsweise eine erste Struktur, auf einem zweiten Element vorhanden ist, wie beispielsweise auf einer zweiten Struktur, wobei dazwischenliegende Elemente, wie beispielsweise eine Grenzflächenstruktur, zwischen dem ersten Element und dem zweiten Element vorhanden sein können. Der Begriff „direkter Kontakt“ bedeutet, dass ein erstes Element, wie beispielsweise eine erste Struktur, und ein zweites Element, wie beispielsweise eine zweite Struktur, ohne irgendwelche dazwischenliegenden leitenden Schichten, isolierenden Schichten oder Halbleiterschichten an der Grenzfläche der zwei Elemente verbunden sind. Es ist anzumerken, dass der Begriff „selektiv in Bezug auf“, wie zum Beispiel „ein erstes Element selektiv in Bezug auf ein zweites Element“, bedeutet, dass ein erstes Element geätzt werden kann und dass das zweite Element als ein Ätzstopp wirken kann.
  • Der Kürze halber ist es möglich, dass herkömmliche Techniken in Bezug auf die Fertigung von Halbleitereinheiten und integrierten Schaltungen (ICs) hierin im Detail beschrieben sind oder nicht beschrieben sind. Darüber hinaus können die verschiedenen Arbeiten und Prozessschritte, die hierin beschrieben sind, in ein umfassenderes Verfahren oder einen umfassenderen Prozess integriert werden, das oder der zusätzliche Schritte oder eine Funktionalität aufweist, die hierin nicht im Detail beschrieben sind. Insbesondere sind verschiedene Schritte bei der Herstellung von Halbleitereinheiten und ICs auf Grundlage von Halbleitern allgemein bekannt, und so werden im Interesse der Kürze viele herkömmlichen Schritte hierin nur kurz erwähnt oder werden vollständig weggelassen, ohne die allgemein bekannten Prozessdetails bereitzustellen.
  • Im Allgemeinen fallen die verschiedenen Prozesse, die zur Bildung eines MikroChips verwendet werden, der in einen IC gepackt wird, in vier allgemeine Kategorien, und zwar Schichtabscheidung, Entfernung/Ätzen, Halbleiter-Dotierung sowie Strukturieren/Lithographie.
  • Bei einer Abscheidung handelt es sich um irgendeinen Prozess, bei dem ein Material auf dem Wafer aufwächst, diesen beschichtet oder ein Material auf andere Weise auf den Wafer transferiert wird. Zur Verfügung stehende Technologien umfassen unter anderem physikalische Gasphasenabscheidung (PVD), chemische Gasphasenabscheidung (CVD), elektrochemische Abscheidung (ECD), Molekularstrahlepitaxie (MBE) und in jüngerer Zeit atomare Schichtabscheidung (ALD). Eine weitere Abscheidungstechnologie ist die plasmaunterstützte chemische Gasphasenabscheidung (PECVD), bei der es sich um einen Prozess handelt, bei dem die Energie innerhalb des Plasmas verwendet wird, um Reaktionen an der Wafer-Oberfläche zu induzieren, die ansonsten in Verbindung mit der herkömmlichen CVD höhere Temperaturen erfordern. Ein Beschuss mit energetischen Ionen während der PECVD-Abscheidung kann außerdem die elektrischen und mechanischen Eigenschaften der Schicht verbessern.
  • Bei Entfernung/Ätzen handelt es sich um irgendeinen Prozess, der Material von dem Wafer entfernt. Beispiele umfassen Ätzprozesse (entweder nasse oder trockene), chemisch-mechanische Planarisierung (CMP) und dergleichen. Ein Beispiel für einen Entfernungsprozess ist das Ionenstrahlätzen (IBE). Im Allgemeinen bezieht sich IBE (oder das Abtragen) auf ein trockenes Plasmaätz-Verfahren, bei dem eine entfernt liegende Ionen-/Plasma-Quelle mit einem ausgedehnten Strahl dazu verwendet wird, Substratmaterial durch ein physikalisches Mittel mit einem inerten Gas und/oder durch ein chemisches Mittel mit einem reaktiven Gas zu entfernen. Wie andere Trocken-Plasmaätz-Techniken weist IBE Vorteile auf, wie beispielsweise Ätzrate, Anisotropie, Selektivität, Gleichmäßigkeit, Aspektverhältnis sowie Minimierung der Substrat-Schädigung. Ein weiteres Beispiel für einen trockenen Entfernungsprozess ist reaktives lonenätzen (RIE). Im Allgemeinen wird bei RIE ein chemisch reaktives Plasma verwendet, um auf Wafern abgeschiedenes Material zu entfernen. Bei RIE wird das Plasma unter einem geringen Druck (Vakuum) durch ein elektromagnetisches Feld erzeugt. Hochenergetische Ionen aus dem RIE-Plasma greifen die Wafer-Oberfläche an und reagieren mit dieser, um Material zu entfernen.
  • Bei der Halbleiter-Dotierung handelt es sich um die Modifikation von elektrischen Eigenschaften durch Dotieren, zum Beispiel von Transistor-Sources und -Drains, im Allgemeinen durch Diffusion und/oder durch Ionenimplantation. Diesen Dotierungs-Prozessen folgt ein Tempern in einem Ofen oder ein schnelles thermisches Tempern („RTA“). Tempern dient dazu, die implantierten Dotierstoffe zu aktivieren. Schichten sowohl aus Leitern (z.B. Polysilicium, Aluminium, Kupfer etc.) als auch aus Isolatoren (z.B. verschiedene Formen von Siliciumdioxid, Siliciumnitrid etc.) werden dazu verwendet, Transistoren und ihre Komponenten zu verbinden und zu trennen. Ein selektives Dotieren verschiedener Bereiche des Halbleitersubstrats ermöglicht eine Änderung der Leitfähigkeit des Substrats bei Anlegen einer Spannung. Durch Erzeugen von Strukturen dieser verschiedenen Komponenten können Millionen von Transistoren aufgebaut und miteinander verdrahtet werden, um den komplexen Schaltungsaufbau einer modernen mikroelektronischen Einheit zu bilden.
  • Bei der Halbleiter-Lithographie handelt es sich um die Bildung von dreidimensionalen Relief-Bildern oder Strukturen auf dem Halbleitersubstrat für einen nachfolgenden Transfer der Struktur auf das Substrat. Bei der Halbleiter-Lithographie werden die Strukturen durch ein lichtempfindliches Polymer gebildet, das als ein Photoresist bezeichnet wird. Um die komplexen Strukturen, die einen Transistor ausmachen, und die vielen Drähte aufzubauen, welche die Millionen von Transistoren einer Schaltung verbinden, werden Lithographie- und Ätzstruktur-Transfer-Schritte viele Male wiederholt. Jede Struktur, die auf den Wafer gedruckt wird, wird in Bezug auf die zuvor gebildeten Strukturen ausgerichtet, und nach und nach werden die Leiter, Isolatoren und die selektiv dotierten Bereiche aufgebaut, um die endgültige Einheit zu bilden.
  • Sich nunmehr einer Übersicht über Technologien zuwendend, die spezifischer relevant für Aspekte der vorliegenden Offenbarung sind, bezieht sich ein MIM-Kondensator im Allgemeinen auf einen Kondensator, der eine gestapelte Struktur aufweist, die zum Beispiel eine untere Elektrode, eine obere Elektrode sowie einen Isolator zwischen diesen umfasst. Spezifischer wird ein MIM-Kondensator üblicherweise bei Anwendungen mit hohem Leistungsvermögen in der CMOS-Technologie verwendet. Typischerweise weist der MIM-Kondensator eine Sandwich-Struktur auf und kann als ein Kondensator mit parallelen Platten beschrieben werden. Das obere Metall des Kondensators (CTM) ist durch eine dünne isolierende dielektrische Schicht von dem unteren Metall des Kondensators (CBM) getrennt. Beide parallele Platten sind typischerweise aus TiN gebildet, das durch die Verwendung mehrerer Photolithographie-Photomaskierungsschritte strukturiert und geätzt wird. Die dünne isolierende dielektrische Schicht ist typischerweise aus Siliciumoxid, Siliciumnitrid oder dielektrischen Materialien mit einem hohen K hergestellt, wie beispielsweise aus Al2O3, HfO2, ZrO2 oder einer Kombination derselben, die zum Beispiel durch chemische Gasphasenabscheidung (CVD) abgeschieden werden. Bestimmte der vorliegenden Ausführungsformen beschreiben MIM-Kondensatoren, die mehr als die üblichen drei Platten aufweisen (d.h. eine erste Metallschicht, eine Isolatorschicht sowie eine zweite Metallschicht). Bestimmte der vorliegenden Ausführungsformen beschreiben zum Beispiel MIM-Kondensatoren mit vier und fünf Platten.
  • Wie hierin erörtert, können die hohe Frequenz und die geringe Leistung von Halbleiter-Chips eine große Anzahl von Entkopplungs-Kondensatoren erfordern. Bei diesen Anwendungen wurden MIM-Kondensatoren für eine Entkopplung verwendet. Diese Kondensatoren können wertvolle Chip-Fläche beanspruchen und die Gesamtabmessung des Chips beeinflussen.
  • In bestimmten Bereichen eines Halbleiter-Wafers, wie beispielsweise in Metallfüllungs-Formbereichen zwischen Metall-Verdrahtungen, können häufig Dummy-Metallfüllungs-Formen eingesetzt werden. Diese Dummy-Metallfüllungs-Formen tragen in keinerlei Weise zu dem Leistungsvermögen der Einheit bei und werden in der Hauptsache dazu verwendet, die Anforderungen in Bezug auf eine gleichmäßige Metalldichte für eine effektive chemisch-mechanische Planarisierung (CMP), ein effektives Strukturieren und Ätzen zu erfüllen. Mit anderen Worten kann sich das CMP-Leistungsvermögen verschlechtern, wenn es Bereiche mit geringen Mengen von Metallobjekten gibt. Dort, wo Anforderungen in Bezug auf eine gleichmäßige Metalldichte nicht erfüllt werden, können ein Vertiefen des Metalls und/oder eine Erosion des Dielektrikums auftreten, so dass dies unerwünschterweise zu einer Oberflächen-Topographie nach dem CMP führt (d.h. anstatt zu einer Planarisierung). Bestimmte der vorliegenden Ausführungsformen ordnen die MIM-Kondensatoren in diesen Metallfüllungs-Formbereichen an, anstatt Dummy-Metallfüllungs-Formen zu verwenden. Auf diese Weise besteht der Vorteil einer Verwendung von Entkopplungs-Kondensatoren in Bereichen, die normalerweise nicht funktionell sind. Darüber hinaus weisen die MIM-Kondensatoren Metallschichten auf, die in der Lage sind, zu einem Erfüllen der Anforderungen von CMP und anderen Prozessen in Bezug auf eine gleichmäßige Metalldichte beizutragen.
  • Nunmehr auf die Zeichnungen bezugnehmend, in denen gleiche Bezugszeichen die gleichen oder gleichartige Elemente darstellen, und zunächst auf die 1A und 1B, ist eine beispielhafte Halbleitereinheit 100 gezeigt, die Dummy-Elektroden 106 aufweist (d.h. Metallfüllungs-Formen). Bei diesem Beispiel ist ein Halbleiter-Wafer oder ein Halbleitersubstrat 102 bereitgestellt. Es sind mehrere Back-End-of-Line(BEOL)-Verdrahtungsebenen einer integrierten Halbleiterschaltung gezeigt. In einem unteren Bereich von 1A sind Verdrahtungsleitungen 104 auf einer unteren Ebene sowie Dummy-Elektroden 106 ausgebildet. Eine Deckschicht 108 ist auf den Verdrahtungsleitungen 104 auf der unteren Ebene und den Dummy-Elektroden 106 ausgebildet. Die Deckschicht 108 kann zum Beispiel aus AIN, SiN, SiCN, einer Kombination derselben oder aus irgendeinem anderen geeigneten dielektrischen Material gebildet sein. Auf der unteren Deckschicht 108 ist eine dielektrische Zwischenschicht 110 ausgebildet, und mehrere Metall-Zwischenverbindungen 112 erstrecken sich durch die dielektrische Schicht 110 so nach oben, dass sie sich in Kontakt mit Verdrahtungsleitungen 114 auf einer oberen Ebene befinden. Auf den Verdrahtungsleitungen 114 auf der oberen Ebene ist eine obere Deckschicht 116 ausgebildet. Die obere Deckschicht 116 kann aus den gleichen oder gleichartigen Materialien wie die untere Deckschicht 116 hergestellt sein. Da die Dummy-Elektroden 106 in der Halbleitereinheit nicht funktionell sind, stellen sie keine Verbindung mit den (nicht gezeigten) unteren Verdrahtungsleitungen oder den oberen Verdrahtungsleitungen 114 her. Wie vorstehend erwähnt, weisen sie jedoch den Effekt auf, die Metalldichte in dem ansonsten „leeren“ Bereich zwischen den aktiven Metallleitungen zu erhöhen (z.B. einer Kombination der Verdrahtungsleitung 114 auf der oberen Ebene, der Metall-Zwischenverbindung 112 und der Verdrahtungsleitung 104 auf der unteren Ebene). Dadurch wird eine Verbesserung des Leistungsvermögens der CMP-Bearbeitung ermöglicht, die Dummy-Elektroden 106 beanspruchen jedoch wertvollen Raum auf dem Halbleiter-Wafer.
  • Nunmehr bezugnehmend auf 1B zeigt diese Figur eine Draufsicht auf die in 1A gezeigte Halbleitereinheit 100 entlang einer Schnittlinie A-A. 1B zeigt ein Beispiel für eine Anordnungsstruktur der Dummy-Elektroden 106 und wieviel Raum sie in den leeren Flächen zwischen benachbarten Verdrahtungsleitungen 104 auf der unteren Ebene einnehmen.
  • Nunmehr bezugnehmend auf die 2A bis 2C sind mehrere beispielhafte Formen einer unteren Elektrode (oder einer ersten Elektrode 202) einer MIM-Kondensatoreinheit 200 gemäß bestimmten Ausführungsformen gezeigt. In jeder dieser Figuren weist die erste Elektrode 202 variierende Formen mit verschiedenen Strukturen von Öffnungen 204 in dieser auf. Es ist anzumerken, dass die erste Elektrode 202 irgendeine geeignete Form aufweisen kann, welche die Anforderungen von CMP in Bezug auf eine gleichmäßige Metalldichte erfüllt und welche die MIM-Kondensatordichte über dem gesamten Halbleiter-Wafer-Substrat 102 optimiert.
  • Nunmehr bezugnehmend auf die 3A bis 3M und zunächst auf 3A ist eine beispielhafte Ausführungsform gezeigt, die ein Verfahren zum Herstellen einer MIM-Kondensator(MIMcap)-Einheit 300 mit vier Platten darstellt. Wie in 3A gezeigt, wird ein Substrat 302 bereitgestellt. Bei bestimmten Beispielen kann es sich bei dem Substrat um eine dielektrische Zwischenschicht handeln, die auf einer anderen (nicht gezeigten) BEOL-Schicht abgeschieden wurde. In 3A ist eine erste (untere) Verdrahtungsebene 304 für eine beispielhafte Halbleitereinheit nach der Beendigung von mehreren BEOL-Prozessschritten gezeigt (z.B. nach dem Ätzen, der Abscheidung, der Metallfüllung, der Planarisierung etc.). Bei bestimmten Ausführungsformen wird eine erste Elektrode 306 (oder eine untere Elektrode) der MIMcap-Einheit 300 gebildet, anstatt Dummy-Elektroden zu bilden, wie vorstehend unter Bezugnahme auf die 1A und 1B beschrieben. Wie in 3B gezeigt, wird eine Deckschicht oder eine erste isolierende Schicht 308 oben auf der ersten Verdrahtungsebene 304, der ersten Elektrode 306 und der umgebenden dielektrischen Zwischenschicht oder dem umgebenden Substrat 302 gebildet. Bei dieser ersten Elektrode 306 handelt es sich um die unterste Platte des MIM-Kondensators. Die Deckschicht (oder die erste isolierende Schicht 308) kann zum Beispiel aus AIN, SiN, SiCN, einer Kombination derselben oder aus irgendeinem anderen geeigneten dielektrischen Material gebildet werden.
  • Nunmehr bezugnehmend auf 3C wird eine Metallplatte 310 auf der Oberseite der ersten isolierenden Schicht 308 gebildet. Wenngleich in 3C nicht gezeigt, kann die Metallplatte 310 zunächst durch einen Abscheidungsprozess für eine dicke Metallplatte gefolgt von einem Strukturieren der gewünschten Form gebildet werden. Bei bestimmten Ausführungsformen besteht die Metallplatte zumindest aus einem von TiN, TaN, AI oder irgendeinem anderen geeigneten Elektrodenmaterial. Wie in 3C gezeigt, ist die Position der Metallplatte 310 in Bezug auf die Position der ersten Elektrode 306 etwas nach links versetzt. Insbesondere befindet sich die rechte Seite der Metallplatte 310 weiter links als die rechte Seite der ersten Elektrode 306, und die linke Seite der Metallplatte 310 befindet sich außerdem weiter links als die linke Seite der ersten Elektrode 306. Bei bestimmten Ausführungsformen kann die Metallplatte 310 in Bezug auf die erste Elektrode 306 ausgerichtet werden.
  • Nunmehr bezugnehmend auf 3D wird eine erste dielektrische Zwischenschicht 312 nach einem Strukturieren der Metallplatte 310 in 3C so gebildet, dass sie die Oberflächen der ersten isolierenden Schicht 308 und der Metallplatte 310 bedeckt. Danach wird ein CMP-Prozess oder dergleichen verwendet, um die Oberfläche der ersten dielektrischen Zwischenschicht 312 zu planarisieren und die obere Oberfläche der Metallplatte 310 freizulegen.
  • Nunmehr bezugnehmend auf 3E wird durch Abscheidungs- und Strukturierungsprozesse eine zweite Elektrode 314 auf der Metallplatte 310 gebildet. Bei bestimmten Ausführungsformen sind die Abmessung und die Position der zweiten Elektrode 314 im Allgemeinen die gleichen wie jene der dicken Metallplatte 310. Es kann auch in Betracht gezogen werden, dass es sich bei der Kombination der Metallplatte 310 und der zweiten Elektrode 314 tatsächlich um eine zweite Elektrode der MIMcap-Einheit 300 handelt. Bei bestimmten Ausführungsformen besteht die zweite Elektrode 314 aus TiN, TaN oder irgendeinem anderen geeigneten Elektrodenmaterial. Das Material dieser zweiten Elektrode 314 kann das gleiche wie das Material der darunterliegenden Metallplatte 310 sein oder kann sich von diesem unterscheiden. Es sollte wahrgenommen werden, dass in dem Fall, in dem das Material der zweiten Elektrode 314 das gleiche wie das Material der darunterliegenden Metallplatte 310 ist, diese zwei Schichten in einem einzigen Schritt gebildet werden können und als eine einzige Schicht betrachtet werden können.
  • Nunmehr bezugnehmend auf 3F wird eine erste dielektrische Schicht 316 mit einem hohen κ konform über der gesamten Oberfläche des Wafers abgeschieden. Die konforme erste dielektrische Schicht 316 mit einem hohen κ besteht aus einem dielektrischen Material mit einem hohen κ. Im Allgemeinen bezieht sich der Begriff hohes κ auf ein Material mit einer hohen Dielektrizitätskonstante (κ, Kappa) im Vergleich zu Siliciumdioxid. Dielektrika mit einem hohen κ werden in Herstellungsprozessen für Halbleiter verwendet, in denen sie üblicherweise dazu verwendet werden, ein Gate-Dielektrikum aus Siliciumdioxid oder eine andere dielektrische Schicht einer Einheit zu ersetzen. Somit bezieht sich der Begriff hohes κ, wie er hierin verwendet wird, auf ein Material mit einer relativen Dielektrizitätskonstanten κ, die viel höher als jene von Siliciumdioxid ist (z.B. eine Dielektrizitätskonstante κ = 25 für Hafniumoxid (HfO2) anstatt 4 für Siliciumdioxid). Beispiele für geeignete dielektrische Materialien mit einem hohen κ umfassen HfO2, Al2O3, ZrO2 und/oder Lanthanoxid (La2O3), sind jedoch nicht auf diese beschränkt. Aufgrund der konformen Beschichtung mit der ersten dielektrischen Schicht 316 mit einem hohen κ weist die Oberfläche einen bestimmten Grad an Topographie auf, bei der die obere Ebene der dielektrischen Schicht 316 mit einem hohen κ in dem Bereich der zweiten Elektrode 314 höher ist. Es sollte jedoch wahrgenommen werden, dass die erste dielektrische Schicht 316 mit einem hohen κ bei anderen Ausführungsformen dicker abgeschieden und danach mit CMP planarisiert werden kann (wobei dies einen zusätzlichen Prozessschritt erfordert).
  • Nunmehr bezugnehmend auf 3G wird eine dritte Elektrode 318 auf der Oberseite der ersten dielektrischen Schicht 316 mit einem hohen κ gebildet. Wenngleich in 3G nicht gezeigt, kann die dritte Elektrode 318 zunächst durch einen Abscheidungsprozess gefolgt von einem Strukturieren für die gewünschte Form gebildet werden. Bei bestimmten Ausführungsformen besteht die dritte Elektrode 318 aus zumindest einem von TiN, TaN, AI oder irgendeinem anderen geeigneten Elektrodenmaterial. Wie in 3G gezeigt, befindet sich die Position der dritte Elektrode 318 in Bezug auf die Position der zweiten Elektrode 314 etwas nach rechts versetzt. Insbesondere befindet sich die rechte Seite der dritten Elektrode 318 weiter rechts als die rechte Seite der zweiten Elektrode 314, und die linke Seite der dritten Elektrode 318 befindet sich außerdem weiter rechts als die linke Seite der zweiten Elektrode 314. Bei der in 3G gezeigten beispielhaften Ausführungsform entspricht die Position der rechten Seite der dritten Elektrode 318 grob der Position der rechten Seite der ersten Elektrode 306. Diese allgemeine Ausrichtung ermöglicht eine nachfolgende elektrische Verbindung zwischen der ersten Elektrode 306 und der dritten Elektrode 318, wie nachstehend im Detail erörtert.
  • Nunmehr bezugnehmend auf 3H wird eine zweite dielektrische Schicht 320 mit einem hohen κ konform über der gesamten Oberfläche des Wafers abgeschieden. Die konforme zweite dielektrische Schicht 320 mit einem hohen κ weist ein dielektrisches Material mit einem hohen κ auf, welches das gleiche wie das Material der ersten dielektrischen Schicht 316 mit einem hohen κ sein kann oder sich von diesem unterscheiden kann. Wiederum weist die Oberfläche aufgrund der konformen Beschichtung mit der ersten dielektrischen Schicht 316 mit einem hohen κ und der zweiten dielektrischen Schicht 320 mit einem hohen κ ebenso wie der Bildung der zweiten Elektrode 314 und der dritten Elektrode 318 einen bestimmten Grad an Topographie auf.
  • Nunmehr bezugnehmend auf 3l wird mittels Abscheidungs- und Strukturierungsprozessen eine vierte Elektrode 322 auf der zweiten dielektrischen Schicht 320 mit einem hohen κ gebildet. Das Material dieser vierten Elektrode 322 kann das gleiche wie die Materialien der darunterliegenden Elektrodenschichten sein oder kann sich von diesen unterscheiden. Bei bestimmten Ausführungsformen sind die Abmessung und die Position der vierten Elektrode 322 im Allgemeinen die gleichen wie jene der dicken Metallplatte 310 und der zweiten Elektrode 314, auch wenn dies nicht unbedingt erforderlich ist. Diese allgemeine Ausrichtung der vierten Elektrode 322 ermöglicht eine nachfolgende elektrische Verbindung zwischen der zweiten Elektrode 314 und der vierten Elektrode 322, wie nachstehend im Detail erörtert.
  • Nunmehr bezugnehmend auf 3J wird eine zweite dielektrische Zwischenschicht 324 über der gesamten Oberfläche des Wafers so gebildet, dass sie die vierte Elektrode 322 und die zweite dielektrische Schicht 320 mit einem hohen κ bedeckt. Danach wird ein CMP-Prozess an der zweiten dielektrischen Zwischenschicht 324 durchgeführt, um die Oberfläche der Schicht zu planarisieren.
  • Bei diesem Beispiel weist die vierte Elektrode 322 ein gestuftes Oberflächenprofil auf, das einem Oberflächenprofil der darunterliegenden zweiten dielektrischen Schicht 320 mit einem hohen κ entspricht (d.h., da die zweite dielektrische Schicht 320 mit einem hohen κ konform über sämtlichen Oberflächen gebildet wurde), und die dritte Elektrode 318 weist ein gestuftes Oberflächenprofil auf, das einem Oberflächenprofil der darunterliegenden ersten dielektrischen Schicht 316 mit einem hohen κ entspricht (d.h., da die erste dielektrische Schicht 316 mit einem hohen κ über sämtlichen Oberflächen konform gebildet wurde).
  • Nunmehr bezugnehmend auf 3K werden Ätzprozesse (z.B. RIE) an dem Wafer durchgeführt, um Zwischenräume zur Bildung der nachfolgenden Metall-Zwischenverbindungen und oberen Metallverdrahtungsleitungen der BEOL-Schichten zu bilden. Dabei wird in der Umgebung der MIMcap-Einheit 300 ein Ätzen durchgeführt, um nachfolgende elektrische Verbindungen der verschiedenen MIMcap-Elektroden zu ermöglichen.
  • Nunmehr bezugnehmend auf 3L werden Metall-Zwischenverbindungen 328A und 328B sowie eine zweite Verdrahtungsebene 326 gebildet (d.h. Verdrahtungsleitungen auf einer oberen Ebene). In Bereichen, die nicht der MIMcap-Einheit 300 entsprechen, verbinden die Metall-Zwischenverbindungen 328A die erste Verdrahtungsebene 304 elektrisch mit der oberen Ebene oder der zweiten Verdrahtungsebene 326 der BEOL-Schichten. In Bereichen, die der MIMcap-Einheit 300 entsprechen, verbinden die Metall-Zwischenverbindungen 328B jedoch die verschiedenen Elektroden elektrisch miteinander. Insbesondere verbindet eine rechte der Metall-Zwischenverbindungen 328B die erste Elektrode 306 elektrisch mit der dritten Elektrode 318, und eine linke der Metall-Zwischenverbindungen 328B verbindet die zweite Elektrode 314 elektrisch mit der vierten Elektrode 322.
  • Bei bestimmten Ausführungsformen sind sämtliche Elektroden (d.h. 306, 310, 314, 318 sowie 322) sämtlich vertikal ausgerichtet. In diesem Fall ist ein Durchgangsloch, das größer als die Durchkontaktabmessung ist, dort auf der Elektrode notwendig, wo kein Kontakt zwischen der Elektrode und dem Durchkontakt besteht, um zu ermöglichen, dass der Durchkontakt 328 hindurch verläuft. Bei diesen Ausführungsformen wird dort ein Durchgangsloch hergestellt, wo ein Kontakt zwischen der Elektrode und dem Durchkontakt notwendig ist.
  • Nunmehr bezugnehmend auf 3M wird oben auf der zweiten Verdrahtungsebene 326 und der umgebenden zweiten dielektrischen Zwischenschicht 324 eine weitere Deckschicht oder eine zweite isolierende Schicht 330 gebildet. Somit wird eine MIMcap-Einheit 300 mit vier Platten gebildet, bei der zwei der vier Elektroden auf der rechten Seite verbunden sind und die anderen zwei der Elektroden auf der linken Seite miteinander verbunden sind. Darüber hinaus ist bei bestimmten Ausführungsformen nur eine zusätzliche Maske erforderlich (d.h. zur Bildung der Metallplatte 310), und es sind nur vier zusätzliche Prozessschritte erforderlich, um die Struktur der MIMcap-Einheit 300 in einen leeren Zwischenraum zwischen den Verdrahtungsleitungen in der BEOL-Schicht zu integrieren. Bei weiteren Ausführungsformen kann mehr als eine zusätzliche Maske dort erforderlich sein, wo es zusätzlich zu einem Strukturieren der Metallplatte 310 außerdem notwendig ist, die dritte Elektrode 318 und die zweite dielektrische Schicht 320 mit einem hohen κ zu strukturieren. Bei diesen Ausführungsformen kann es sich um mehr als vier zusätzliche Prozessschritte handeln.
  • Nunmehr bezugnehmend auf 4 ist eine beispielhafte Ausführungsform gezeigt, die ein Verfahren zum Herstellen einer MIM-Kondensator(MIMcap)-Einheit 400 mit fünf Platten darstellt. Ein Großteil des Prozessablaufs für die MIMcap-Einheit 400 in 4 ist der gleiche wie jener, der vorstehend unter Bezugnahme auf die 3A bis 3M beschrieben wurde, und wird hier nicht wiederholt. Es gibt jedoch bestimmte Unterschiede. Erstens ist die Position der Metallplatte 310 in Bezug auf die Ausführungsform der 3A bis 3M nach rechts verschoben. Zweitens ist ein Teil der ersten dielektrischen Zwischenschicht 312 zwischen die Metallplatte 310 und die zweite Elektrode 314 eingefügt, um diese Schichten elektrisch voneinander zu trennen. Drittens unterscheiden sich die Ätztiefen für die Metall-Zwischenverbindungen 428 in dem Bereich, welcher der MIMcap-Einheit 400 entspricht. Insbesondere wird die Metall-Zwischenverbindung 428 auf der linken Seite der MIMcap-Einheit bis hinunter zu einer Tiefe gebildet, die einer oberen Oberfläche der ersten Elektrode 306 entspricht, so dass dadurch die erste Elektrode 306, die zweite Elektrode 314 und die vierte Elektrode 322 elektrisch verbunden werden. Darüber hinaus wird die Metall-Zwischenverbindung 428 auf der rechten Seite der MIMcap-Einheit 400 bis hinunter zu einer Tiefe gebildet, die einer oberen Oberfläche der Metallplatte 310 entspricht, so dass dadurch die Metallplatte 310 mit der dritten Elektrode 318 elektrisch verbunden wird. Daher gibt es bei dieser MIMcap-Einheit 400 fünf vollständig leitfähige Schichten, drei auf der linken Seite und zwei auf der rechten Seite. Die Metallplatte 310 kann bei dieser Ausführungsform als eine fünfte Elektrode betrachtet werden.
  • Bei diesem Beispiel weist die vierte Elektrode 322 ein gestuftes Oberflächenprofil auf, das einem Oberflächenprofil der darunterliegenden zweiten dielektrischen Schicht 320 mit einem hohen κ entspricht (d.h., da die zweite dielektrische Schicht 320 mit einem hohen κ konform über sämtlichen Oberflächen gebildet wurde), und die dritte Elektrode 318 weist ein gestuftes Oberflächenprofil auf, das einem Oberflächenprofil der darunterliegenden ersten dielektrischen Schicht 316 mit einem hohen κ entspricht (d.h., da die erste dielektrische Schicht 316 mit einem hohen κ konform über sämtlichen Oberflächen gebildet wurde).
  • Nunmehr bezugnehmend auf 5 ist eine beispielhafte Ausführungsform gezeigt, die ein Verfahren zur Herstellung einer weiteren MIM-Kondensator(MIMcap)-Einheit 500 mit fünf Platten darstellt. Ein Großteil des Prozessablaufs für die MIMcap-Einheit 500 in 5 ist der gleiche wie jener, der vorstehend in Bezug auf 4 beschrieben wurde, und wird hier nicht wiederholt. Es gibt jedoch bestimmte Unterschiede. Insbesondere wird zwischen der Metallplatte 310 und der ersten dielektrischen Zwischenschicht 312 eine dritte dielektrische Schicht 502 mit einem hohen κ gebildet. Bei bestimmten Ausführungsformen wird die zweite dielektrische Schicht 502 mit einem hohen κ auf der Oberseite der Metallplatte 310 gebildet, und nachfolgend werden sie zusammen strukturiert. Bei weiteren Ausführungsformen wird die zweite dielektrische Schicht 502 mit einem hohen κ nicht strukturiert, und sie bedeckt die gesamte Oberfläche ähnlich wie die anderen hierin beschriebenen dielektrischen Schichten mit einem hohen κ. Somit gibt es bei der in 5 gezeigten Ausführungsform eine dielektrische Doppelschicht-Struktur zwischen der Metallplatte 310 und der zweiten Elektrode 314, und diese dielektrische Doppelschicht-Struktur umfasst sowohl die erste dielektrische Zwischenschicht 312 als auch die dritte dielektrische Schicht 502 mit einem hohen κ.
  • Die Beschreibungen der verschiedenen Ausführungsformen wurden zu Zwecken der Darstellung präsentiert und sollen nicht erschöpfend oder auf die offenbarten Ausführungsformen beschränkt sein. Für einen Fachmann sind viele Modifikationen und Variationen ersichtlich, ohne von dem Umfang und dem Inhalt der beschriebenen Ausführungsformen abzuweichen. Die hierin verwendete Terminologie wurde gewählt, um die Grundgedanken der Ausführungsformen, die praktische Anwendung oder eine technische Verbesserung gegenüber auf dem Markt zu findenden Technologien am besten zu erläutern oder andere Fachleute in die Lage zu versetzen, die hierin offenbarten Ausführungsformen zu verstehen.

Claims (20)

  1. Integrierte Schaltungsstruktur, die aufweist: eine Back-End-of-Line(BEOL)-Verdrahtungsschicht, die Metallleitungen und einen ersten Bereich zwischen den Metallleitungen aufweist; und einen Metall-Isolator-Metall(MIM)-Kondensator, der in dem ersten Bereich ausgebildet ist, wobei der MIM-Kondensator aufweist: eine erste Elektrode, eine erste dielektrische Schicht, die auf der ersten Elektrode ausgebildet ist, eine zweite Elektrode, die auf der ersten dielektrischen Schicht ausgebildet ist, eine zweite dielektrische Schicht, die auf der zweiten Elektrode ausgebildet ist, eine dritte Elektrode, die auf der zweiten dielektrischen Schicht ausgebildet ist, eine dritte dielektrische Schicht, die auf der dritten Elektrode ausgebildet ist, eine vierte Elektrode, die auf der dritten dielektrischen Schicht ausgebildet ist, eine erste Metall-Zwischenverbindung, welche die erste Elektrode und die dritte Elektrode elektrisch verbindet, und eine zweite Metall-Zwischenverbindung, welche die zweite Elektrode mit der vierten Elektrode elektrisch verbindet.
  2. Integrierte Schaltungsstruktur nach Anspruch 1, wobei sich rechte Seiten der ersten und der dritten Elektrode weiter nach rechts erstrecken als rechte Seiten der zweiten und der vierten Elektrode und wobei sich linke Seiten der zweiten und der vierten Elektrode weiter nach links erstrecken als linke Seiten der ersten und der dritten Elektrode.
  3. Integrierte Schaltungsstruktur nach Anspruch 1, wobei die BEOL-Verdrahtungsschicht Verdrahtungen auf einer unteren Ebene aufweist und die erste Elektrode aus einem gleichen Material und auf einer gleichen Ebene wie die Verdrahtungen auf der unteren Ebene ausgebildet ist.
  4. Integrierte Schaltungsstruktur nach Anspruch 1, wobei die erste dielektrische Schicht eine Deckschicht ist, die zumindest ein Material aufweist, das aus der Gruppe ausgewählt ist, die aus AIN, SiN und SiCN besteht.
  5. Integrierte Schaltungsstruktur nach Anspruch 1, wobei die dritte Elektrode ein gestuftes Oberflächenprofil aufweist, das einem Oberflächenprofil der darunterliegenden zweiten dielektrischen Schicht entspricht, und die vierte Elektrode ein gestuftes Oberflächenprofil aufweist, das einem Oberflächenprofil der darunterliegenden dritten dielektrischen Schicht entspricht.
  6. Integrierte Schaltungsstruktur nach Anspruch 1, wobei die erste dielektrische Schicht, die zweite dielektrische Schicht und die dritte dielektrische Schicht jeweils zumindest ein Material aufweisen, das aus der Gruppe ausgewählt ist, die aus HfO2, Al2O3, ZrO2 und Lanthanoxid (La2O3) besteht.
  7. Integrierte Schaltungsstruktur nach Anspruch 1, wobei sich die erste Metall-Zwischenverbindung vertikal durch die dritte dielektrische Schicht, die dritte Elektrode, die zweite dielektrische Schicht und die erste dielektrische Schicht hindurch so erstreckt, dass sie sich in Kontakt mit der ersten Elektrode befindet, und wobei sich die zweite Metall-Zwischenverbindung vertikal durch die vierte Elektrode, die dritte dielektrische Schicht und die zweite dielektrische Schicht hindurch so erstreckt, dass sie sich in Kontakt mit der zweiten Elektrode befindet.
  8. Integrierte Schaltungsstruktur, die aufweist: eine Back-End-of-Line(BEOL)-Verdrahtungsschicht, die Metallleitungen und einen ersten Bereich zwischen den Metallleitungen aufweist; und einen Metall-Isolator-Metall(MIM)-Kondensator, der in dem ersten Bereich ausgebildet ist, wobei der MIM-Kondensator aufweist: eine erste Elektrode, eine erste dielektrische Schicht, die auf der ersten Elektrode ausgebildet ist, eine zweite Elektrode, die auf der ersten dielektrischen Schicht ausgebildet ist, eine dielektrische Zwischenschicht, die auf der zweiten Elektrode ausgebildet ist, eine dritte Elektrode, die auf der dielektrischen Zwischenschicht ausgebildet ist, eine zweite dielektrische Schicht, die auf der dritten Elektrode ausgebildet ist, eine vierte Elektrode, die auf der zweiten dielektrischen Schicht ausgebildet ist, eine dritte dielektrische Schicht, die auf der vierten Elektrode ausgebildet ist, eine fünfte Elektrode, die auf der dritten dielektrischen Schicht ausgebildet ist, eine erste Metall-Zwischenverbindung, welche die erste Elektrode, die dritte Elektrode und die fünfte Elektrode elektrisch verbindet, und eine zweite Metall-Zwischenverbindung, welche die zweite Elektrode und die vierte Elektrode elektrisch verbindet.
  9. Integrierte Schaltungsstruktur nach Anspruch 8, die des Weiteren eine vierte dielektrische Schicht aufweist, die zwischen der zweiten Elektrode und der dielektrischen Zwischenschicht ausgebildet ist.
  10. Integrierte Schaltungsstruktur nach Anspruch 8, wobei sich linke Seiten der ersten, der dritten und der fünften Elektrode weiter nach links erstrecken als linke Seiten der zweiten und der vierten Elektrode und wobei sich rechte Seiten der zweiten und der vierten Elektrode weiter nach rechts erstrecken als rechte Seiten der dritten und der fünften Elektrode.
  11. Integrierte Schaltungsstruktur nach Anspruch 8, wobei die BEOL-Verdrahtungsschicht Verdrahtungen auf einer unteren Ebene aufweist und die erste Elektrode aus einem gleichen Material und auf einer gleichen Ebene wie die Verdrahtungen auf der unteren Ebene ausgebildet ist.
  12. Integrierte Schaltungsstruktur nach Anspruch 8, wobei die erste dielektrische Schicht eine Deckschicht ist, die zumindest ein Material aufweist, das aus der Gruppe ausgewählt ist, die aus AIN, SiN und SiCN besteht.
  13. Integrierte Schaltungsstruktur nach Anspruch 8, wobei die vierte Elektrode ein gestuftes Oberflächenprofil aufweist, das einem Oberflächenprofil der zweiten dielektrischen Schicht entspricht, und die fünfte Elektrode ein gestuftes Oberflächenprofil aufweist, das einem Oberflächenprofil der dritten dielektrischen Schicht entspricht.
  14. Integrierte Schaltungsstruktur nach Anspruch 8, wobei die erste dielektrische Schicht, die zweite dielektrische Schicht, die dielektrische Zwischenschicht sowie die dritte dielektrische Schicht jeweils zumindest ein Material aufweisen, das aus der Gruppe ausgewählt ist, die aus HfO2, Al2O3, ZrO2 und Lanthanoxid (La203) besteht.
  15. Integrierte Schaltungsstruktur nach Anspruch 8, wobei sich die erste Metall-Zwischenverbindung vertikal durch die fünfte Elektrode, die dritte dielektrische Schicht, die zweite dielektrische Schicht, die dritte Elektrode, die dielektrische Zwischenschicht und die erste dielektrische Schicht hindurch so erstreckt, dass sie sich in Kontakt mit der ersten Elektrode befindet, und wobei sich die zweite Metall-Zwischenverbindung vertikal durch die dritte dielektrische Schicht, die vierte Elektrode, die dritte dielektrische Schicht und die dielektrische Zwischenschicht hindurch so erstreckt, dass sie sich in Kontakt mit der zweiten Elektrode befindet.
  16. Verfahren zum Herstellen einer integrierten Schaltungsstruktur, wobei das Verfahren aufweist: Bilden einer Back-End-of-Line(BEOL)-Verdrahtungsschicht, die Metallleitungen und einen ersten Bereich zwischen den Metallleitungen aufweist; und Bilden eines Metall-Isolator-Metall(MIM)-Kondensators in dem ersten Bereich durch Bilden einer ersten Elektrode, Bilden einer ersten dielektrischen Schicht auf der ersten Elektrode, Bilden einer zweiten Elektrode auf der ersten dielektrischen Schicht, Bilden einer zweiten dielektrischen Schicht auf der zweiten Elektrode, Bilden einer dritten Elektrode auf der zweiten dielektrischen Schicht, Bilden einer dritten dielektrischen Schicht auf der dritten Elektrode, Bilden einer vierten Elektrode auf der dritten dielektrischen Schicht, Bilden einer ersten Metall-Zwischenverbindung, um die erste Elektrode und die dritte Elektrode elektrisch zu verbinden, und Bilden einer zweiten Metall-Zwischenverbindung, um die zweite Elektrode mit der vierten Elektrode elektrisch zu verbinden.
  17. Verfahren nach Anspruch 16, wobei sich rechte Seiten der ersten und der dritten Elektrode weiter nach rechts erstrecken als rechte Seiten der zweiten und der vierten Elektrode und wobei sich linke Seiten der zweiten und der vierten Elektrode weiter nach links erstrecken als linke Seiten der ersten und der dritten Elektrode.
  18. Verfahren nach Anspruch 16, wobei die BEOL-Verdrahtungsschicht Verdrahtungen auf einer unteren Ebene aufweist und die erste Elektrode aus einem gleichen Material und in einem gleichen Prozessschritt wie die Verdrahtungen auf der unteren Ebene gebildet wird.
  19. Verfahren nach Anspruch 16, wobei die dritte Elektrode ein gestuftes Oberflächenprofil aufweist, das einem Oberflächenprofil der darunterliegenden zweiten dielektrischen Schicht entspricht, und die vierte Elektrode ein gestuftes Oberflächenprofil aufweist, das einem Oberflächenprofil der darunterliegenden dritten dielektrischen Schicht entspricht.
  20. Verfahren nach Anspruch 16, wobei die erste Metall-Zwischenverbindung so gebildet wird, dass sie sich vertikal durch die dritte dielektrische Schicht, die dritte Elektrode, die zweite dielektrische Schicht und die erste dielektrische Schicht hindurch so erstreckt, dass sie sich in Kontakt mit der ersten Elektrode befindet, und wobei die zweite Metall-Zwischenverbindung so gebildet wird, dass sie sich vertikal durch die vierte Elektrode, die dritte dielektrische Schicht und die zweite dielektrische Schicht hindurch so erstreckt, dass sie sich in Kontakt mit der zweiten Elektrode befindet.
DE102021127166.5A 2020-11-05 2021-10-20 Mim-kondensatorstrukturen Pending DE102021127166A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/089,946 US11688680B2 (en) 2020-11-05 2020-11-05 MIM capacitor structures
US17/089,946 2020-11-05

Publications (1)

Publication Number Publication Date
DE102021127166A1 true DE102021127166A1 (de) 2022-05-05

Family

ID=78718318

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021127166.5A Pending DE102021127166A1 (de) 2020-11-05 2021-10-20 Mim-kondensatorstrukturen

Country Status (5)

Country Link
US (1) US11688680B2 (de)
JP (1) JP2022075547A (de)
CN (1) CN114446928A (de)
DE (1) DE102021127166A1 (de)
GB (1) GB2601056A (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200403105A1 (en) * 2017-11-29 2020-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the same

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102008028554A1 (de) 2007-07-09 2009-01-15 Infineon Technologies Ag Halbleiterbauelement mit reduziertem Kapazitätstoleranzwert
US20090065895A1 (en) 2005-05-03 2009-03-12 Newport Fab, Llc Dba Jazz Semiconductor MIM capacitor high-k dielectric for increased capacitance density
DE102008048651A1 (de) 2007-10-16 2009-04-23 Dongbu Hitek Co., Ltd. Stapelkondensator in einem Halbleiterbauelement und Verfahren zu seiner Herstellung
DE102008059871A1 (de) 2007-12-21 2009-08-13 Infineon Technologies Ag Feuchtigkeitsbarrierenkondensatoren in Halbleiterkomponenten
US20100091428A1 (en) 2008-10-13 2010-04-15 Kwan-Soo Kim Insulator, capacitor with the same and fabrication method thereof, and method for fabricating semionductor device
US20130270675A1 (en) 2011-10-01 2013-10-17 Michael A. Childs On-chip capacitors and methods of assembling same
US20150255533A1 (en) 2011-03-04 2015-09-10 Nick Lindert Semiconductor structure having a capacitor and metal wiring integrated in a same dielectric layer
US10593777B2 (en) 2018-07-13 2020-03-17 SK Hynix Inc. Semiconductor device and method for fabricating the same

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6232154B1 (en) 1999-11-18 2001-05-15 Infineon Technologies North America Corp. Optimized decoupling capacitor using lithographic dummy filler
US6710425B2 (en) * 2001-04-26 2004-03-23 Zeevo, Inc. Structure to increase density of MIM capacitors between adjacent metal layers in an integrated circuit
US6898769B2 (en) 2002-10-10 2005-05-24 International Business Machines Corporation Decoupling capacitor sizing and placement
US6770554B1 (en) 2003-03-27 2004-08-03 Applied Micro Circuits Corporation On-chip interconnect circuits with use of large-sized copper fill in CMP process
US7135366B2 (en) 2003-12-17 2006-11-14 Rotella Francis M Method for fabricating a lateral metal-insulator-metal capacitor
US7851302B2 (en) 2005-02-04 2010-12-14 Infineon Technologies Ag Capacitors and methods of manufacture thereof
US7160772B2 (en) 2005-02-23 2007-01-09 International Business Machines Corporation Structure and method for integrating MIM capacitor in BEOL wiring levels
JP4805600B2 (ja) * 2005-04-21 2011-11-02 ルネサスエレクトロニクス株式会社 半導体装置
KR20070052484A (ko) 2005-11-17 2007-05-22 매그나칩 반도체 유한회사 엠아이엠 캐패시터 및 그 형성방법
US7411270B2 (en) * 2006-04-03 2008-08-12 Freescale Semiconductor, Inc. Composite capacitor and method for forming the same
TWI321842B (en) * 2006-12-05 2010-03-11 Via Tech Inc Capacitor structure for integrated circuit
US8356265B2 (en) 2007-03-21 2013-01-15 Fady Fouad Offset fill
GB0714065D0 (en) * 2007-07-20 2007-08-29 X Fab Uk Ltd A capacitor, and a method of manufacturing a capacitor
US8143699B2 (en) 2009-02-25 2012-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Dual-dielectric MIM capacitors for system-on-chip applications
US8866260B2 (en) 2009-02-27 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. MIM decoupling capacitors under a contact pad
US20100224960A1 (en) * 2009-03-04 2010-09-09 Kevin John Fischer Embedded capacitor device and methods of fabrication
US8298902B2 (en) * 2009-03-18 2012-10-30 International Business Machines Corporation Interconnect structures, methods for fabricating interconnect structures, and design structures for a radiofrequency integrated circuit
JP2011139383A (ja) * 2009-12-29 2011-07-14 Seiko Epson Corp 集積回路装置及び電子機器
US9449927B2 (en) 2012-11-29 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring structure with metal-insulator-metal capacitor
US20140159200A1 (en) * 2012-12-08 2014-06-12 Alvin Leng Sun Loke High-density stacked planar metal-insulator-metal capacitor structure and method for manufacturing same
US9041148B2 (en) * 2013-06-13 2015-05-26 Qualcomm Incorporated Metal-insulator-metal capacitor structures
JP6330549B2 (ja) * 2014-07-25 2018-05-30 住友電気工業株式会社 光半導体素子およびその製造方法
US9735227B2 (en) * 2015-08-03 2017-08-15 Synopsys, Inc. 2D material super capacitors
US9614086B1 (en) * 2015-12-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal source and drain contacts for multi-gate field effect transistors
US10332957B2 (en) 2016-06-30 2019-06-25 International Business Machines Corporation Stacked capacitor with symmetric leakage and break-down behaviors
US20180076134A1 (en) * 2016-09-15 2018-03-15 Xilinx, Inc. Integrated circuit with shielding structures
US9876068B1 (en) * 2016-10-31 2018-01-23 International Business Machines Corporation High-K metal-insulator-metal capacitor and method of manufacturing the same
US10043863B2 (en) * 2017-01-06 2018-08-07 International Business Machines Corporation Grated MIM capacitor to improve capacitance
US10062683B1 (en) * 2017-02-27 2018-08-28 Qualcomm Incorporated Compound semiconductor transistor and high-Q passive device single chip integration
US10211147B2 (en) * 2017-07-06 2019-02-19 Globalfoundries Inc. Metal-insulator-metal capacitors with dielectric inner spacers
US10615112B2 (en) * 2018-05-03 2020-04-07 International Business Machines Corporation MIM capacitor for improved process defect tolerance
US10665550B2 (en) * 2018-07-16 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Electromagnetic shielding metal-insulator-metal capacitor structure
US10847316B2 (en) * 2018-09-20 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. MIM device with laminated dielectric layers
CN111199953B (zh) * 2018-11-16 2022-04-08 无锡华润上华科技有限公司 一种mim电容及其制作方法
US11532695B2 (en) * 2019-09-30 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Stress reduction structure for metal-insulator-metal capacitors
US20220123101A1 (en) * 2020-10-19 2022-04-21 Qualcomm Incorporated High density metal-insulator-metal capacitor

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090065895A1 (en) 2005-05-03 2009-03-12 Newport Fab, Llc Dba Jazz Semiconductor MIM capacitor high-k dielectric for increased capacitance density
DE102008028554A1 (de) 2007-07-09 2009-01-15 Infineon Technologies Ag Halbleiterbauelement mit reduziertem Kapazitätstoleranzwert
DE102008048651A1 (de) 2007-10-16 2009-04-23 Dongbu Hitek Co., Ltd. Stapelkondensator in einem Halbleiterbauelement und Verfahren zu seiner Herstellung
DE102008059871A1 (de) 2007-12-21 2009-08-13 Infineon Technologies Ag Feuchtigkeitsbarrierenkondensatoren in Halbleiterkomponenten
US20100091428A1 (en) 2008-10-13 2010-04-15 Kwan-Soo Kim Insulator, capacitor with the same and fabrication method thereof, and method for fabricating semionductor device
US20150255533A1 (en) 2011-03-04 2015-09-10 Nick Lindert Semiconductor structure having a capacitor and metal wiring integrated in a same dielectric layer
US20130270675A1 (en) 2011-10-01 2013-10-17 Michael A. Childs On-chip capacitors and methods of assembling same
US10593777B2 (en) 2018-07-13 2020-03-17 SK Hynix Inc. Semiconductor device and method for fabricating the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200403105A1 (en) * 2017-11-29 2020-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the same
US11575052B2 (en) * 2017-11-29 2023-02-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the same

Also Published As

Publication number Publication date
CN114446928A (zh) 2022-05-06
US11688680B2 (en) 2023-06-27
JP2022075547A (ja) 2022-05-18
GB202114896D0 (en) 2021-12-01
GB2601056A (en) 2022-05-18
US20220139820A1 (en) 2022-05-05

Similar Documents

Publication Publication Date Title
DE102009000627B4 (de) MIM-Kondensatoren in Halbleiterkomponenten und Verfahren zur Herstellung eines Fingerkondensators
DE112011102446B4 (de) 3D-Durchkontaktierungskondensator mit einer potentialfreien leitfähigen Platte für eine verbesserte Zuverlässigkeit
DE602004003476T2 (de) Kondensator, halbleiterbauelement mit einem kondensator und verfahren zur herstellung derselben
DE19521489B4 (de) Kondensatorplatte und Kondensator, je in einer Halbleitervorrichtung gebildet, die Verwendung eines solchen Kondensators als Speicherkondensator einer Halbleitervorrichtung, Verfahren zur Herstellung eines Kondensators und Verwendung eines solchen Verfahrens zur Herstellung von DRAM-Vorrichtungen
DE10021385B4 (de) Verfahren zur Herstellung eines Kondensators mit Erzeugung einer unteren Kondensatorelektrode unter Verwendung einer CMP-Stoppschicht
DE10247454A1 (de) Verfahren zur Herstellung von vertikalen/horizontalen MIMCaps
DE102009044956A1 (de) Chipintegrierte HF-Abschirmungen mit Durch-Substrat-Leitern
DE102010037339A1 (de) Durchsubstratmerkmale in Halbleitersubstraten
DE102020105731B4 (de) Kondensator, metall-isolator-metallstruktur und verfahren zu ihrer herstellung
DE102018202253B4 (de) Verfahren zum Ätzen einer Tiefgrabenisolationsstruktur mit einem in einem Zwischenebenen-Dielektrikumsmaterial endenden Luftspalt und zugehörige Strukturen
DE102018208546A1 (de) Strukturen aus dem mittleren bereich der fertigungslinie
DE102018107387B4 (de) Metall-isolator-metall-kondensatorstruktur mit hoher kapazität und verfahren zu deren herstellung
DE102019103422A1 (de) Verwenden von Maskenschichten zum Erleichtern der Herstellung von selbstjustierten Kontakten und Durchkontaktierungen
DE102010002451A1 (de) Kontaktelemente von Halbleiterbauelementen, die einen kontinuierlichen Übergang zu Metallleitungen einer Metallisierungsschicht besitzen
DE102010029525B4 (de) Halbleiterbauelement mit einem vergrabenen Kondensator, der in der Kontaktebene ausgebildet ist, und Verfahren zur Herstellung des Halbleiterbauelements
DE102018220751A1 (de) Middle-of-line strukturen
DE102019216082A1 (de) Skalierter gate-kontakt und source/drain-kappe
DE102015119536A1 (de) Kondensatoren mit dielektrischen Barriereschichten und Verfahren zu deren Ausbildung
DE102014103294A1 (de) Kondensatoren in integrierten Schaltungen und Verfahren zu deren Herstellung
DE10302377B4 (de) Verfahren zur Herstellung einer Halbleitervorrichtung mit Metall-Isolator-Metall-Kondensatoren
DE112007000964B4 (de) Verfahren zur Herstellung einer Halbleiterkomponente mit einem Kondensator mit hoher Kapazität pro Flächeneinheit
DE112012002648B4 (de) Lokale Zwischenverbindung mit einem niedrigen Profil und Verfahren zum Herstellen derselben
DE112014001786T5 (de) Halbleitervorrichtung und Herstellungsverfahren dafür
DE102021127166A1 (de) Mim-kondensatorstrukturen
DE112020003567B4 (de) Verfahren für einen back-end-of-line-kompatiblen chipintegrierten metall-isolator-metall-entkopplungskondensator

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R084 Declaration of willingness to licence
R016 Response to examination communication