DE102018220751A1 - Middle-of-line strukturen - Google Patents

Middle-of-line strukturen Download PDF

Info

Publication number
DE102018220751A1
DE102018220751A1 DE102018220751.8A DE102018220751A DE102018220751A1 DE 102018220751 A1 DE102018220751 A1 DE 102018220751A1 DE 102018220751 A DE102018220751 A DE 102018220751A DE 102018220751 A1 DE102018220751 A1 DE 102018220751A1
Authority
DE
Germany
Prior art keywords
gate
source
gate structures
spacers
structures
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102018220751.8A
Other languages
English (en)
Inventor
Hui Zang
Guowei Xu
Keith Tabakman
Viraj Sardesai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102018220751A1 publication Critical patent/DE102018220751A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape

Abstract

Die Erfindung betrifft im Allgemeinen Halbleiterstrukturen und insbesondere Middle-of-Line-Strukturen und -Herstellungsverfahren. Die Struktur umfasst: eine Mehrzahl von Gatestrukturen mit Source- und/oder Drain-Metallisierungsmerkmalen; Abstandshalter auf Seitenwänden der Gatestrukturen, die aus einem ersten Material und einem zweiten Material gebildet sind; und Kontakte in elektrischem Kontakt zu den Source- und/oder Drain-Metallisierungsmerkmalen, die von den Gatestrukturen durch die Abstandshalter getrennt sind.

Description

  • GEBIET DER ERFINDUNG
  • Die Erfindung betrifft im Allgemeinen Halbleiterstrukturen und insbesondere Middie-of-Line-Strukturen und Herstellungsverfahren.
  • HINTERGRUND
  • Mit der fortgesetzten Skalierung von Halbleiterprozessen zu kleineren Größen, zum Beispiel Schrumpfen, wird auch der gewünschte Abstand zwischen Merkmalen (insbesondere der Pitch) kleiner. Dazu wird es an kleineren Technologieknoten immer schwieriger, Back-End-of-Line (BEOL) und Middle-of-Line (MOL) -Metallisierungsmerkmale, z.B. Zwischenverbindungen, aufgrund von Prozesseigenschaften und der Skalierung der kritischen Dimension (CD), sowie der Materialien, die zur Herstellung dieser Strukturen verwendet werden, herzustellen.
  • Es ist zum Beispiel bei der Herstellung von Zwischenverbindungsstrukturen für Source- und Drain-Kontakte erforderlich, das dielektrische Material zu entfernen, das sich an den Gatestrukturen befindet. Die Entfernung des dielektrischen Materials wird durch einen Ätzprozess bereitgestellt, der das Abstandshaltermaterial der Gatestruktur tendenziell erodiert. Insbesondere kann das für die Abstandshalter oder Seitenwände der Gatestruktur verwendete dielektrische low-k-Material in nachfolgenden Ätzprozessen wegerodiert werden, die zur Bildung der Öffnungen für die Drain- und Source-Kontakte verwendet werden. Dieser Materialverlust legt das Metallmaterial der Gatestruktur frei, was zu einem Kurzschluss zwischen dem Metallmaterial der Gatestruktur und dem Metallmaterial führt, das zur Bildung des Kontaktes an sich verwendet wird.
  • ZUSAMMENFASSUNG
  • In einem Aspekt der Erfindung umfasst eine Struktur: eine Mehrzahl von Gatestrukturen mit Source- und/oder Drain-Metallisierungsmerkmalen; Abstandshalter auf Seitenwänden der Gatestrukturen, wobei die Abstandshalter aus einem ersten Material und einem zweiten Material gebildet sind; und Kontakten in elektrischem Kontakt zu den Source- und/oder Drain-Metallisierungsmerkmalen, wobei die Kontakte von den Gatestrukturen durch Abstandshalter getrennt sind.
  • In einem Aspekt der Erfindung umfasst eine Struktur: eine Mehrzahl von Gatestrukturen mit Source/Drain-Bereichen, einem Gatematerial, Seitenwandabstandshaltern und einem Deckmaterial auf dem Gatematerial und den Seitenwandabstandshaltern; eine Mehrzahl von Source/Drain-Kontakten in elektrischem Kontakt zu den Source/Drain-Bereichen; eine Beschichtung, gebildet aus einem oberen Material und einem unteren Material entlang der Seitenwandabstandshalter; und Kontakte, die sich zu den Source/Drain-Kontakten erstrecken und die von dem Gatemetall durch die Beschichtung getrennt sind.
  • In einem Aspekt der Erfindung umfasst ein Verfahren: ein Bilden einer Mehrzahl von Gatestrukturen mit Source- und/oder Drain-Metallisierungsmerkmalen; ein Bilden von Abstandshaltern auf Seitenwänden der Gatestrukturen, die ein erstes Material und ein zweites Material umfassen; und ein Bilden von Kontakten in elektrischem Kontakt zu den Source- und/oder Drain-Metallisierungsmerkmalen, wobei die Kontakte von den Gatestrukturen durch den Abstandshalter getrennt werden.
  • Figurenliste
  • Die Erfindung wird in der detaillierten Beschreibung unten mit Bezug auf die Mehrzahl von Figuren anhand von nicht beschränkenden Beispielen beispielhafter Ausführungsformen der Erfindung beschrieben.
    • 1 zeigt unter anderen Merkmalen Gatestrukturen und entsprechende Fertigungsprozesse gemäß Aspekten der Erfindung.
    • 2 zeigt unter anderen Merkmalen ein vertieftes Gatematerial der Gatestrukturen und entsprechende Fertigungsprozesse gemäß Aspekten der Erfindung.
    • 3 zeigt unter anderen Merkmalen ein Deckmaterial auf den vertieften Gatematerialien und entsprechende Fertigungsprozesse gemäß Aspekten der Erfindung.
    • 4 zeigt unter anderen Merkmalen Source und/oder Drain-Metallisierungsmerkmale (Kontakte) und entsprechende Fertigungsprozesse gemäß Aspekten der Erfindung.
    • 5 zeigt unter anderen Merkmalen ein vertieftes Liner-Material und entsprechende Fertigungsprozesse gemäß Aspekten der Erfindung.
    • 6 zeigt unter anderen Merkmalen ein Abstandshaltermaterial in den Vertiefungen des Liner-Materials und entsprechende Fertigungsprozesse gemäß Aspekten der Erfindung.
    • 7 zeigt unter anderen Merkmalen eine Isolatorschicht, die in Vertiefungen der Source/Drain-Metallisierungsmerkmale gebildet ist, und entsprechende Fertigungsprozesse gemäß Aspekten der Erfindung.
    • 8 zeigt unter anderen Merkmalen Kontakte, die mit den Source/Drain-Metallisierungsmerkmalen elektrisch verbunden sind, und entsprechende Fertigungsprozesse gemäß Aspekten der Erfindung.
    • 9 zeigt eine alternative Struktur und entsprechende Fertigungsprozesse gemäß zusätzlichen Aspekten der Erfindung.
  • DETAILLIERTE BESCHREIBUNG
  • Die Erfindung betrifft im Allgemeinen Halbleiterstrukturen und insbesondere Middie-of-Line-Strukturen und Herstellungsverfahren. Die hierin bereitgestellten Prozesse und Strukturen verwenden gemäß den Ausführungsformen hierin Abstandshalter auf den Seiten der Gatestrukturen, um einen Kurzschluss der Source/Drain (S/D) -Kontakte zu der Metallisierung der Gatestrukturen zu vermeiden. Vorteilhafterweise stellen die Abstandshalter ein zusätzliches Material bereit, um Kurzschlüsse in Fertigungsprozessen zu verhindern, insbesondere während Zwischenverbindungsstrukturen für die Source/Drain-Kontakte gebildet werden. Die hierin bereitgestellten Strukturen stellen Gatestrukturen mit einer verbesserten niedrigen parasitären Kapazität bereit.
  • Die Strukturen der Erfindung können in einer Vielzahl von Arten unter Verwendung einer Vielzahl von unterschiedlichen Werkzeugen hergestellt werden. Im Allgemeinen werden jedoch die Verfahren und Werkzeuge zur Bildung von Strukturen mit Dimensionen im Mikrometer-und Nanometerbereich verwendet. Die bei der Herstellung der Strukturen der Erfindung verwendeten Verfahren, insbesondere Technologien, wurden aus der Technik integrierter Schaltungen (ICs) übernommen. Die Strukturen werden z.B. auf Wafern gefertigt und in Materialfilmen realisiert, die durch fotolithografische Prozesse auf der Oberseite eines Wafers strukturiert werden. Insbesondere verwendet die Herstellung der Strukturen drei grundsätzliche Baublöcke: (i) Abscheidung von dünnen Materialfilmen auf einem Substrat, (ii) Aufbringen einer strukturierten Maske auf eine Oberseite der Filme mittels fotolithografischer Abbildung und (iii) selektives Ätzen der Filme bezüglich der Maske.
  • 1 zeigt eine anfängliche Struktur und entsprechende Fertigungsprozesse gemäß Aspekten der Erfindung. Insbesondere zeigt 1 eine Struktur 100, die ein Substrat 105 umfasst, das aus einem geeigneten Halbleitermaterial gebildet ist. Das Substrat 105 kann z.B. aus einem beliebigen geeigneten Material gebildet werden, umfassend, jedoch ohne Beschränkung, Si, SiGe, SiGeC, SiC, GaAs, InAs, InP etc. In Ausführungsformen kann das Substrat 105 eine FIN-Struktur oder ein planares Merkmal darstellen.
  • In Ausführungsformern kann eine FIN-Struktur unter Verwendung einer Seitenwand-Bildübertragungs (side wall image transfer, SIT) -Technik gefertigt werden. Gemäß einem Beispiel einer SIT-Technik wird ein mandrel-Material, z.B. SiO2 auf dem Substrat 105 unter Verwendung bekannter CVD-Prozesse abgeschieden. Auf dem mandrel -Material wird ein Lack gebildet und belichtet, um eine Struktur (Öffnungen) zu bilden. Durch die Öffnungen wird ein reaktives lonenätzen durchgeführt, um die Dornen (mandrels) zu bilden. In Ausführungsformen können die Dornen unterschiedliche Breiten und/oder Abstände abhängig von den gewünschten Dimensionen der FIN-Strukturen aufweisen. An den Seitenwänden der Dornen werden Abstandshalter gebildet, die aus einem bevorzugtem Material gebildet sind, das sich von dem Material der Dornen unterscheidet und das unter Verwendung bekannter Abscheidungsprozesse gebildet wird, die dem Fachmann bekannt sind. Die Abstandshalter können eine Breite aufweisen, die z.B. mit den Abmessungen der engen FIN-Strukturen übereinstimmen. Die Dornen werden unter Verwendung eines bekannten Ätzprozesses entfernt oder gestrippt, der zu dem mandrel- Material selektiv ist. Dann wird ein Ätzen innerhalb des Abstands der Abstandshalter durchgeführt, um die Sub-Lithografie Merkmale zu bilden. Die Seitenwandabstandshalter können dann gestrippt werden.
  • Mit weiterem Bezug auf 1 werden Gatestrukturen 110 auf dem Substrat 105 gebildet. Die Gatestrukturen 110 können ebene Gatestrukturen oder FINFET-Gatestrukturen darstellen. In jedem Fall können die Gatestrukturen 110 unter Verwendung von bekannten Prozessen zur Bildung von Gates hergestellt werden, wie etwa Austauschgate-Herstellungsprozesse oder Gate-first-Prozesse, die im Stand der Technik bekannt sind. Gemäß Ausführungsformen umfassen die Gatestrukturen 110 ein dielektrisches Gatematerial und Metallisierungsmerkmale. Das dielektrische Gatematerial kann z.B. ein high-k-Gatedielektrikum sein, z.B. ein auf Hafnium basierendes dielektrisches Material. In weiteren Ausführungsformen können die dielektrischen high-k-Materialien ohne Beschränkung umfassen: Al2O3, Ta2O3, TiO2, La2O3, SrTiO3, LaAlO3, ZrO2, Y2O3, Gd2O3 und Kombinationen umfassend Multischichten davon. Die Metallisierungsmerkmale, insbesondere das Gatematerial 112, kann ein beliebiges Austrittarbeitsmetall oder Kombinationen von Metalle umfassen, abhängig von der speziellen Anwendung und den gewünschten Parametern. Gemäß Ausführungsformen kann das Gatematerial 112 z.B. ein Wolfram (W) -Material sein.
  • Mit weiterem Bezug auf 1 können Seitenwandabstandshalter 115, z.B. ein dielektrisches low-k-Material, auf den Seitenwänden der Gatestrukturen 110 abgeschieden werden. Die Seitenwandabstandshalter 115 können durch bekannte chemische Gasphasenabscheidungs (CVD) -Prozesse abgeschieden werden, gefolgt von einem Strukturierungsprozess, z.B. einem anisotropen Ätzprozess, um ein Material von den horizontalen Oberflächen der Struktur zu entfernen. Source- und Drain-Bereiche (S/D) -Bereiche 120 können auf Seiten der Gatestrukturen 110, z.B. den Seiten der Seitenwandabstandshalter 115, im Substrat 105 unter Verwendung von z.B. einem bekannten Verfahren gebildet werden. Die S/D-Bereiche 120 können z.B. durch einen Ionenimplantationsprozess, einen Dotierungsprozess oder mittels eines Diffusionsprozesses gebildet werden, wie im Stand der Technik bekannt ist, ohne dass eine weitere Erläuterung für das Verständnis der Erfindung erforderlich ist. In weiteren Ausführungsformen können die S/D-Bereiche 120 erhöhte S/D-Bereiche, die durch ein epi-Wachstum auf den Oberflächen des Substrats 105 gebildet werden, zwischen den Gatestrukturen 110 darstellen.
  • 1 zeigt ferner einen Liner 125 und ein Isolatormaterial 130, das innerhalb von Gräben abgeschieden wird, die zwischen den Gatestrukturen 110 gebildet sind, und insbesondere zwischen den Seitenwandabstandshaltern 115. In Ausführungsformen können der Liner 125 und das Isolatormaterial 130 durch CVD-Prozesse abgeschieden werden. Der Liner 125 kann aus einem beliebigen geeigneten Material gebildet werden, z.B. SiN, während z.B. das Isolatormaterial aus einem Oxid gebildet werden kann.
  • In 2 werden Vertiefungen 135 durch ein Zurückätzen der Gatestrukturen 110 gebildet. Die Vertiefungen 135 werden insbesondere durch ein Ätzen der Metallisierungsmerkmale, insbesondere des Gatematerials 112, der Gatestrukturen 110 gebildet, gefolgt von einem Ätzen der Seitenwandabstandshalter 115. In Ausführungsformen werden das Gatematerial 112 und die Seitenwandabstandshalter 115 unter eine Oberfläche des Liners 125 und des Isolatormaterial 130 vertieft. Die Gatestrukturen 110 können z.B. um ca. 10 % bis 50 % der ursprünglichen Höhe, z.B. ca. 30 nm bis 40 nm, geätzt werden. In Ausführungsformen können das Gatematerial 112 und die Seitenwandabstandshalter 115 unter Verwendung eines reaktiven Ionenätzens (RIE) mit einer Chemie geätzt werden, die bezüglich des speziellen Materials dieser Struktur selektiv ist. Vorteilhafterweise erfordern die Ätzprozesse aufgrund der selektiven Chemie keine Maske.
  • In 3 wird ein Deckmaterial 140 als eine Schicht über den Gatestrukturen 110 und den Seitenwandabstandshaltern 115 gebildet, z.B. in den Vertiefungen 135. Auf diese Weise können die Gatestrukturen 110 ein Gatematerial 112, Seitenwandabstandshalter 115 und das Deckmaterial 140 umfassen. In Ausführungsformen kann das Deckmaterial 140 durch einen CVD-Prozess innerhalb der Vertiefungen 135 abgeschieden werden, gefolgt von einem chemisch-mechanischen Polier (CMP) -Prozess. Das Deckmaterial 140 kann einen Schutz für die Metallisierungsmerkmale der Gatestrukturen 110 während der nachfolgenden Prozesse bei der Bildung von Source/Drain-Kontakten oder Zwischenverbindungen bereitstellen, z.B. vor Ätzprozessen, um die Source/Drain-Kontakte oder Zwischenverbindungen zu bilden. Das Deckmaterial 140 kann z.B. aus SiN gebildet sein.
  • Mit weiterem Bezug auf 3 werden zwischen den Gatestrukturen 110 Gräben 150 durch Entfernen des Liners 125 und des Isolatormaterials 130 gebildet. In Ausführungsformen können der Liner 125 und das Isolatormaterial 130 durch bekannte RIE-Prozesse entfernt werden. Das Ätzen oder Entfernen der Liner 125 und des Isolatormaterials 130 legt die S/D-Bereiche 120 frei und bildet eine Abschrägung 142 des Deckmaterials 140.
  • 4 zeigt unter anderen Merkmalen Source/Drain-Metallisierungsmerkmale und entsprechende Herstellungsprozesse gemäß Aspekten der Erfindung. Insbesondere wird ein Liner 155 auf den Seitenwänden der Seitenwandabstandshalter 115 unter Verwendung von z.B. physikalischen Gasphasenabscheidungs (PVD) -Prozessen oder CVD-Prozessen gebildet. Der Liner 155 kann unter anderen Beispielen aus Ti, TiN, TaN, Ru und Co gebildet sein. In Ausführungsformen kann der Liner 155 eine Dicke aus einem Bereich von ca. 2 nm bis 20 nm aufweisen, wobei eine gewünschte Dicke in einem Bereich von ca. 8 nm bis 10 nm liegt; obwohl auch andere Dimensionen möglich sind. In Ausführungsformen kontaktiert der Liner 155 direkt die S/D-Bereiche 120 (Silizid der S/D-Bereiche). Nach der Abscheidung des Liners 155 wird eine Metallfüllung 160 innerhalb der Gräben 150 und über dem Liner 155 abgeschieden, um die Source- und/oder Drain-Metallisierungsmerkmale 165 zu bilden. Auf diese Weise kann die Mehrzahl von Gatestrukturen 110 die Source- und/oder Drain-Metallisierungsmerkmale 165 umfassen. Die Metallfüllung 160 kann durch CVD-Prozesse abgeschieden werden und kann ein beliebiges geeignetes leitfähiges Material darstellen. Die Metallfüllung 160 kann z.B. durch T, Co oder Cu gebildet sein. Auf diese Weise umfassen die Source- und/oder Drain-Metallisierungsmerkmale 165 ein leitfähiges Füllmaterial, insbesondere die Metallfüllung 160, die mit den Source/Drain-Bereichen 120 der Gatestrukturen 110 elektrisch in Kontakt stehen.
  • In 5 werden Abschnitte des Liners 155 von den Source- und/oder Drain-Metallisierungsmerkmalen 165 entfernt, um die Vertiefungen 170 zwischen der Metallfüllung 160 und dem Deckmaterial 140 zu bilden. In Ausführungsformen kann der Liner 155 durch einen Nassätzprozess vertieft werden, der Chemikalien verwendet, um das Material des Liners 155 (selektiv bezüglich der verbleibenden Materialien) zu entfernen, z.B. Ti, TiN, TaN, Ru und Co usw. In Ausführungsformen können die Vertiefungen 170 verschiedene Tiefen aufweisen. Beispielsweise kann sich eine Tiefe der Vertiefungen 170 unter eine Oberfläche der Gatestruktur 110 erstrecken, z.B. unter das Deckmaterial 140 oder eine Oberfläche des Metallmaterials, insbesondere das Gatematerial 112, der Gatestrukturen 110. In Ausführungsformen können sich die Vertiefungen 170 auch innerhalb der Ausdehnung des Deckmaterials 140 erstrecken.
  • In 6 wird ein Abstandshaltermaterial 180 innerhalb der Vertiefungen 170 abgeschieden, um Abstandshalter 182 zu bilden. Das Abstandshaltermaterial 180 unterscheidet sich gemäß Ausführungsformen von dem Material des Liners 155, vorzugsweise ist es ein widerstandsfähigeres Material, das nachfolgenden Ätzprozessen besser widerstehen kann. Das zweite oder obere Material, insbesondere das Abstandshaltermaterial 180, kann ein dielektrisches high-k-Material oder ein dielektrisches low-k-Material mit einer Dicke sein, die der Dicke des Liners 155 ähnlich ist, z.B. 2 nm bis 20 nm. Genauer kann das Abstandshaltermaterial 180 unter anderen Beispielen aus SiN, Al2O3 oder HfO2 gebildet sein. Auf diese Weise stellt das zweite oder obere Material SiN oder Al2O3 oder HfO2 dar und das erste oder untere Material ist Ti oder TiN oder TaN oder Ru oder Co. Das Abstandshaltermaterial 180 kann durch einen bekannten CVD-Prozess abgeschieden werden, um die Vertiefungen 170 zu füllen, gefolgt von einem CMP-Prozess oder einem anderen Ätzprozess, z.B. einem Zurückätzen, Nassätzen oder Trockenätzprozess.
  • In Ausführungsformen dienen das Abstandshaltermaterial 180 und die entsprechenden Abstandshalter 182 ferner dazu, ein Freilegen der Gatestrukturen 110 in nachfolgenden MOL-Ätzprozessen zu verhindern. Insbesondere verhindert das Abstandshaltermaterial 180 effizient, dass Ätzprozesse die Gatemetalle der Gatestrukturen 110, insbesondere das Gatematerial 112, freiliegen, wodurch Kurzschlüsse zwischen den Gatemetallen und den Source/Drain-Kontakten oder anderen Metallisierungsmerkmalen verhindert werden, z.B. Zwischenverbindungen, die in MOL-Prozessen gebildet werden. Gemäß der Darstellung in 6 können das Liner-Material 155 und das Abstandshaltermaterial 180 als Abstandshalter auf den Seitenwandabstandshaltern 115 der Gatestrukturen 110 fungieren und aus einem ersten Material, das den Liner 155 darstellt, und einem zweiten Material gebildet sein, das das Abstandshaltermaterial 180 darstellt. Alternativ kann das Liner-Material 155 zusammen mit dem Abstandshaltermaterial 180 als eine dünne Beschichtung angesehen werden, wobei die Beschichtung aus einem oberen Material, insbesondere dem Abstandshaltermaterial 180, und einem unteren Material, insbesondere dem Liner Material 155, entlang der Seitenwandabstandshalter 115 gebildet ist. In Ausführungsformen stehen die Abstandshalter 182, die aus dem Abstandshaltermaterial 180 gebildet sind, mit den Seitenwandabstandshaltern 115 und dem Deckmaterial 140 auf den Gatestrukturen 110 und den Source- und/oder Drain-Metallisierungsmerkmalen 165 in direktem Kontakt.
  • 7 zeigt ein Isolatormaterial 195, das unter anderen Merkmalen in Vertiefungen 100 der Source- und/oder Drain-Metallisierungsmerkmale 165 gebildet ist. Insbesondere wird die Metallfüllung 160 einem selektiven Ätzprozess unterzogen, um Vertiefungen 190 zwischen dem Abstandshaltermaterial 180 zu bilden, wobei die Abstandshalter 182 verbleiben, die aus dem Abstandshaltermaterial 180 gebildet sind. In Ausführungsformen weisen die Vertiefungen 190 eine Tiefe auf, die innerhalb der Erstreckung der Abstandshalter 182 liegt, z.B. vorzugsweise über den Metallisierungsmerkmalen der Gatestrukturen 110, insbesondere dem Gatematerial 112. Auf diese Weise können insbesondere die Abstandshalter 182 vor Erosion des Deckmaterials 140 in nachfolgenden Ätzprozessen dadurch geschützt werden, dass die Tiefe der Vertiefungen 190 innerhalb der Erstreckung der Abstandshalter 182 beibehalten wird, die aus dem Abstandshaltermaterial 180 gebildet sind. Insbesondere schützt das zweite oder obere Material, insbesondere das Abstandshaltermaterial 180, die Schicht des Deckmaterials 140 vor Erosion in einem Ätzprozess. Innerhalb der Vertiefungen 190 kann ein Isolatormaterial 195 abgeschieden werden. Das Isolatormaterial 195 kann ein dielektrisches Zwischenmaterial sein, z.B. ein Oxid, das durch einen CVD-Prozess abgeschieden wird.
  • In 8 werden Metallisierungsstrukturen 200 in dem Isolatormaterial 195 gebildet und diese fungieren als Kontakte, die mit der Metallfüllung 160 der S/D-Bereiche 120 in direktem elektrischen Kontakt stehen. Insbesondere stellen die Metallisierungsstrukturen 200 Kontakte dar, die mit den Source- und/oder Drain-Metallisierungsmerkmalen 165 in direktem elektrischen Kontakt sind, und sind von den Gatestrukturen 110 durch das Abstandshaltermaterial 180 getrennt. In dieser Weise erstrecken sich die Kontakte, insbesondere die Metallisierungsstrukturen 200, zu den S/D-Bereichen 120 und sind von dem Gatematerial 112 durch die dünne Beschichtung getrennt, die durch das Liner-Material 155 und das Abstandshaltermaterial 180 gebildet wird. Die Metallisierungsstrukturen 200 können gemäß Ausführungsformen Zwischenverbindungsstrukturen darstellen, die aus einem Wolfram-Material gebildet sind, das mit den S/D-Bereichen 120 (über die Metallfüllung 160) in elektrischem Kontakt steht. Die Metallisierungsstrukturen 200, insbesondere Verdrahtungsstrukturen oder Zwischenverbindungsstrukturen, können durch bekannte Lithografie-, Ätz- und Abscheidungsverfahren gebildet werden, die dem Fachmann bekannt sind.
  • Die Metallisierungsstrukturen 200 können dadurch hergestellt werden, dass ein Lack über dem Isolatormaterial 195 gebildet wird, der einer Energie (Licht) ausgesetzt wird, um eine Struktur (Öffnung) zu bilden. Es wird ein Ätzprozess mit einer selektiven Chemie, z.B. RIE, eingesetzt, um wenigstens einen Graben in dem Isolatormaterial 195 durch die Öffnungen des Lacks zu bilden. Das Abstandshaltermaterial 180 verhindert, dass der Ätzprozess die Materialien der Gatestrukturen 110 freilegt; insbesondere stellt das Abstandshaltermaterial 180 während des Ätzprozesses einen Schutz bereit, so dass das Deckmaterial 140 und/oder das Seitenwandabstandshaltermaterial 115 und/oder das Gatematerial 112 während des Ätzprozesses keiner (oder wenig) Erosion ausgesetzt ist. Aufgrund davon ist das leitfähige Material, das für die Metallisierungsstrukturen 200 abgeschieden wird, nicht mit dem Metallmaterial, insbesondere dem Gatematerial 112, der Gatestrukturen 110 in Kontakt. Auf diese Weise wird ein Kurzschluss verhindert.
  • In Ausführungsformen kann der Lack durch einen bekannten Sauerstoffveraschungsprozess oder andere bekannte Entfernungsmittel gefolgt durch die Abscheidung des leitfähigen Materials mittels bekannter Abscheidungsprozesse, z.B. CVD-Prozesse, entfernt werden. Jedes verbleibende leitfähige Material auf der Oberfläche des Isolatormaterials 195 kann durch bekannte chemisch-mechanische Polier (CMP) -Prozesse entfernt werden.
  • 9 zeigt eine alternative Struktur 100' und entsprechende Fertigungsprozesse gemäß zusätzlicher Aspekte der Erfindung. Beispielsweise wird der Liner 155 in 9 unter das Deckmaterial 140, und sogar weiter bevorzugt bedeutend unter einer Oberfläche des Metallisierungsmerkmals der Gatestrukturen 110, insbesondere das Gatematerial 112, vertieft, wie durch das Bezugszeichen 170' dargestellt wird. In Ausführungsformen befinden sich die Vertiefungen 170' an einer solchen Tiefe, dass ein Luftspalt 175, der in nachfolgenden Abscheidungsprozessen des Abstandshaltermaterials 180 aufgrund von Abschnürungsphänomenen gebildet wird; insbesondere führt die Kombination aus der Tiefe und Breite der Vertiefungen 170', insbesondere das Aspekt-Verhältnis der Vertiefungen 170', zu der Bildung von Luftspalten 175 zwischen den Seitenwandabstandshaltern 115 und der Metallfüllung 160 während der Abscheidung des Abstandshaltermaterials 180 aufgrund eines Abschnürungsphänomens. Auf diese Weise befinden sich die Luftspalte 175 zwischen dem ersten oder unterem Material, insbesondere dem Liner 155, und dem zweiten oder oberen Material, insbesondere dem Abstandshaltermaterial 180. Weiterhin befinden sich die Luftspalte 175 unter einer Oberfläche des Gatematerials 112 der Gatestrukturen 110 und sind von dem Gatematerial 112 durch die Seitenwandabstandshalter 115 getrennt. Insbesondere befinden sich die Luftspalte 175 nahe den Seitenwandabstandshaltern 115 an den Gatestrukturen 110 und unterhalb des Deckmaterials 140 über dem Gatematerial 112. In Ausführungsformen fungieren die Luftspalte 175 dazu, die parasitäre Kapazität der Struktur zu erhöhen. Die verbleibenden Abschnitte der Struktur 100' sind ähnlich denen, die hierin beschrieben wurden.
  • Die hierin beschriebenen Prozesse und sich ergebenen Strukturen dienen dazu, weiterhin das Gatemetall der Gatestruktur in MOL-Prozessen zu schützen. Die sich ergebenden Strukturen, insbesondere Seitenwandstrukturen, verhindern folglich Kurzschlüsse, die beim Auftreten mit Zwischenverbindungen oder anderen Verdrahtungsstrukturen der Source/Drain-Bereiche auftreten. Entsprechend erhöhen die hierin beschriebenen Prozesse und Strukturen die Ausbeute.
  • Das/die Verfahren, das/die oben beschrieben wurde/wurden, wird/werden in der Herstellung von integrierten Schaltungschips verwendet. Die sich ergebenden integrierten Schaltungschips können durch den Hersteller in der Form von nackten Wafern (insbesondere als ein einzelner Wafer mit mehreren nicht gehausten Chips), als ein reines Die oder in gehauster Form vertrieben werden. In letzterem Fall wird der Chip in einem Einzelchipgehäuse (z.B. einem Plastikträger mit Leitungen, die in an einem Motherboard oder einem anderen Träger höherer Ordnung angebracht sind) oder in einem Mehrchipgehäuse (z.B. einem Keramikträger mit Oberflächenzwischenverbindungen und/oder vergrabenen Zwischenverbindungen) montiert. In jedem Fall wird der Chip dann mit anderen Chips, diskreten Schaltungselementen und/oder anderen signalverarbeitenden Vorrichtungen als Teil von (a) einem Zwischenprodukt, z.B. einem Motherboard, oder (b) einem Endprodukt integriert. Das Endprodukt kann ein beliebiges Produkt sein, das integrierte Schaltungschips umfasst, im Bereich von Spielzeug und anderen Low-end-Anwendungen bis zu fortschrittlichen Computerprodukten mit einer Anzeige, einer Tastatur oder anderen Eingabevorrichtungen und einem Zentralprozessor.
  • Die Beschreibung der verschiedenen Ausführungsformen der Erfindung erfolgte zur Veranschaulichung und soll nicht vollständig oder auf die hierin beschriebenen Ausführungsformen beschränkend sein. Viele Modifizierungen und Variationen sind ersichtlich und liegen im Wesen und Rahmen der beschriebenen Ausführungsformen. Die hierin verwendete Terminologie wurde ausgewählt, um die Prinzipien der Ausführungsformen, die praktische Anwendung oder technische Verbesserung gegenüber Technologien am besten zu erläutern, die auf dem Markt angefunden werden, oder um dem Laien ein Verständnis der hierin beschriebenen Ausführungsformen zu ermöglichen.

Claims (20)

  1. Struktur, umfassend: eine Mehrzahl von Gatestrukturen mit Source- und/oder Drain-Metallisierungsmerkmalen; Abstandshalter auf Seitenwänden der Gatestrukturen, die aus einem ersten Material und einem zweiten Material gebildet sind; und Kontakte in elektrischem Kontakt zu den Source- und/oder Drain-Metallisierungsmerkmalen, die von den Gatestrukturen durch die Abstandshalter getrennt sind.
  2. Struktur nach Anspruch 1, wobei die Gatestrukturen ein Gatematerial, Seitenwandabstandshalter und eine Deckschicht umfassen.
  3. Struktur nach Anspruch 2, wobei das zweite Material die Deckschicht in einem Ätzprozess vor Erosion schützt.
  4. Struktur nach Anspruch 3, wobei das zweite Material ein dielektrisches high-k-Material oder ein dielektrisches low-k-Material ist.
  5. Struktur nach Anspruch 4, wobei das zweite Material aus SiN oder Al2O3 oder HfO2 gebildet ist.
  6. Struktur nach Anspruch 5, wobei das erste Material aus Ti, TiN, TaN, Ru oder Co gebildet ist.
  7. Struktur nach Anspruch 6, wobei die Source- und/oder Drain-Metallisierungsmerkmale ein leitfähiges Füllmaterial umfassen, das mit Source- und Drain-Bereichen der Gatestrukturen in elektrischem Kontakt steht.
  8. Struktur nach Anspruch 6, wobei die Abstandshalter mit einer Seitenwandstruktur und einem Deckmaterial auf den Gatestrukturen und den Source- und/oder Drain-Metallisierungsmerkmalen in direktem Kontakt stehen.
  9. Struktur nach Anspruch 1, ferner umfassend Luftspalte zwischen dem ersten Material und dem zweiten Material.
  10. Struktur nach Anspruch 9, wobei sich die Luftspalte unter einer Oberfläche des Gatemetallmaterials der Gatestrukturen befinden.
  11. Struktur nach Anspruch 10, wobei sich die Luftspalte neben Seitenwandabstandshaltern auf den Gatestrukturen und unter einem Deckmaterial über dem Gatemetallmaterial befinden.
  12. Struktur, umfassend: eine Mehrzahl von Gatestrukturen mit Source/Drain-Bereichen, einem Gatematerial, Seitenwandabstandhaltern und einem Deckmaterial auf dem Gatematerial und den Seitenwandabstandshaltern; eine Mehrzahl von Source/Drain-Kontakten in elektrischem Kontakt zu den Source/Drain-Bereichen; eine Beschichtung, die ein oberes Material oder ein unteres Material entlang der Seitenwandabstandshalter umfasst; und Kontakte, die sich zu den Source/Drain-Kontakten erstrecken und von dem Gatemetall durch die Beschichtung getrennt sind.
  13. Struktur nach Anspruch 12, wobei das Bodenmaterial aus Ti, TiN, TaN, Ru oder Co gebildet ist.
  14. Struktur nach Anspruch 13, wobei das obere Material aus SiN oder Al2O3 oder HfO2 gebildet ist.
  15. Struktur nach Anspruch 14, ferner umfassend ein Luftspalt zwischen dem oberen Material und dem unteren Material der Beschichtung.
  16. Struktur nach Anspruch 15, wobei sich der Luftspalt unter einer Oberfläche des Gatematerials befindet und davon durch die Seitenwandabstandshalter getrennt ist.
  17. Struktur nach Anspruch 12, wobei das obere Material aus einem dielektrischen high-k-Material gebildet ist.
  18. Verfahren, umfassend: ein Bilden einer Mehrzahl von Gatestrukturen mit Source- und/oder Drain-Metallisierungsmerkmalen; ein Bilden von Abstandshaltern auf Seitenwänden der Gatestrukturen, die ein erstes Material und ein zweites Material umfassen; und ein Bilden von Kontakten in elektrischem Kontakt zu den Source- und/oder Drain-Metallisierungsmerkmalen, die von den Gatestrukturen durch die Abstandshalter getrennt sind.
  19. Verfahren nach Anspruch 18, ferner umfassend ein Bilden von Luftspalten zwischen dem ersten Material und dem zweiten Material.
  20. Verfahren nach Anspruch 18, wobei das erste Material aus Ti, TiN, TaN, Ru oder Co gebildet ist und das zweite Material aus SiN oder Al2O3 oder HfO2 gebildet ist.
DE102018220751.8A 2018-01-17 2018-11-30 Middle-of-line strukturen Pending DE102018220751A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/873,565 US10580875B2 (en) 2018-01-17 2018-01-17 Middle of line structures
US15/873,565 2018-01-17

Publications (1)

Publication Number Publication Date
DE102018220751A1 true DE102018220751A1 (de) 2019-07-18

Family

ID=67068880

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018220751.8A Pending DE102018220751A1 (de) 2018-01-17 2018-11-30 Middle-of-line strukturen

Country Status (4)

Country Link
US (2) US10580875B2 (de)
CN (1) CN110047829B (de)
DE (1) DE102018220751A1 (de)
TW (1) TWI699865B (de)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10580875B2 (en) * 2018-01-17 2020-03-03 Globalfoundries Inc. Middle of line structures
US11195753B2 (en) * 2018-09-18 2021-12-07 International Business Machines Corporation Tiered-profile contact for semiconductor
US10811319B2 (en) * 2018-11-29 2020-10-20 Globalfoundries Inc. Middle of line structures
US11063097B2 (en) * 2018-12-03 2021-07-13 Lg Display Co., Ltd. Transparent display device
US11107728B2 (en) * 2019-05-22 2021-08-31 International Business Machines Corporation Interconnects with tight pitch and reduced resistance
US11152486B2 (en) * 2019-07-15 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET semiconductor device having source/drain contact(s) separated by airgap spacer(s) from the gate stack(s) to reduce parasitic capacitance
JP7466406B2 (ja) * 2020-08-20 2024-04-12 東京エレクトロン株式会社 半導体装置の製造方法および成膜装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5037334A (en) 1990-11-30 1991-08-06 Amp Corporated Connector with equal lateral force contact spacer plate
US5668024A (en) * 1996-07-17 1997-09-16 Taiwan Semiconductor Manufacturing Company CMOS device structure with reduced risk of salicide bridging and reduced resistance via use of a ultra shallow, junction extension, ion implantation process
CN1959959B (zh) * 2005-10-31 2010-04-21 中芯国际集成电路制造(上海)有限公司 使用应变硅用于集成pmos和nmos晶体管的单掩模设计方法和结构
KR20070102001A (ko) 2006-04-13 2007-10-18 한국원자력연구원 연료봉과 등각 접촉면적을 증가시키는 지지격자 스프링
KR100746226B1 (ko) 2006-05-30 2007-08-03 삼성전자주식회사 콘택 스페이서를 구비하는 콘택 구조체를 갖는 반도체 소자및 그 제조방법
JP2009111200A (ja) * 2007-10-31 2009-05-21 Panasonic Corp 半導体装置及びその製造方法
US8026172B2 (en) 2009-06-29 2011-09-27 Sandisk 3D Llc Method of forming contact hole arrays using a hybrid spacer technique
US8358012B2 (en) * 2010-08-03 2013-01-22 International Business Machines Corporation Metal semiconductor alloy structure for low contact resistance
US8455952B2 (en) * 2010-11-22 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer elements for semiconductor device
US9059211B2 (en) * 2011-10-03 2015-06-16 International Business Machines Corporation Oxygen scavenging spacer for a gate electrode
EP2757580A1 (de) * 2013-01-22 2014-07-23 Nxp B.V. Bipolar-CMOS-DMOS-Prozesse
US9093298B2 (en) * 2013-08-22 2015-07-28 Texas Instruments Incorporated Silicide formation due to improved SiGe faceting
US9184263B2 (en) * 2013-12-30 2015-11-10 Globalfoundries Inc. Methods of forming gate structures for semiconductor devices using a replacement gate technique and the resulting devices
US9871121B2 (en) * 2014-03-10 2018-01-16 Qualcomm Incorporated Semiconductor device having a gap defined therein
US9397008B1 (en) * 2015-04-21 2016-07-19 United Microelectronics Corp. Semiconductor device and manufacturing method of conductive structure in semiconductor device
US9691897B2 (en) * 2015-09-28 2017-06-27 Globalfoundries Inc. Three-dimensional semiconductor transistor with gate contact in active region
US9627510B1 (en) * 2015-12-02 2017-04-18 International Business Machines Corporation Structure and method for replacement gate integration with self-aligned contacts
US10580875B2 (en) * 2018-01-17 2020-03-03 Globalfoundries Inc. Middle of line structures

Also Published As

Publication number Publication date
US20200152749A1 (en) 2020-05-14
TWI699865B (zh) 2020-07-21
CN110047829A (zh) 2019-07-23
CN110047829B (zh) 2023-06-23
US10580875B2 (en) 2020-03-03
US20190221650A1 (en) 2019-07-18
TW201933570A (zh) 2019-08-16
US10978566B2 (en) 2021-04-13

Similar Documents

Publication Publication Date Title
DE102018220751A1 (de) Middle-of-line strukturen
DE102016114705B4 (de) Ätzstoppschicht für Halbleiter-Bauelemente
DE102005033916B4 (de) Ausrichtung eines MTJ-Stapels an Leiterbahnen in Abwesenheit von Topographie
DE102014117338B4 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
DE102005034386B4 (de) Tiefe Justiermarken auf Rand-Chips zum anschließenden Ausrichten von opaken Schichten
DE69836943T2 (de) Planarisierung von einer nicht-konformen Vorrichtungsschicht in Halbleiterherstellung
DE112006002952B4 (de) Verfahren zur Herstellung von Halbleiteranordnungen mit Spacern
DE102017110443B4 (de) Halbleitervorrichtung, die einen nichtflüchtigen Speicher umfasst, und Verfahren zur Herstellung einer solchen Halbleitervorrichtung
DE102017117937A1 (de) Aufgeteilte Schienenstrukturen, die sich in angrenzenden Metallschichten befinden
DE102018202253B4 (de) Verfahren zum Ätzen einer Tiefgrabenisolationsstruktur mit einem in einem Zwischenebenen-Dielektrikumsmaterial endenden Luftspalt und zugehörige Strukturen
DE102018208546A1 (de) Strukturen aus dem mittleren bereich der fertigungslinie
DE102019216082B4 (de) Skalierter gate-kontakt und source/drain-kappe sowie verfahren zu dessen herstellung
DE102016118062B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit einem nichtflüchtigen Speicher und einer Logikschaltung
DE102015103264B4 (de) Verfahren zum Ausbilden einer Halbleiterbauelementstrukur mit einem Gate
DE102018218869A1 (de) Verfahren zum Bilden von Kontaktstrukturen auf integrierten Schaltungsprodukten
DE102019203596B4 (de) Mehrfachstrukturierung mit Dornschnitten, die unter Verwendung einer Blockmaske gebildet werden
DE102010002411B4 (de) Verfahren zur Herstellung von Kontaktbalken mit reduzierter Randzonenkapazität in einem Halbleiterbauelement
DE102019103422A1 (de) Verwenden von Maskenschichten zum Erleichtern der Herstellung von selbstjustierten Kontakten und Durchkontaktierungen
DE102019204967A1 (de) Angeschrägte Austauschgatestrukturen
DE102018125000B4 (de) Durchkontaktierungsstruktur und Verfahren davon
DE102011002769A1 (de) Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102019207381A1 (de) Unterschiedliche untere und obere Abstandshalter für einen Kontakt
DE102016119019B4 (de) Halbleiterbauelement und Herstellungsverfahren dafür
DE102015117230B4 (de) Verfahren zum Bilden einer Halbleitervorrichtungsstruktur
DE112012002648B4 (de) Lokale Zwischenverbindung mit einem niedrigen Profil und Verfahren zum Herstellen derselben

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication