DE102018220751A1 - MIDDLE-OF-LINE STRUCTURES - Google Patents

MIDDLE-OF-LINE STRUCTURES Download PDF

Info

Publication number
DE102018220751A1
DE102018220751A1 DE102018220751.8A DE102018220751A DE102018220751A1 DE 102018220751 A1 DE102018220751 A1 DE 102018220751A1 DE 102018220751 A DE102018220751 A DE 102018220751A DE 102018220751 A1 DE102018220751 A1 DE 102018220751A1
Authority
DE
Germany
Prior art keywords
gate
source
gate structures
spacers
structures
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102018220751.8A
Other languages
German (de)
Inventor
Hui Zang
Guowei Xu
Keith Tabakman
Viraj Sardesai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102018220751A1 publication Critical patent/DE102018220751A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape

Abstract

Die Erfindung betrifft im Allgemeinen Halbleiterstrukturen und insbesondere Middle-of-Line-Strukturen und -Herstellungsverfahren. Die Struktur umfasst: eine Mehrzahl von Gatestrukturen mit Source- und/oder Drain-Metallisierungsmerkmalen; Abstandshalter auf Seitenwänden der Gatestrukturen, die aus einem ersten Material und einem zweiten Material gebildet sind; und Kontakte in elektrischem Kontakt zu den Source- und/oder Drain-Metallisierungsmerkmalen, die von den Gatestrukturen durch die Abstandshalter getrennt sind.The invention generally relates to semiconductor structures, and more particularly to middle-of-line structures and fabrication methods. The structure comprises: a plurality of gate structures having source and / or drain metallization features; Spacers on sidewalls of the gate structures formed of a first material and a second material; and contacts in electrical contact with the source and / or drain metallization features separated from the gate structures by the spacers.

Description

GEBIET DER ERFINDUNGFIELD OF THE INVENTION

Die Erfindung betrifft im Allgemeinen Halbleiterstrukturen und insbesondere Middie-of-Line-Strukturen und Herstellungsverfahren.The invention generally relates to semiconductor structures, and more particularly to mid-of-line structures and fabrication methods.

HINTERGRUNDBACKGROUND

Mit der fortgesetzten Skalierung von Halbleiterprozessen zu kleineren Größen, zum Beispiel Schrumpfen, wird auch der gewünschte Abstand zwischen Merkmalen (insbesondere der Pitch) kleiner. Dazu wird es an kleineren Technologieknoten immer schwieriger, Back-End-of-Line (BEOL) und Middle-of-Line (MOL) -Metallisierungsmerkmale, z.B. Zwischenverbindungen, aufgrund von Prozesseigenschaften und der Skalierung der kritischen Dimension (CD), sowie der Materialien, die zur Herstellung dieser Strukturen verwendet werden, herzustellen.With the continued scaling of semiconductor processes to smaller sizes, such as shrinking, the desired spacing between features (especially the pitch) also becomes smaller. This is becoming increasingly difficult at smaller technology nodes, back-end-of-line (BEOL) and middle-of-line (MOL) metallization features, e.g. Interconnections, due to process properties and critical dimension (CD) scaling, as well as the materials used to make these structures.

Es ist zum Beispiel bei der Herstellung von Zwischenverbindungsstrukturen für Source- und Drain-Kontakte erforderlich, das dielektrische Material zu entfernen, das sich an den Gatestrukturen befindet. Die Entfernung des dielektrischen Materials wird durch einen Ätzprozess bereitgestellt, der das Abstandshaltermaterial der Gatestruktur tendenziell erodiert. Insbesondere kann das für die Abstandshalter oder Seitenwände der Gatestruktur verwendete dielektrische low-k-Material in nachfolgenden Ätzprozessen wegerodiert werden, die zur Bildung der Öffnungen für die Drain- und Source-Kontakte verwendet werden. Dieser Materialverlust legt das Metallmaterial der Gatestruktur frei, was zu einem Kurzschluss zwischen dem Metallmaterial der Gatestruktur und dem Metallmaterial führt, das zur Bildung des Kontaktes an sich verwendet wird.For example, in fabricating interconnect structures for source and drain contacts, it is necessary to remove the dielectric material located at the gate structures. The removal of the dielectric material is provided by an etching process that tends to erode the spacer material of the gate structure. In particular, the low-k dielectric material used for the spacers or sidewalls of the gate structure may be eroded away in subsequent etching processes used to form the openings for the drain and source contacts. This loss of material releases the metal material of the gate structure, resulting in a short circuit between the metal material of the gate structure and the metal material used to form the contact itself.

ZUSAMMENFASSUNGSUMMARY

In einem Aspekt der Erfindung umfasst eine Struktur: eine Mehrzahl von Gatestrukturen mit Source- und/oder Drain-Metallisierungsmerkmalen; Abstandshalter auf Seitenwänden der Gatestrukturen, wobei die Abstandshalter aus einem ersten Material und einem zweiten Material gebildet sind; und Kontakten in elektrischem Kontakt zu den Source- und/oder Drain-Metallisierungsmerkmalen, wobei die Kontakte von den Gatestrukturen durch Abstandshalter getrennt sind.In one aspect of the invention, a structure comprises: a plurality of gate structures having source and / or drain metallization features; Spacers on sidewalls of the gate structures, wherein the spacers are formed of a first material and a second material; and contacts in electrical contact with the source and / or drain metallization features, wherein the contacts are separated from the gate structures by spacers.

In einem Aspekt der Erfindung umfasst eine Struktur: eine Mehrzahl von Gatestrukturen mit Source/Drain-Bereichen, einem Gatematerial, Seitenwandabstandshaltern und einem Deckmaterial auf dem Gatematerial und den Seitenwandabstandshaltern; eine Mehrzahl von Source/Drain-Kontakten in elektrischem Kontakt zu den Source/Drain-Bereichen; eine Beschichtung, gebildet aus einem oberen Material und einem unteren Material entlang der Seitenwandabstandshalter; und Kontakte, die sich zu den Source/Drain-Kontakten erstrecken und die von dem Gatemetall durch die Beschichtung getrennt sind.In one aspect of the invention, a structure includes: a plurality of gate structures having source / drain regions, a gate material, sidewall spacers, and a cap material on the gate material and the sidewall spacers; a plurality of source / drain contacts in electrical contact with the source / drain regions; a coating formed of an upper material and a lower material along the sidewall spacers; and contacts extending to the source / drain contacts and separated from the gate metal by the coating.

In einem Aspekt der Erfindung umfasst ein Verfahren: ein Bilden einer Mehrzahl von Gatestrukturen mit Source- und/oder Drain-Metallisierungsmerkmalen; ein Bilden von Abstandshaltern auf Seitenwänden der Gatestrukturen, die ein erstes Material und ein zweites Material umfassen; und ein Bilden von Kontakten in elektrischem Kontakt zu den Source- und/oder Drain-Metallisierungsmerkmalen, wobei die Kontakte von den Gatestrukturen durch den Abstandshalter getrennt werden.In one aspect of the invention, a method comprises: forming a plurality of gate structures having source and / or drain metallization features; forming spacers on sidewalls of the gate structures comprising a first material and a second material; and forming contacts in electrical contact with the source and / or drain metallization features, wherein the contacts are separated from the gate structures by the spacer.

Figurenlistelist of figures

Die Erfindung wird in der detaillierten Beschreibung unten mit Bezug auf die Mehrzahl von Figuren anhand von nicht beschränkenden Beispielen beispielhafter Ausführungsformen der Erfindung beschrieben.

  • 1 zeigt unter anderen Merkmalen Gatestrukturen und entsprechende Fertigungsprozesse gemäß Aspekten der Erfindung.
  • 2 zeigt unter anderen Merkmalen ein vertieftes Gatematerial der Gatestrukturen und entsprechende Fertigungsprozesse gemäß Aspekten der Erfindung.
  • 3 zeigt unter anderen Merkmalen ein Deckmaterial auf den vertieften Gatematerialien und entsprechende Fertigungsprozesse gemäß Aspekten der Erfindung.
  • 4 zeigt unter anderen Merkmalen Source und/oder Drain-Metallisierungsmerkmale (Kontakte) und entsprechende Fertigungsprozesse gemäß Aspekten der Erfindung.
  • 5 zeigt unter anderen Merkmalen ein vertieftes Liner-Material und entsprechende Fertigungsprozesse gemäß Aspekten der Erfindung.
  • 6 zeigt unter anderen Merkmalen ein Abstandshaltermaterial in den Vertiefungen des Liner-Materials und entsprechende Fertigungsprozesse gemäß Aspekten der Erfindung.
  • 7 zeigt unter anderen Merkmalen eine Isolatorschicht, die in Vertiefungen der Source/Drain-Metallisierungsmerkmale gebildet ist, und entsprechende Fertigungsprozesse gemäß Aspekten der Erfindung.
  • 8 zeigt unter anderen Merkmalen Kontakte, die mit den Source/Drain-Metallisierungsmerkmalen elektrisch verbunden sind, und entsprechende Fertigungsprozesse gemäß Aspekten der Erfindung.
  • 9 zeigt eine alternative Struktur und entsprechende Fertigungsprozesse gemäß zusätzlichen Aspekten der Erfindung.
The invention will be described in the detailed description below with reference to the plurality of figures by way of non-limiting examples of exemplary embodiments of the invention.
  • 1 shows, among other features, gate structures and corresponding manufacturing processes according to aspects of the invention.
  • 2 shows, among other features, a recessed gate material of the gate structures and corresponding manufacturing processes in accordance with aspects of the invention.
  • 3 shows, among other features, a covering material on the recessed gate materials and corresponding manufacturing processes in accordance with aspects of the invention.
  • 4 shows, among other features, source and / or drain metallization features (contacts) and corresponding manufacturing processes in accordance with aspects of the invention.
  • 5 shows, among other features, a recessed liner material and corresponding manufacturing processes in accordance with aspects of the invention.
  • 6 shows, among other features, a spacer material in the recesses of the liner material and corresponding manufacturing processes in accordance with aspects of the invention.
  • 7 shows, among other features, an insulator layer formed in pits of the source / drain metallization features and corresponding fabrication processes in accordance with aspects of the invention.
  • 8th shows, among other features, contacts electrically connected to the source / drain metallization features and corresponding fabrication processes in accordance with aspects of the invention.
  • 9 shows an alternative structure and corresponding manufacturing processes according to additional aspects of the invention.

DETAILLIERTE BESCHREIBUNGDETAILED DESCRIPTION

Die Erfindung betrifft im Allgemeinen Halbleiterstrukturen und insbesondere Middie-of-Line-Strukturen und Herstellungsverfahren. Die hierin bereitgestellten Prozesse und Strukturen verwenden gemäß den Ausführungsformen hierin Abstandshalter auf den Seiten der Gatestrukturen, um einen Kurzschluss der Source/Drain (S/D) -Kontakte zu der Metallisierung der Gatestrukturen zu vermeiden. Vorteilhafterweise stellen die Abstandshalter ein zusätzliches Material bereit, um Kurzschlüsse in Fertigungsprozessen zu verhindern, insbesondere während Zwischenverbindungsstrukturen für die Source/Drain-Kontakte gebildet werden. Die hierin bereitgestellten Strukturen stellen Gatestrukturen mit einer verbesserten niedrigen parasitären Kapazität bereit.The invention generally relates to semiconductor structures, and more particularly to mid-of-line structures and fabrication methods. The processes and structures provided herein utilize spacers on the sides of the gate structures according to embodiments herein to avoid shorting the source / drain (S / D) contacts to the metallization of the gate structures. Advantageously, the spacers provide additional material to prevent short circuits in manufacturing processes, particularly during interconnect structures for the source / drain contacts. The structures provided herein provide gate structures with improved low parasitic capacitance.

Die Strukturen der Erfindung können in einer Vielzahl von Arten unter Verwendung einer Vielzahl von unterschiedlichen Werkzeugen hergestellt werden. Im Allgemeinen werden jedoch die Verfahren und Werkzeuge zur Bildung von Strukturen mit Dimensionen im Mikrometer-und Nanometerbereich verwendet. Die bei der Herstellung der Strukturen der Erfindung verwendeten Verfahren, insbesondere Technologien, wurden aus der Technik integrierter Schaltungen (ICs) übernommen. Die Strukturen werden z.B. auf Wafern gefertigt und in Materialfilmen realisiert, die durch fotolithografische Prozesse auf der Oberseite eines Wafers strukturiert werden. Insbesondere verwendet die Herstellung der Strukturen drei grundsätzliche Baublöcke: (i) Abscheidung von dünnen Materialfilmen auf einem Substrat, (ii) Aufbringen einer strukturierten Maske auf eine Oberseite der Filme mittels fotolithografischer Abbildung und (iii) selektives Ätzen der Filme bezüglich der Maske.The structures of the invention can be made in a variety of ways using a variety of different tools. In general, however, methods and tools are used to form structures with dimensions in the micrometer and nanometer range. The techniques used in fabricating the structures of the invention, particularly technologies, have been adopted from integrated circuit (IC) technology. The structures are e.g. manufactured on wafers and realized in film of material, which are structured by photolithographic processes on top of a wafer. In particular, the fabrication of the structures uses three basic building blocks: (i) deposition of thin films of material on a substrate, (ii) application of a patterned mask to an upper surface of the films by photolithographic imaging, and (iii) selective etching of the films with respect to the mask.

1 zeigt eine anfängliche Struktur und entsprechende Fertigungsprozesse gemäß Aspekten der Erfindung. Insbesondere zeigt 1 eine Struktur 100, die ein Substrat 105 umfasst, das aus einem geeigneten Halbleitermaterial gebildet ist. Das Substrat 105 kann z.B. aus einem beliebigen geeigneten Material gebildet werden, umfassend, jedoch ohne Beschränkung, Si, SiGe, SiGeC, SiC, GaAs, InAs, InP etc. In Ausführungsformen kann das Substrat 105 eine FIN-Struktur oder ein planares Merkmal darstellen. 1 shows an initial structure and corresponding manufacturing processes in accordance with aspects of the invention. In particular shows 1 a structure 100 that is a substrate 105 comprises, which is formed of a suitable semiconductor material. The substrate 105 For example, it may be formed of any suitable material including, but not limited to, Si, SiGe, SiGeC, SiC, GaAs, InAs, InP, etc. In embodiments, the substrate 105 represent a FIN structure or a planar characteristic.

In Ausführungsformern kann eine FIN-Struktur unter Verwendung einer Seitenwand-Bildübertragungs (side wall image transfer, SIT) -Technik gefertigt werden. Gemäß einem Beispiel einer SIT-Technik wird ein mandrel-Material, z.B. SiO2 auf dem Substrat 105 unter Verwendung bekannter CVD-Prozesse abgeschieden. Auf dem mandrel -Material wird ein Lack gebildet und belichtet, um eine Struktur (Öffnungen) zu bilden. Durch die Öffnungen wird ein reaktives lonenätzen durchgeführt, um die Dornen (mandrels) zu bilden. In Ausführungsformen können die Dornen unterschiedliche Breiten und/oder Abstände abhängig von den gewünschten Dimensionen der FIN-Strukturen aufweisen. An den Seitenwänden der Dornen werden Abstandshalter gebildet, die aus einem bevorzugtem Material gebildet sind, das sich von dem Material der Dornen unterscheidet und das unter Verwendung bekannter Abscheidungsprozesse gebildet wird, die dem Fachmann bekannt sind. Die Abstandshalter können eine Breite aufweisen, die z.B. mit den Abmessungen der engen FIN-Strukturen übereinstimmen. Die Dornen werden unter Verwendung eines bekannten Ätzprozesses entfernt oder gestrippt, der zu dem mandrel- Material selektiv ist. Dann wird ein Ätzen innerhalb des Abstands der Abstandshalter durchgeführt, um die Sub-Lithografie Merkmale zu bilden. Die Seitenwandabstandshalter können dann gestrippt werden.In embodiments, a FIN structure may be fabricated using a sidewall image transfer (SIT) technique. According to one example of a SIT technique, a mandrel material, eg SiO 2 , is deposited on the substrate 105 deposited using known CVD processes. On the mandrel material, a varnish is formed and exposed to form a structure (openings). Reactive ion etching is performed through the openings to form the mandrels. In embodiments, the spikes may have different widths and / or spacings depending on the desired dimensions of the FIN structures. Spacers are formed on the sidewalls of the mandrels which are formed of a preferred material other than the material of the mandrels and formed using known deposition processes known to those skilled in the art. The spacers may have a width that matches, for example, the dimensions of the narrow FIN structures. The spikes are removed or stripped using a known etching process that is selective to the mandrel material. Then, etching is performed within the spacing of the spacers to form the sub-lithography features. The sidewall spacers can then be stripped.

Mit weiterem Bezug auf 1 werden Gatestrukturen 110 auf dem Substrat 105 gebildet. Die Gatestrukturen 110 können ebene Gatestrukturen oder FINFET-Gatestrukturen darstellen. In jedem Fall können die Gatestrukturen 110 unter Verwendung von bekannten Prozessen zur Bildung von Gates hergestellt werden, wie etwa Austauschgate-Herstellungsprozesse oder Gate-first-Prozesse, die im Stand der Technik bekannt sind. Gemäß Ausführungsformen umfassen die Gatestrukturen 110 ein dielektrisches Gatematerial und Metallisierungsmerkmale. Das dielektrische Gatematerial kann z.B. ein high-k-Gatedielektrikum sein, z.B. ein auf Hafnium basierendes dielektrisches Material. In weiteren Ausführungsformen können die dielektrischen high-k-Materialien ohne Beschränkung umfassen: Al2O3, Ta2O3, TiO2, La2O3, SrTiO3, LaAlO3, ZrO2, Y2O3, Gd2O3 und Kombinationen umfassend Multischichten davon. Die Metallisierungsmerkmale, insbesondere das Gatematerial 112, kann ein beliebiges Austrittarbeitsmetall oder Kombinationen von Metalle umfassen, abhängig von der speziellen Anwendung und den gewünschten Parametern. Gemäß Ausführungsformen kann das Gatematerial 112 z.B. ein Wolfram (W) -Material sein.With further reference to 1 become gate structures 110 on the substrate 105 educated. The gate structures 110 may represent planar gate structures or FINFET gate structures. In any case, the gate structures 110 can be fabricated using well-known gate forming processes such as exchange gate fabrication processes or gate first processes known in the art. According to embodiments, the gate structures comprise 110 a gate dielectric material and metallization features. The gate dielectric material may be, for example, a high-k gate dielectric, eg, a hafnium-based dielectric material. In further embodiments, the high-k dielectric materials may include, without limitation: Al 2 O 3 , Ta 2 O 3 , TiO 2 , La 2 O 3 , SrTiO 3 , LaAlO 3 , ZrO 2 , Y 2 O 3 , Gd 2 O 3 and combinations comprising multilayers thereof. The metallization features, especially the gate material 112 , may include any exit working metal or combinations of metals, depending on the particular application and the parameters desired. According to embodiments, the gate material 112 For example, be a tungsten (W) material.

Mit weiterem Bezug auf 1 können Seitenwandabstandshalter 115, z.B. ein dielektrisches low-k-Material, auf den Seitenwänden der Gatestrukturen 110 abgeschieden werden. Die Seitenwandabstandshalter 115 können durch bekannte chemische Gasphasenabscheidungs (CVD) -Prozesse abgeschieden werden, gefolgt von einem Strukturierungsprozess, z.B. einem anisotropen Ätzprozess, um ein Material von den horizontalen Oberflächen der Struktur zu entfernen. Source- und Drain-Bereiche (S/D) -Bereiche 120 können auf Seiten der Gatestrukturen 110, z.B. den Seiten der Seitenwandabstandshalter 115, im Substrat 105 unter Verwendung von z.B. einem bekannten Verfahren gebildet werden. Die S/D-Bereiche 120 können z.B. durch einen Ionenimplantationsprozess, einen Dotierungsprozess oder mittels eines Diffusionsprozesses gebildet werden, wie im Stand der Technik bekannt ist, ohne dass eine weitere Erläuterung für das Verständnis der Erfindung erforderlich ist. In weiteren Ausführungsformen können die S/D-Bereiche 120 erhöhte S/D-Bereiche, die durch ein epi-Wachstum auf den Oberflächen des Substrats 105 gebildet werden, zwischen den Gatestrukturen 110 darstellen.With further reference to 1 can sidewall spacers 115 , For example, a low-k dielectric material, on the sidewalls of the gate structures 110 be deposited. The sidewall spacers 115 can be deposited by known chemical vapor deposition (CVD) processes, followed by a patterning process, eg, an anisotropic etch process, to remove material from the horizontal surfaces of the structure to remove. Source and drain region (S / D) regions 120 may be on the side of the gate structures 110 , eg the sides of the sidewall spacers 115 , in the substrate 105 be formed using, for example, a known method. For example, the S / D regions 120 may be formed by an ion implantation process, a doping process, or by a diffusion process, as is known in the art, without further explanation being required for understanding the invention. In further embodiments, the S / D regions 120 increased S / D areas caused by epi growth on the surfaces of the substrate 105 be formed between the gate structures 110 represent.

1 zeigt ferner einen Liner 125 und ein Isolatormaterial 130, das innerhalb von Gräben abgeschieden wird, die zwischen den Gatestrukturen 110 gebildet sind, und insbesondere zwischen den Seitenwandabstandshaltern 115. In Ausführungsformen können der Liner 125 und das Isolatormaterial 130 durch CVD-Prozesse abgeschieden werden. Der Liner 125 kann aus einem beliebigen geeigneten Material gebildet werden, z.B. SiN, während z.B. das Isolatormaterial aus einem Oxid gebildet werden kann. 1 further shows a liner 125 and an insulator material 130 , which is deposited within trenches, between the gate structures 110 are formed, and in particular between the sidewall spacers 115 , In embodiments, the liner 125 and the insulator material 130 be deposited by CVD processes. The liner 125 may be formed of any suitable material, eg, SiN, while, for example, the insulator material may be formed of an oxide.

In 2 werden Vertiefungen 135 durch ein Zurückätzen der Gatestrukturen 110 gebildet. Die Vertiefungen 135 werden insbesondere durch ein Ätzen der Metallisierungsmerkmale, insbesondere des Gatematerials 112, der Gatestrukturen 110 gebildet, gefolgt von einem Ätzen der Seitenwandabstandshalter 115. In Ausführungsformen werden das Gatematerial 112 und die Seitenwandabstandshalter 115 unter eine Oberfläche des Liners 125 und des Isolatormaterial 130 vertieft. Die Gatestrukturen 110 können z.B. um ca. 10 % bis 50 % der ursprünglichen Höhe, z.B. ca. 30 nm bis 40 nm, geätzt werden. In Ausführungsformen können das Gatematerial 112 und die Seitenwandabstandshalter 115 unter Verwendung eines reaktiven Ionenätzens (RIE) mit einer Chemie geätzt werden, die bezüglich des speziellen Materials dieser Struktur selektiv ist. Vorteilhafterweise erfordern die Ätzprozesse aufgrund der selektiven Chemie keine Maske.In 2 become depressions 135 by etching back the gate structures 110 educated. The wells 135 in particular by etching the metallization features, in particular the gate material 112 , the gate structures 110 formed, followed by an etching of the sidewall spacers 115 , In embodiments, the gate material becomes 112 and the sidewall spacers 115 under a surface of the liner 125 and the insulator material 130 deepened. The gate structures 110 For example, it may be etched by about 10% to 50% of the original height, eg, about 30 nm to 40 nm. In embodiments, the gate material 112 and the sidewall spacers 115 be etched using a reactive ion etch (RIE) with a chemistry that is selective with respect to the particular material of that structure. Advantageously, the etching processes do not require a mask due to the selective chemistry.

In 3 wird ein Deckmaterial 140 als eine Schicht über den Gatestrukturen 110 und den Seitenwandabstandshaltern 115 gebildet, z.B. in den Vertiefungen 135. Auf diese Weise können die Gatestrukturen 110 ein Gatematerial 112, Seitenwandabstandshalter 115 und das Deckmaterial 140 umfassen. In Ausführungsformen kann das Deckmaterial 140 durch einen CVD-Prozess innerhalb der Vertiefungen 135 abgeschieden werden, gefolgt von einem chemisch-mechanischen Polier (CMP) -Prozess. Das Deckmaterial 140 kann einen Schutz für die Metallisierungsmerkmale der Gatestrukturen 110 während der nachfolgenden Prozesse bei der Bildung von Source/Drain-Kontakten oder Zwischenverbindungen bereitstellen, z.B. vor Ätzprozessen, um die Source/Drain-Kontakte oder Zwischenverbindungen zu bilden. Das Deckmaterial 140 kann z.B. aus SiN gebildet sein.In 3 becomes a cover material 140 as a layer over the gate structures 110 and the sidewall spacers 115 formed, for example in the wells 135 , In this way, the gate structures 110 a gate material 112 , Sidewall spacers 115 and the cover material 140 include. In embodiments, the cover material 140 through a CVD process within the wells 135 followed by a chemical mechanical polishing (CMP) process. The cover material 140 can provide protection for the metallization features of the gate structures 110 during subsequent processes in the formation of source / drain contacts or interconnects, eg before etching processes to form the source / drain contacts or interconnects. The cover material 140 may be formed of SiN, for example.

Mit weiterem Bezug auf 3 werden zwischen den Gatestrukturen 110 Gräben 150 durch Entfernen des Liners 125 und des Isolatormaterials 130 gebildet. In Ausführungsformen können der Liner 125 und das Isolatormaterial 130 durch bekannte RIE-Prozesse entfernt werden. Das Ätzen oder Entfernen der Liner 125 und des Isolatormaterials 130 legt die S/D-Bereiche 120 frei und bildet eine Abschrägung 142 des Deckmaterials 140.With further reference to 3 be between the gate structures 110 trenches 150 by removing the liner 125 and the insulator material 130 educated. In embodiments, the liner 125 and the insulator material 130 be removed by known RIE processes. The etching or removal of the liners 125 and the insulator material 130 exposes the S / D regions 120 and forms a chamfer 142 of the cover material 140 ,

4 zeigt unter anderen Merkmalen Source/Drain-Metallisierungsmerkmale und entsprechende Herstellungsprozesse gemäß Aspekten der Erfindung. Insbesondere wird ein Liner 155 auf den Seitenwänden der Seitenwandabstandshalter 115 unter Verwendung von z.B. physikalischen Gasphasenabscheidungs (PVD) -Prozessen oder CVD-Prozessen gebildet. Der Liner 155 kann unter anderen Beispielen aus Ti, TiN, TaN, Ru und Co gebildet sein. In Ausführungsformen kann der Liner 155 eine Dicke aus einem Bereich von ca. 2 nm bis 20 nm aufweisen, wobei eine gewünschte Dicke in einem Bereich von ca. 8 nm bis 10 nm liegt; obwohl auch andere Dimensionen möglich sind. In Ausführungsformen kontaktiert der Liner 155 direkt die S/D-Bereiche 120 (Silizid der S/D-Bereiche). Nach der Abscheidung des Liners 155 wird eine Metallfüllung 160 innerhalb der Gräben 150 und über dem Liner 155 abgeschieden, um die Source- und/oder Drain-Metallisierungsmerkmale 165 zu bilden. Auf diese Weise kann die Mehrzahl von Gatestrukturen 110 die Source- und/oder Drain-Metallisierungsmerkmale 165 umfassen. Die Metallfüllung 160 kann durch CVD-Prozesse abgeschieden werden und kann ein beliebiges geeignetes leitfähiges Material darstellen. Die Metallfüllung 160 kann z.B. durch T, Co oder Cu gebildet sein. Auf diese Weise umfassen die Source- und/oder Drain-Metallisierungsmerkmale 165 ein leitfähiges Füllmaterial, insbesondere die Metallfüllung 160, die mit den Source/Drain-Bereichen 120 der Gatestrukturen 110 elektrisch in Kontakt stehen. 4 shows, among other features, source / drain metallization features and corresponding fabrication processes in accordance with aspects of the invention. In particular, a liner 155 on the sidewalls of the sidewall spacers 115 formed using, for example, physical vapor deposition (PVD) processes or CVD processes. The liner 155 may be formed of Ti, TiN, TaN, Ru and Co, among other examples. In embodiments, the liner 155 have a thickness in the range of about 2 nm to 20 nm, with a desired thickness in a range of about 8 nm to 10 nm; although other dimensions are possible. In embodiments, the liner contacts 155 directly the S / D areas 120 (Silicide of the S / D regions). After the deposition of the liner 155 becomes a metal filling 160 inside the trenches 150 and over the liner 155 deposited to the source and / or drain metallization features 165 to build. In this way, the plurality of gate structures 110 the source and / or drain metallization features 165 include. The metal filling 160 can be deposited by CVD processes and can be any suitable conductive material. The metal filling 160 may be formed for example by T, Co or Cu. In this way, the source and / or drain metallization features include 165 a conductive filling material, in particular the metal filling 160 connected to the source / drain areas 120 the gate structures 110 electrically in contact.

In 5 werden Abschnitte des Liners 155 von den Source- und/oder Drain-Metallisierungsmerkmalen 165 entfernt, um die Vertiefungen 170 zwischen der Metallfüllung 160 und dem Deckmaterial 140 zu bilden. In Ausführungsformen kann der Liner 155 durch einen Nassätzprozess vertieft werden, der Chemikalien verwendet, um das Material des Liners 155 (selektiv bezüglich der verbleibenden Materialien) zu entfernen, z.B. Ti, TiN, TaN, Ru und Co usw. In Ausführungsformen können die Vertiefungen 170 verschiedene Tiefen aufweisen. Beispielsweise kann sich eine Tiefe der Vertiefungen 170 unter eine Oberfläche der Gatestruktur 110 erstrecken, z.B. unter das Deckmaterial 140 oder eine Oberfläche des Metallmaterials, insbesondere das Gatematerial 112, der Gatestrukturen 110. In Ausführungsformen können sich die Vertiefungen 170 auch innerhalb der Ausdehnung des Deckmaterials 140 erstrecken.In 5 become sections of the liner 155 from the source and / or drain metallization features 165 removed to the wells 170 between the metal filling 160 and the cover material 140 to build. In embodiments, the liner 155 be deepened by a wet etching process that uses chemicals to clean the material of the liner 155 (selectively with respect to the remaining materials), for example, Ti, TiN, TaN, Ru, and Co, etc. In embodiments, the recesses 170 have different depths. For example, a depth of the depressions 170 under one Surface of the gate structure 110 extend, eg under the cover material 140 or a surface of the metal material, in particular the gate material 112 , the gate structures 110 , In embodiments, the depressions may 170 also within the extent of the cover material 140 extend.

In 6 wird ein Abstandshaltermaterial 180 innerhalb der Vertiefungen 170 abgeschieden, um Abstandshalter 182 zu bilden. Das Abstandshaltermaterial 180 unterscheidet sich gemäß Ausführungsformen von dem Material des Liners 155, vorzugsweise ist es ein widerstandsfähigeres Material, das nachfolgenden Ätzprozessen besser widerstehen kann. Das zweite oder obere Material, insbesondere das Abstandshaltermaterial 180, kann ein dielektrisches high-k-Material oder ein dielektrisches low-k-Material mit einer Dicke sein, die der Dicke des Liners 155 ähnlich ist, z.B. 2 nm bis 20 nm. Genauer kann das Abstandshaltermaterial 180 unter anderen Beispielen aus SiN, Al2O3 oder HfO2 gebildet sein. Auf diese Weise stellt das zweite oder obere Material SiN oder Al2O3 oder HfO2 dar und das erste oder untere Material ist Ti oder TiN oder TaN oder Ru oder Co. Das Abstandshaltermaterial 180 kann durch einen bekannten CVD-Prozess abgeschieden werden, um die Vertiefungen 170 zu füllen, gefolgt von einem CMP-Prozess oder einem anderen Ätzprozess, z.B. einem Zurückätzen, Nassätzen oder Trockenätzprozess.In 6 becomes a spacer material 180 within the wells 170 deposited to spacers 182 to build. The spacer material 180 differs according to embodiments of the material of the liner 155 Preferably, it is a more durable material that can better resist subsequent etching processes. The second or upper material, especially the spacer material 180 , may be a high-k dielectric material or a low-k dielectric material having a thickness that is the thickness of the liner 155 For example, 2 nm to 20 nm. More specifically, the spacer material 180 among other examples of SiN, Al 2 O 3 or HfO 2 may be formed. In this way, the second or upper material is SiN or Al 2 O 3 or HfO 2 , and the first or lower material is Ti or TiN or TaN or Ru or Co. The spacer material 180 can be deposited by a known CVD process to the wells 170 followed by a CMP process or other etching process, eg etch back, wet etch or dry etch process.

In Ausführungsformen dienen das Abstandshaltermaterial 180 und die entsprechenden Abstandshalter 182 ferner dazu, ein Freilegen der Gatestrukturen 110 in nachfolgenden MOL-Ätzprozessen zu verhindern. Insbesondere verhindert das Abstandshaltermaterial 180 effizient, dass Ätzprozesse die Gatemetalle der Gatestrukturen 110, insbesondere das Gatematerial 112, freiliegen, wodurch Kurzschlüsse zwischen den Gatemetallen und den Source/Drain-Kontakten oder anderen Metallisierungsmerkmalen verhindert werden, z.B. Zwischenverbindungen, die in MOL-Prozessen gebildet werden. Gemäß der Darstellung in 6 können das Liner-Material 155 und das Abstandshaltermaterial 180 als Abstandshalter auf den Seitenwandabstandshaltern 115 der Gatestrukturen 110 fungieren und aus einem ersten Material, das den Liner 155 darstellt, und einem zweiten Material gebildet sein, das das Abstandshaltermaterial 180 darstellt. Alternativ kann das Liner-Material 155 zusammen mit dem Abstandshaltermaterial 180 als eine dünne Beschichtung angesehen werden, wobei die Beschichtung aus einem oberen Material, insbesondere dem Abstandshaltermaterial 180, und einem unteren Material, insbesondere dem Liner Material 155, entlang der Seitenwandabstandshalter 115 gebildet ist. In Ausführungsformen stehen die Abstandshalter 182, die aus dem Abstandshaltermaterial 180 gebildet sind, mit den Seitenwandabstandshaltern 115 und dem Deckmaterial 140 auf den Gatestrukturen 110 und den Source- und/oder Drain-Metallisierungsmerkmalen 165 in direktem Kontakt.In embodiments, the spacer material is used 180 and the corresponding spacers 182 furthermore, an exposure of the gate structures 110 in subsequent MOL etching processes. In particular, the spacer material prevents 180 efficient, that etching processes the gate metals of the gate structures 110 , especially the gate material 112 , thereby preventing short circuits between the gate metals and the source / drain contacts or other metallization features, eg interconnects formed in MOL processes. As shown in 6 can the liner material 155 and the spacer material 180 as spacers on the sidewall spacers 115 the gate structures 110 act and made of a first material containing the liner 155 and a second material forming the spacer material 180 represents. Alternatively, the liner material 155 together with the spacer material 180 be regarded as a thin coating, the coating of an upper material, in particular the spacer material 180 , and a lower material, in particular the liner material 155 , along the sidewall spacers 115 is formed. In embodiments, the spacers stand 182 made from the spacer material 180 are formed with the sidewall spacers 115 and the cover material 140 on the gate structures 110 and the source and / or drain metallization features 165 in direct contact.

7 zeigt ein Isolatormaterial 195, das unter anderen Merkmalen in Vertiefungen 100 der Source- und/oder Drain-Metallisierungsmerkmale 165 gebildet ist. Insbesondere wird die Metallfüllung 160 einem selektiven Ätzprozess unterzogen, um Vertiefungen 190 zwischen dem Abstandshaltermaterial 180 zu bilden, wobei die Abstandshalter 182 verbleiben, die aus dem Abstandshaltermaterial 180 gebildet sind. In Ausführungsformen weisen die Vertiefungen 190 eine Tiefe auf, die innerhalb der Erstreckung der Abstandshalter 182 liegt, z.B. vorzugsweise über den Metallisierungsmerkmalen der Gatestrukturen 110, insbesondere dem Gatematerial 112. Auf diese Weise können insbesondere die Abstandshalter 182 vor Erosion des Deckmaterials 140 in nachfolgenden Ätzprozessen dadurch geschützt werden, dass die Tiefe der Vertiefungen 190 innerhalb der Erstreckung der Abstandshalter 182 beibehalten wird, die aus dem Abstandshaltermaterial 180 gebildet sind. Insbesondere schützt das zweite oder obere Material, insbesondere das Abstandshaltermaterial 180, die Schicht des Deckmaterials 140 vor Erosion in einem Ätzprozess. Innerhalb der Vertiefungen 190 kann ein Isolatormaterial 195 abgeschieden werden. Das Isolatormaterial 195 kann ein dielektrisches Zwischenmaterial sein, z.B. ein Oxid, das durch einen CVD-Prozess abgeschieden wird. 7 shows an insulator material 195 , among other features in depressions 100 the source and / or drain metallization features 165 is formed. In particular, the metal filling 160 subjected to a selective etching process to depressions 190 between the spacer material 180 to form, with the spacers 182 remain from the spacer material 180 are formed. In embodiments, the recesses 190 a depth that is within the extension of the spacers 182 is, for example, preferably over the Metallisierungsmerkmalen the gate structures 110 , especially the gate material 112 , In this way, in particular the spacers 182 before erosion of the cover material 140 be protected in subsequent etching processes that the depth of the wells 190 within the extension of the spacers 182 is maintained from the spacer material 180 are formed. In particular, the second or upper material, in particular the spacer material, protects 180 , the layer of the cover material 140 against erosion in an etching process. Within the wells 190 can be an insulator material 195 be deposited. The insulator material 195 may be a dielectric intermediate, eg an oxide deposited by a CVD process.

In 8 werden Metallisierungsstrukturen 200 in dem Isolatormaterial 195 gebildet und diese fungieren als Kontakte, die mit der Metallfüllung 160 der S/D-Bereiche 120 in direktem elektrischen Kontakt stehen. Insbesondere stellen die Metallisierungsstrukturen 200 Kontakte dar, die mit den Source- und/oder Drain-Metallisierungsmerkmalen 165 in direktem elektrischen Kontakt sind, und sind von den Gatestrukturen 110 durch das Abstandshaltermaterial 180 getrennt. In dieser Weise erstrecken sich die Kontakte, insbesondere die Metallisierungsstrukturen 200, zu den S/D-Bereichen 120 und sind von dem Gatematerial 112 durch die dünne Beschichtung getrennt, die durch das Liner-Material 155 und das Abstandshaltermaterial 180 gebildet wird. Die Metallisierungsstrukturen 200 können gemäß Ausführungsformen Zwischenverbindungsstrukturen darstellen, die aus einem Wolfram-Material gebildet sind, das mit den S/D-Bereichen 120 (über die Metallfüllung 160) in elektrischem Kontakt steht. Die Metallisierungsstrukturen 200, insbesondere Verdrahtungsstrukturen oder Zwischenverbindungsstrukturen, können durch bekannte Lithografie-, Ätz- und Abscheidungsverfahren gebildet werden, die dem Fachmann bekannt sind.In 8th become metallization structures 200 in the insulator material 195 formed and these act as contacts that with the metal filling 160 the S / D regions 120 are in direct electrical contact. In particular, the metallization structures 200 Contacts that are associated with the source and / or drain metallization features 165 are in direct electrical contact, and are of the gate structures 110 through the spacer material 180 separated. In this way, the contacts, in particular the metallization structures extend 200 , to the S / D areas 120 and are from the gate material 112 separated by the thin coating that passes through the liner material 155 and the spacer material 180 is formed. The metallization structures 200 According to embodiments, they may represent interconnection structures formed of a tungsten material bonded to the S / D regions 120 (via the metal fill 160 ) is in electrical contact. The metallization structures 200 , in particular wiring structures or interconnection structures, may be formed by known lithography, etching and deposition methods known to those skilled in the art.

Die Metallisierungsstrukturen 200 können dadurch hergestellt werden, dass ein Lack über dem Isolatormaterial 195 gebildet wird, der einer Energie (Licht) ausgesetzt wird, um eine Struktur (Öffnung) zu bilden. Es wird ein Ätzprozess mit einer selektiven Chemie, z.B. RIE, eingesetzt, um wenigstens einen Graben in dem Isolatormaterial 195 durch die Öffnungen des Lacks zu bilden. Das Abstandshaltermaterial 180 verhindert, dass der Ätzprozess die Materialien der Gatestrukturen 110 freilegt; insbesondere stellt das Abstandshaltermaterial 180 während des Ätzprozesses einen Schutz bereit, so dass das Deckmaterial 140 und/oder das Seitenwandabstandshaltermaterial 115 und/oder das Gatematerial 112 während des Ätzprozesses keiner (oder wenig) Erosion ausgesetzt ist. Aufgrund davon ist das leitfähige Material, das für die Metallisierungsstrukturen 200 abgeschieden wird, nicht mit dem Metallmaterial, insbesondere dem Gatematerial 112, der Gatestrukturen 110 in Kontakt. Auf diese Weise wird ein Kurzschluss verhindert.The metallization structures 200 can be made by applying a varnish over the insulator material 195 is formed, which is exposed to an energy (light) to form a structure (opening). An etching process with a selective chemistry, eg RIE, is used to form at least one trench in the insulator material 195 to form through the openings of the paint. The spacer material 180 prevents the etching process the materials of the gate structures 110 exposing; In particular, the spacer material provides 180 provide protection during the etching process so that the cover material 140 and / or the sidewall spacer material 115 and / or the gate material 112 no (or little) erosion during the etching process. Because of this, the conductive material is responsible for the metallization structures 200 is deposited, not with the metal material, in particular the gate material 112 , the gate structures 110 in contact. In this way, a short circuit is prevented.

In Ausführungsformen kann der Lack durch einen bekannten Sauerstoffveraschungsprozess oder andere bekannte Entfernungsmittel gefolgt durch die Abscheidung des leitfähigen Materials mittels bekannter Abscheidungsprozesse, z.B. CVD-Prozesse, entfernt werden. Jedes verbleibende leitfähige Material auf der Oberfläche des Isolatormaterials 195 kann durch bekannte chemisch-mechanische Polier (CMP) -Prozesse entfernt werden.In embodiments, the paint may be removed by a known oxygen ashing process or other known removal means followed by the deposition of the conductive material by known deposition processes, eg, CVD processes. Any remaining conductive material on the surface of the insulator material 195 can be removed by known chemical mechanical polishing (CMP) processes.

9 zeigt eine alternative Struktur 100' und entsprechende Fertigungsprozesse gemäß zusätzlicher Aspekte der Erfindung. Beispielsweise wird der Liner 155 in 9 unter das Deckmaterial 140, und sogar weiter bevorzugt bedeutend unter einer Oberfläche des Metallisierungsmerkmals der Gatestrukturen 110, insbesondere das Gatematerial 112, vertieft, wie durch das Bezugszeichen 170' dargestellt wird. In Ausführungsformen befinden sich die Vertiefungen 170' an einer solchen Tiefe, dass ein Luftspalt 175, der in nachfolgenden Abscheidungsprozessen des Abstandshaltermaterials 180 aufgrund von Abschnürungsphänomenen gebildet wird; insbesondere führt die Kombination aus der Tiefe und Breite der Vertiefungen 170', insbesondere das Aspekt-Verhältnis der Vertiefungen 170', zu der Bildung von Luftspalten 175 zwischen den Seitenwandabstandshaltern 115 und der Metallfüllung 160 während der Abscheidung des Abstandshaltermaterials 180 aufgrund eines Abschnürungsphänomens. Auf diese Weise befinden sich die Luftspalte 175 zwischen dem ersten oder unterem Material, insbesondere dem Liner 155, und dem zweiten oder oberen Material, insbesondere dem Abstandshaltermaterial 180. Weiterhin befinden sich die Luftspalte 175 unter einer Oberfläche des Gatematerials 112 der Gatestrukturen 110 und sind von dem Gatematerial 112 durch die Seitenwandabstandshalter 115 getrennt. Insbesondere befinden sich die Luftspalte 175 nahe den Seitenwandabstandshaltern 115 an den Gatestrukturen 110 und unterhalb des Deckmaterials 140 über dem Gatematerial 112. In Ausführungsformen fungieren die Luftspalte 175 dazu, die parasitäre Kapazität der Struktur zu erhöhen. Die verbleibenden Abschnitte der Struktur 100' sind ähnlich denen, die hierin beschrieben wurden. 9 shows an alternative structure 100 ' and corresponding manufacturing processes according to additional aspects of the invention. For example, the liner 155 in 9 under the cover material 140 , and even more preferably significantly below a surface of the metallization feature of the gate structures 110 , especially the gate material 112 , deepened as indicated by the reference 170 ' is pictured. In embodiments, the wells are located 170 ' at such a depth that an air gap 175 in subsequent deposition processes of the spacer material 180 is formed due to pinch-off phenomena; In particular, the combination of the depth and width of the wells 170 ' , in particular the aspect ratio of the recesses 170 ' , to the formation of air gaps 175 between the sidewall spacers 115 and the metal filling 160 during the deposition of the spacer material 180 due to a pinch-off phenomenon. This is how the air gaps are located 175 between the first or lower material, in particular the liner 155 , and the second or upper material, in particular the spacer material 180 , Furthermore, there are the air gaps 175 under a surface of the gate material 112 the gate structures 110 and are from the gate material 112 through the sidewall spacers 115 separated. In particular, there are the air gaps 175 near the sidewall spacers 115 at the gate structures 110 and below the cover material 140 over the gate material 112 , In embodiments, the air gaps function 175 to increase the parasitic capacitance of the structure. The remaining sections of the structure 100 ' are similar to those described herein.

Die hierin beschriebenen Prozesse und sich ergebenen Strukturen dienen dazu, weiterhin das Gatemetall der Gatestruktur in MOL-Prozessen zu schützen. Die sich ergebenden Strukturen, insbesondere Seitenwandstrukturen, verhindern folglich Kurzschlüsse, die beim Auftreten mit Zwischenverbindungen oder anderen Verdrahtungsstrukturen der Source/Drain-Bereiche auftreten. Entsprechend erhöhen die hierin beschriebenen Prozesse und Strukturen die Ausbeute.The processes and resulting structures described herein serve to further protect the gate metal of the gate structure in MOL processes. The resulting structures, particularly sidewall structures, thus prevent short circuits that occur when occurring with interconnects or other wiring structures of the source / drain regions. Accordingly, the processes and structures described herein increase the yield.

Das/die Verfahren, das/die oben beschrieben wurde/wurden, wird/werden in der Herstellung von integrierten Schaltungschips verwendet. Die sich ergebenden integrierten Schaltungschips können durch den Hersteller in der Form von nackten Wafern (insbesondere als ein einzelner Wafer mit mehreren nicht gehausten Chips), als ein reines Die oder in gehauster Form vertrieben werden. In letzterem Fall wird der Chip in einem Einzelchipgehäuse (z.B. einem Plastikträger mit Leitungen, die in an einem Motherboard oder einem anderen Träger höherer Ordnung angebracht sind) oder in einem Mehrchipgehäuse (z.B. einem Keramikträger mit Oberflächenzwischenverbindungen und/oder vergrabenen Zwischenverbindungen) montiert. In jedem Fall wird der Chip dann mit anderen Chips, diskreten Schaltungselementen und/oder anderen signalverarbeitenden Vorrichtungen als Teil von (a) einem Zwischenprodukt, z.B. einem Motherboard, oder (b) einem Endprodukt integriert. Das Endprodukt kann ein beliebiges Produkt sein, das integrierte Schaltungschips umfasst, im Bereich von Spielzeug und anderen Low-end-Anwendungen bis zu fortschrittlichen Computerprodukten mit einer Anzeige, einer Tastatur oder anderen Eingabevorrichtungen und einem Zentralprozessor.The method (s) described above are used in the manufacture of integrated circuit chips. The resulting integrated circuit chips may be distributed by the manufacturer in the form of bare wafers (especially as a single wafer with multiple non-die-cut chips), as a pure die or in a hulled form. In the latter case, the chip is mounted in a single chip package (e.g., a plastic carrier with leads attached to a motherboard or other higher order carrier) or in a multi-chip package (e.g., a ceramic carrier having surface interconnects and / or buried interconnects). In either case, the chip is then integrated with other chips, discrete circuit elements and / or other signal processing devices as part of (a) an intermediate, e.g. a motherboard, or (b) an end product integrated. The end product may be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products with a display, keyboard or other input devices, and a central processor.

Die Beschreibung der verschiedenen Ausführungsformen der Erfindung erfolgte zur Veranschaulichung und soll nicht vollständig oder auf die hierin beschriebenen Ausführungsformen beschränkend sein. Viele Modifizierungen und Variationen sind ersichtlich und liegen im Wesen und Rahmen der beschriebenen Ausführungsformen. Die hierin verwendete Terminologie wurde ausgewählt, um die Prinzipien der Ausführungsformen, die praktische Anwendung oder technische Verbesserung gegenüber Technologien am besten zu erläutern, die auf dem Markt angefunden werden, oder um dem Laien ein Verständnis der hierin beschriebenen Ausführungsformen zu ermöglichen.The description of the various embodiments of the invention has been presented by way of illustration and is not intended to be exhaustive or limited to the embodiments described herein. Many modifications and variations are apparent and within the spirit and scope of the described embodiments. The terminology used herein has been selected to best explain the principles of the embodiments, the practical application or technical improvement over the technologies that have been discovered on the market, or to enable those of ordinary skill in the art to understand the embodiments described herein.

Claims (20)

Struktur, umfassend: eine Mehrzahl von Gatestrukturen mit Source- und/oder Drain-Metallisierungsmerkmalen; Abstandshalter auf Seitenwänden der Gatestrukturen, die aus einem ersten Material und einem zweiten Material gebildet sind; und Kontakte in elektrischem Kontakt zu den Source- und/oder Drain-Metallisierungsmerkmalen, die von den Gatestrukturen durch die Abstandshalter getrennt sind.Structure comprising: a plurality of gate structures having source and / or drain metallization features; Spacers on sidewalls of the gate structures formed of a first material and a second material; and contacts in electrical contact with the source and / or drain metallization features separated from the gate structures by the spacers. Struktur nach Anspruch 1, wobei die Gatestrukturen ein Gatematerial, Seitenwandabstandshalter und eine Deckschicht umfassen.Structure after Claim 1 wherein the gate structures comprise a gate material, sidewall spacers, and a capping layer. Struktur nach Anspruch 2, wobei das zweite Material die Deckschicht in einem Ätzprozess vor Erosion schützt.Structure after Claim 2 wherein the second material protects the cover layer from erosion in an etching process. Struktur nach Anspruch 3, wobei das zweite Material ein dielektrisches high-k-Material oder ein dielektrisches low-k-Material ist.Structure after Claim 3 wherein the second material is a high-k dielectric material or a low-k dielectric material. Struktur nach Anspruch 4, wobei das zweite Material aus SiN oder Al2O3 oder HfO2 gebildet ist.Structure after Claim 4 , wherein the second material of SiN or Al 2 O 3 or HfO 2 is formed. Struktur nach Anspruch 5, wobei das erste Material aus Ti, TiN, TaN, Ru oder Co gebildet ist.Structure after Claim 5 wherein the first material is formed of Ti, TiN, TaN, Ru or Co. Struktur nach Anspruch 6, wobei die Source- und/oder Drain-Metallisierungsmerkmale ein leitfähiges Füllmaterial umfassen, das mit Source- und Drain-Bereichen der Gatestrukturen in elektrischem Kontakt steht.Structure after Claim 6 wherein the source and / or drain metallization features comprise a conductive fill material in electrical contact with source and drain regions of the gate structures. Struktur nach Anspruch 6, wobei die Abstandshalter mit einer Seitenwandstruktur und einem Deckmaterial auf den Gatestrukturen und den Source- und/oder Drain-Metallisierungsmerkmalen in direktem Kontakt stehen.Structure after Claim 6 wherein the spacers are in direct contact with a sidewall structure and a cover material on the gate structures and the source and / or drain metallization features. Struktur nach Anspruch 1, ferner umfassend Luftspalte zwischen dem ersten Material und dem zweiten Material.Structure after Claim 1 , further comprising air gaps between the first material and the second material. Struktur nach Anspruch 9, wobei sich die Luftspalte unter einer Oberfläche des Gatemetallmaterials der Gatestrukturen befinden.Structure after Claim 9 wherein the air gaps are below a surface of the gate metal material of the gate structures. Struktur nach Anspruch 10, wobei sich die Luftspalte neben Seitenwandabstandshaltern auf den Gatestrukturen und unter einem Deckmaterial über dem Gatemetallmaterial befinden.Structure after Claim 10 wherein the air gaps are adjacent sidewall spacers on the gate structures and under a cover material over the gate metal material. Struktur, umfassend: eine Mehrzahl von Gatestrukturen mit Source/Drain-Bereichen, einem Gatematerial, Seitenwandabstandhaltern und einem Deckmaterial auf dem Gatematerial und den Seitenwandabstandshaltern; eine Mehrzahl von Source/Drain-Kontakten in elektrischem Kontakt zu den Source/Drain-Bereichen; eine Beschichtung, die ein oberes Material oder ein unteres Material entlang der Seitenwandabstandshalter umfasst; und Kontakte, die sich zu den Source/Drain-Kontakten erstrecken und von dem Gatemetall durch die Beschichtung getrennt sind.Structure comprising: a plurality of gate structures having source / drain regions, a gate material, sidewall spacers, and a cap material on the gate material and the sidewall spacers; a plurality of source / drain contacts in electrical contact with the source / drain regions; a coating comprising an upper material or a lower material along the sidewall spacers; and Contacts extending to the source / drain contacts and separated from the gate metal by the coating. Struktur nach Anspruch 12, wobei das Bodenmaterial aus Ti, TiN, TaN, Ru oder Co gebildet ist.Structure after Claim 12 wherein the soil material is formed of Ti, TiN, TaN, Ru or Co. Struktur nach Anspruch 13, wobei das obere Material aus SiN oder Al2O3 oder HfO2 gebildet ist.Structure after Claim 13 , wherein the upper material is formed of SiN or Al 2 O 3 or HfO 2 . Struktur nach Anspruch 14, ferner umfassend ein Luftspalt zwischen dem oberen Material und dem unteren Material der Beschichtung.Structure after Claim 14 , further comprising an air gap between the upper material and the lower material of the coating. Struktur nach Anspruch 15, wobei sich der Luftspalt unter einer Oberfläche des Gatematerials befindet und davon durch die Seitenwandabstandshalter getrennt ist.Structure after Claim 15 wherein the air gap is below a surface of the gate material and separated therefrom by the sidewall spacers. Struktur nach Anspruch 12, wobei das obere Material aus einem dielektrischen high-k-Material gebildet ist.Structure after Claim 12 wherein the upper material is formed of a high-k dielectric material. Verfahren, umfassend: ein Bilden einer Mehrzahl von Gatestrukturen mit Source- und/oder Drain-Metallisierungsmerkmalen; ein Bilden von Abstandshaltern auf Seitenwänden der Gatestrukturen, die ein erstes Material und ein zweites Material umfassen; und ein Bilden von Kontakten in elektrischem Kontakt zu den Source- und/oder Drain-Metallisierungsmerkmalen, die von den Gatestrukturen durch die Abstandshalter getrennt sind.Method, comprising: forming a plurality of gate structures having source and / or drain metallization features; forming spacers on sidewalls of the gate structures comprising a first material and a second material; and forming contacts in electrical contact with the source and / or drain metallization features separated from the gate structures by the spacers. Verfahren nach Anspruch 18, ferner umfassend ein Bilden von Luftspalten zwischen dem ersten Material und dem zweiten Material.Method according to Claim 18 further comprising forming air gaps between the first material and the second material. Verfahren nach Anspruch 18, wobei das erste Material aus Ti, TiN, TaN, Ru oder Co gebildet ist und das zweite Material aus SiN oder Al2O3 oder HfO2 gebildet ist.Method according to Claim 18 wherein the first material is formed of Ti, TiN, TaN, Ru or Co and the second material is formed of SiN or Al 2 O 3 or HfO 2 .
DE102018220751.8A 2018-01-17 2018-11-30 MIDDLE-OF-LINE STRUCTURES Pending DE102018220751A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/873,565 US10580875B2 (en) 2018-01-17 2018-01-17 Middle of line structures
US15/873,565 2018-01-17

Publications (1)

Publication Number Publication Date
DE102018220751A1 true DE102018220751A1 (en) 2019-07-18

Family

ID=67068880

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018220751.8A Pending DE102018220751A1 (en) 2018-01-17 2018-11-30 MIDDLE-OF-LINE STRUCTURES

Country Status (4)

Country Link
US (2) US10580875B2 (en)
CN (1) CN110047829B (en)
DE (1) DE102018220751A1 (en)
TW (1) TWI699865B (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10580875B2 (en) * 2018-01-17 2020-03-03 Globalfoundries Inc. Middle of line structures
US11195753B2 (en) * 2018-09-18 2021-12-07 International Business Machines Corporation Tiered-profile contact for semiconductor
US10811319B2 (en) * 2018-11-29 2020-10-20 Globalfoundries Inc. Middle of line structures
KR20200066959A (en) * 2018-12-03 2020-06-11 엘지디스플레이 주식회사 Transparent Display Device
US11107728B2 (en) * 2019-05-22 2021-08-31 International Business Machines Corporation Interconnects with tight pitch and reduced resistance
US11152486B2 (en) * 2019-07-15 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET semiconductor device having source/drain contact(s) separated by airgap spacer(s) from the gate stack(s) to reduce parasitic capacitance
JP7466406B2 (en) * 2020-08-20 2024-04-12 東京エレクトロン株式会社 Semiconductor device manufacturing method and film forming apparatus

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5037334A (en) 1990-11-30 1991-08-06 Amp Corporated Connector with equal lateral force contact spacer plate
US5668024A (en) * 1996-07-17 1997-09-16 Taiwan Semiconductor Manufacturing Company CMOS device structure with reduced risk of salicide bridging and reduced resistance via use of a ultra shallow, junction extension, ion implantation process
CN1959959B (en) * 2005-10-31 2010-04-21 中芯国际集成电路制造(上海)有限公司 Single mask design method and structure in use for integrating PMOS and MMOS transistors of strain silicon
KR20070102001A (en) 2006-04-13 2007-10-18 한국원자력연구원 Spacer grid spring for increasing the conformal contact area with fuel rod
KR100746226B1 (en) 2006-05-30 2007-08-03 삼성전자주식회사 Semiconductor device having a contact structure with a contact spacer and method of fabricating the same
JP2009111200A (en) * 2007-10-31 2009-05-21 Panasonic Corp Semiconductor device and fabrication method for same
US8026172B2 (en) 2009-06-29 2011-09-27 Sandisk 3D Llc Method of forming contact hole arrays using a hybrid spacer technique
US8358012B2 (en) * 2010-08-03 2013-01-22 International Business Machines Corporation Metal semiconductor alloy structure for low contact resistance
US8455952B2 (en) * 2010-11-22 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer elements for semiconductor device
US9059211B2 (en) * 2011-10-03 2015-06-16 International Business Machines Corporation Oxygen scavenging spacer for a gate electrode
EP2757580A1 (en) * 2013-01-22 2014-07-23 Nxp B.V. Bipolar cmos dmos (bcd) processes
US9093298B2 (en) * 2013-08-22 2015-07-28 Texas Instruments Incorporated Silicide formation due to improved SiGe faceting
US9184263B2 (en) * 2013-12-30 2015-11-10 Globalfoundries Inc. Methods of forming gate structures for semiconductor devices using a replacement gate technique and the resulting devices
US9871121B2 (en) * 2014-03-10 2018-01-16 Qualcomm Incorporated Semiconductor device having a gap defined therein
US9397008B1 (en) * 2015-04-21 2016-07-19 United Microelectronics Corp. Semiconductor device and manufacturing method of conductive structure in semiconductor device
US9691897B2 (en) * 2015-09-28 2017-06-27 Globalfoundries Inc. Three-dimensional semiconductor transistor with gate contact in active region
US9627510B1 (en) * 2015-12-02 2017-04-18 International Business Machines Corporation Structure and method for replacement gate integration with self-aligned contacts
US10580875B2 (en) * 2018-01-17 2020-03-03 Globalfoundries Inc. Middle of line structures

Also Published As

Publication number Publication date
CN110047829B (en) 2023-06-23
CN110047829A (en) 2019-07-23
US10580875B2 (en) 2020-03-03
TWI699865B (en) 2020-07-21
US20200152749A1 (en) 2020-05-14
US20190221650A1 (en) 2019-07-18
TW201933570A (en) 2019-08-16
US10978566B2 (en) 2021-04-13

Similar Documents

Publication Publication Date Title
DE102018220751A1 (en) MIDDLE-OF-LINE STRUCTURES
DE102016114705B4 (en) Etch stop layer for semiconductor components
DE102005033916B4 (en) Orientation of an MTJ stack of traces in the absence of topography
DE102014117338B4 (en) METHOD FOR FORMING A CONNECTING STRUCTURE FOR A SEMICONDUCTOR DEVICE
DE102005034386B4 (en) Depth alignment marks on edge chips for subsequent alignment of opaque layers
DE69836943T2 (en) Planarization of a non-compliant device layer in semiconductor fabrication
DE112006002952B4 (en) Process for the preparation of semiconductor devices with spacers
DE102017110443B4 (en) A semiconductor device comprising a non-volatile memory and a method of manufacturing such a semiconductor device
DE102018202253B4 (en) Method for etching a deep trench isolation structure with an air gap ending in an interlevel dielectric material and associated structures
DE102018208546A1 (en) STRUCTURES FROM THE MIDDLE AREA OF THE MANUFACTURING LINE
DE102019216082B4 (en) SCALED GATE CONTACT AND SOURCE/DRAIN CAP AND METHOD OF MAKING THEM
DE102017117937A1 (en) Split rail structures located in adjacent metal layers
DE102016118062B4 (en) Method for producing a semiconductor component with a non-volatile memory and a logic circuit
DE102015103264B4 (en) A method of forming a semiconductor device structure with a gate
DE102019203596B4 (en) Multiple structuring with mandrel cuts formed using a block mask
DE102010002411B4 (en) Method for producing contact bars with reduced marginal zone capacity in a semiconductor device
DE102018218869A1 (en) Method of forming contact structures on integrated circuit products
DE102019204967A1 (en) Chamfered exchange gate structures
DE102019103422A1 (en) Use mask layers to facilitate the fabrication of self-aligned contacts and vias
DE102018125000B4 (en) Via structure and method thereof
DE102011002769A1 (en) Hybrid contact structure with small aspect ratio contacts in a semiconductor device
DE102019207381A1 (en) Different lower and upper spacers for one contact
DE102016119019B4 (en) Semiconductor component and manufacturing process therefor
DE102015117230B4 (en) Method of forming a semiconductor device structure
DE112012002648B4 (en) Low profile local interconnect and method of making the same

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication