DE102005034386B4 - Tiefe Justiermarken auf Rand-Chips zum anschließenden Ausrichten von opaken Schichten - Google Patents

Tiefe Justiermarken auf Rand-Chips zum anschließenden Ausrichten von opaken Schichten Download PDF

Info

Publication number
DE102005034386B4
DE102005034386B4 DE102005034386A DE102005034386A DE102005034386B4 DE 102005034386 B4 DE102005034386 B4 DE 102005034386B4 DE 102005034386 A DE102005034386 A DE 102005034386A DE 102005034386 A DE102005034386 A DE 102005034386A DE 102005034386 B4 DE102005034386 B4 DE 102005034386B4
Authority
DE
Germany
Prior art keywords
alignment mark
layer
over
mask
insulating layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102005034386A
Other languages
English (en)
Other versions
DE102005034386A1 (de
Inventor
Ihar Kasko
Chandrasekhar Sarma
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Polaris Innovations Ltd
Original Assignee
Qimonda AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qimonda AG filed Critical Qimonda AG
Publication of DE102005034386A1 publication Critical patent/DE102005034386A1/de
Application granted granted Critical
Publication of DE102005034386B4 publication Critical patent/DE102005034386B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/5442Marks applied to semiconductor devices or parts comprising non digital, non alphanumeric information, e.g. symbols
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54453Marks applied to semiconductor devices or parts for use prior to dicing
    • H01L2223/54466Located in a dummy or reference die
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54473Marks applied to semiconductor devices or parts for use after dicing
    • H01L2223/5448Located on chip prior to dicing and remaining on chip after dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54493Peripheral marks on wafers, e.g. orientation flats, notches, lot number
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

Verfahren zum Herstellen eines Halbleiterelementes (100), wobei: • ein Substrat (102) bereitgestellt wird, welches eine Mehrzahl von Die-Bereichen (105) und mindestens einen Rand-Bereich (103) mit Gebieten mit unvollständigen Dies oder ungenutzten Substrat-Gebieten enthält, wobei jeder Die-Bereich (105) einen Justiermarken-Bereich (104) und einen Bauelement-Bereich (106) enthält; • eine erste isolierende Schicht (116) über dem Substrat gebildet wird; • mindestens eine erste Justiermarke (114) über dem Justiermarken-Bereich (104) jedes Die-Bereiches (105) und eine Mehrzahl von ersten Leiterbahnen (112) über dem Bauelement-Bereich (106) jedes Die-Bereiches (105) innerhalb der ersten isolierenden Schicht (116) gebildet wird, wobei das Bilden der mindestens einen ersten Justiermarke (114) das Füllen der mindestens einen ersten Justiermarke (114) mit einem leitenden Material aufweist; • mindestens eine zweite Justiermarke (140) innerhalb zumindest der ersten isolierenden Schicht (116) über dem mindestens einen Rand-Bereich (103) des Substrats gebildet wird, wobei die mindestens eine zweite Justiermarke (114) einen Graben mit...

Description

  • Die vorliegende Erfindung betrifft allgemein die Herstellung von Halbleiterelementen (semiconductor devices) und insbesondere das Ausrichten opaker Materialschichten von Halbleiterelementen.
  • Im Allgemeinen werden Halbleiterelernente hergestellt durch das Abscheiden einer Mehrzahl von isolierenden, leitenden und halbleitenden Materialschichten auf einem Substrat oder Werkstück und dem Strukturieren der verschiedenen Materialschichten, um integrierte Schaltkreise und elektronische Komponenten darauf zu bilden. Jede Schicht wird typischerweise an einer darunter liegenden Materialschicht unter der Verwendung von Justiermarken ausgerichtet. Die Geräte, welche zur Herstellung von Halbleiterelementen verwendet werden, lokalisieren visuell die Justiermarken, deren Position in die Geräte einprogrammiert wird.
  • Wenn eine Materialschicht durchsichtig (transparent) oder durchscheinend ist, so wie es viele isolierende Materialschichten und Halbleitermaterialschichten sind, und ebenso Photoresists oder Hartmasken, welche als Masken zum Strukturieren von Materialschichten verwendet werden, kann das Gerät durch die isolierende Schicht, die Halbleitermaterialschicht oder den Photoresist ”hindurchsehen” und die Justiermarken lokalisieren. Viele leitende Schichten sind jedoch optisch opak, und daher müssen zusätzliche Schritte unternommen werden um sie auszurichten.
  • Eine Methode zum Ausrichten optisch opaker Schichten besteht darin, vertiefte Justiermarken in einer darunter liegenden Materialschicht zu bilden. Die Justiermarken werden dabei gleichzeitig mit Leiterbahnen oder Vias in einem Damascene-Prozess gebildet, indem ein Teil des Materials von den Justiermarken entfernt und die opake Schicht über der Materialschicht mit den vertieften Justiermarken abgeschieden wird. Theoretisch sollten die Justiermarken dann auf der oberen Oberfläche der opaken Schicht sichtbar sein, z. B. als Vertiefungen.
  • Für den Fall jedoch, dass die vertieften Justiermarken in einer durch ein Damascene-Verfahren hergestellten Schicht gebildet werden (z. B. einer Schicht aus Leiterbahnen oder Vias in einer isolierenden Schicht), und die isolierende Schicht einem Chemisch-Mechanischen Polierverfahren (CMP) ausgesetzt wird (wie es typisch ist, um überschüssiges leitendes Material von der oberen Oberfläche der isolierenden Schicht zu entfernen, um Leiterbahnen oder Vias zu bilden), werden die Kanten der Justiermarken durch den CMP-Prozess erodiert und sind daher nicht scharf. Dies erschwert die Verwendung der Justiermarken zum Ausrichten einer anschließend abgeschiedenen opaken Schicht, da die Vertiefungen, welche auf die Topographie der opaken Schicht übertragen wurden, nicht mehr genauso gut auf der oberen Oberfläche der opaken Schicht sichtbar sind. Das Vorhandensein klar definierter Justiermarken ist erforderlich für eine extrem genaue Ausrichtungstoleranz, welche durch das Leistungsverhalten eines Bauteils diktiert wird.
  • Die Verwendung eines CMP-Prozesses über vertieften Justiermarken bringt weitere Probleme mit sich. Ablagerungen des CMP-Poliermittels können in den Justiermarken eingefangen werden, wodurch die Topographie einer anschließend abgeschiedenen opaken Schicht weniger sichtbar oder überhaupt nicht sichtbar wird, insbesondere dann, wenn die Justiermarken flach sind. Zusätzlich führt das Abscheiden von opaken Schichten über solchen Justiermarken zu zerklüfteten Kanten in den Vertiefungen in der Topographie der opaken Schicht über den Justiermarken, wodurch die Vertiefungen zum Ausrichten unbrauchbar werden. Weiterhin können die CMP-Poliermittel-Materialien, welche in den Justiermarken verblieben sind, mit bestimmten Materialien, welche in anschließenden Ätzprozessen verwendet werden (z. B. Chlor oder Fluor), reagieren, was eine Explosion der Justiermarken zur Folge hat, wodurch diese als Justiermarken unbrauchbar werden. Die Explosion der Justiermarken erzeugt Fremdmaterial auf dem gesamten Chip, führt zur Ablösung von Schichten und beeinflusst daher nachteilig die Funktion des Bauelementes und reduziert die Ausbeute.
  • Eine neuere Entwicklung im Bereich der Halbleiterspeicherelemente ist unter dem Namen resistive Speicherelemente bzw. magnetische Speicherelemente (magnetic memory device) wie z. B. magnetische Direktzugriffsspeicher-Elemente (magnetic random access memory, MRAM) bekannt. In MRAM-Elementen wird anstelle der Ladung der Elektronen der Elektronen-Spin verwendet, um das Vorliegen einer logischen ”1” oder einer logischen ”0” anzuzeigen. MRAM-Elemente weisen Leiterbahnen auf (Wortleitungen und Bitleitungen), welche in unterschiedlichen Richtungen zueinander angeordnet sind, z. B. senkrecht zueinander in verschiedenen Metallschichten, wobei die Leiterbahnen ein resistives Speicherelement, welches einen Magnetstapel oder einen magnetischen Tunnel-Übergang (magnetic tunnel junction, MTJ) aufweist, sandwichartig einschließen bzw. begrenzen. Der Magnetstapel bzw. der MTJ wirken dabei als magnetische Speicherzelle. Ein Strom, welcher durch eine der Leiterbahnen fließt, erzeugt ein magnetisches Feld um diese Leiterbahn und richtet die magnetische Polarität in eine bestimmte Richtung entlang des Drahtes bzw. der Leiterbahnen aus. Entsprechend induziert ein Strom, welcher durch die andere Leiterbahn fließt, ein magnetisches Feld und kann daher auch die magnetische Polarität teilweise drehen. Digitale Information, welche durch eine ”0” oder ”1” repräsentiert wird, ist durch die Ausrichtung von magnetischen Momenten speicherbar. Der Widerstand der magnetischen Speicherzelle hängt von der Ausrichtung des magnetischen Moments ab. Der gespeicherte Zustand wird aus der magnetischen Speicherzelle ausgelesen, indem der resistive Zustand des Bauelementes detektiert wird.
  • Die in MRAM-Elementen enthaltenen MTJs weisen typischerweise eine erste magnetische Schicht, einen Tunnelisolator, welcher über der ersten magnetischen Schicht gebildet ist, sowie eine zweite magnetische Schicht, welche über dem Tunnelisolator gebildet ist, auf. Die erste magnetische Schicht und die zweite magnetische Schicht weisen z. B. typischerweise jeweils eine oder mehrere Schichten von magnetischen Materialien und/oder metallischen Materialien auf. Die erste magnetische Schicht kann eine Keimschicht aus Ta und/oder TaN, eine antiferromagnetische Schicht wie z. B. PtMn, welche über der Keimschicht angeordnet ist, sowie eine oder mehrere magnetische Materialschichten, welche z. B. CoFe, NiFe, COFeB, Ru, andere magnetische Materialien oder Kombinationen daraus aufweisen, welche über der antiferromagnetischen Schicht angeordnet sind. Die erste magnetische Schicht wird auch als fixed layer bezeichnet, da ihre magnetische Polarität festgelegt bzw. unveränderlich ist. Die zweite magnetische Schicht kann eine oder mehrere magnetische Materialschichten aufweisen, welche z. B. CoFe, NiFe, CoFeB, andere magnetische Materialien oder Kombinationen daraus aufweisen. Die zweite magnetische Schicht wird auch als free layer bezeichnet, da sich ihre magnetische Polarität ändert, wenn die magnetische Speicherzelle beschrieben wird. Der Tunnelisolator kann beispielsweise einen dünnen Isolator wie z. B. Al2O3 oder halbleitende Materialien aufweisen.
  • Für die Leiterbahnen von MRAM-Elementen wird oftmals Kupfer wegen seiner hohen Leitfähigkeit und seines niedrigen Widerstandes als Material benutzt. Kupfer ist jedoch schwierig zu ätzen, und Damascene-Prozesse, welche CMP-Prozesse verwenden, werden oft dazu verwendet, um Kupferbahnen und Metallisierungs-Justiermarken in Leiterbahn- und Via-Ebenen zu bilden. Weiterhin sind die erste magnetische Schicht und die zweite magnetische Schicht von MTJ, da sie Metalle aufweisen, opak.
  • In der Schrift US 6,342,735 B1 werden Justiermarken in einer Halbleiterstruktur beschrieben, welche für zwei verschiedene photolithographische Systeme verwendet werden können.
  • In der Schrift US 6,380,049 B1 wird die Verwendung von Gate-Justiermarken zum Positionieren von Kontaktlöchern und eine Kontakt-Justiermarke, die eine Ansammlung von Basismarken aufweist, beschrieben. Die Basismarken werden mit einer Al-Si-Cu-Legierung überzogen, ohne dass die Basismarken ihre Funktion als Justiermarken verlieren. Danach wird eine Anzahl von Basismarken oberhalb der Justiermarken ausgebildet.
  • Die Schrift US 2004/0043579 A1 beschreibt ein Verfahren zum Herstellen eines MRAM-Speichers, wobei eine Justiermarke zum Ausrichten der Schichten der MRAM-Speicher genutzt wird.
  • In der Schrift DE 10 2005 033 916 A1 wird die Ausrichtung eines MTJ-Stapels an Leiterbahnen beschrieben, wobei zweite Justiermarken verwendet werden, um durch opake Schichten verdeckte erste Justiermarken freizulegen.
  • Was in der Technik benötigt wird, sind verbesserte Verfahren zum Ausrichten der opaken Magnetstapel oder MTJ von MRAM-Elementen an darunter liegenden Materialschichten, welche durch Chemisch-Mechanisches Polieren (CMP) gebildet werden können, wie z. B. die Wortleitungen, Bitleitungen oder die leitenden Via-Ebenen des MRAM-Arrays.
  • Diese und andere Probleme werden allgemein gelöst oder umgangen und technische Vorteile werden allgemein erreicht durch bevorzugte Ausgestaltungen der vorliegenden Erfindung, welche Erfindung Verfahren bereit stellt zum Bilden von tiefen Justiermarken in Randbereichen eines Substrats in einer tiefer liegenden Materialschicht, bevor ein opakes Material abgeschieden wird. Die tiefen Justiermarken erstrecken sich vorzugsweise in eine oder mehrere isolierende Schichten. Die tiefen Justiermarken werden in den Rand-Bereichen bzw. Rand-Bereichen des Werkstückes gebildet, in ungenutzten Gebieten des Substrats wie beispielsweise dort, wo auf Grund der runden Form des Werkstückes unvollständige Chips gebildet werden. Die tiefen Justiermarken werden zumindest in einer Via-Schicht-Zwischenebenen-Dielektrikums-Schicht (interlevel dielectric, ILD) gebildet, welche über einer Metallisierungsschicht eines Halbleiterelementes angeordnet ist. Die tiefen Justiermarken können sich in die ILD-Schicht der darunter liegenden Metallisierungsschicht erstrecken, und weiterhin in tiefer liegende Materialschichten oder das Substrat. Die tiefen Justiermarken werden unter Verwendung einer separaten Lithographiemaske gebildet, und in einer Ausgestaltung werden die tiefen Justiermarken vorzugsweise mindestens genauso tief gebildet wie Vias, welche Vias in der Via-Schicht gebildet werden. Die separate Lithographiemaske für die neuartigen tiefen Justiermarken enthält nur Justiermarken in dem Rand jedes Chips bzw. Rohchips (Dies). Die tiefen Justiermarken sind nicht Teil des fertigen Produktes, da die unvollständigen Chips, auf welchen die tiefen Justiermarken gebildet werden, zusammen mit anderen unvollständigen Chips des Substrats nach dem Die-Vereinzelungsprozess verworfen werden.
  • Gemäß einer bevorzugten Ausgestaltung der vorliegenden Erfindung enthält ein Verfahren zum Herstellen eines Halbleiterelementes das Bereitstellen eines Werkstückes, welches Substrat eine Mehrzahl von Rohchip- bzw. Die-Bereichen und mindestens einen Rand-Bereich mit Gebieten mit unvollständigen Dies oder ungenutzten Substrat-Gebieten enthält, wobei jeder Die-Bereich einen Justiermarken-Bereich und einen Bauteil-Bereich enthält. Eine erste isolierende Schicht wird über dem Substrat gebildet, mindestens eine erste Justiermarke wird über dem Justiermarken-Bereich jedes Die-Bereiches gebildet, und eine Mehrzahl von ersten Leiterbahnen wird über dem Bauteil-Bereich jedes Die-Bereiches innerhalb der ersten isolierenden Schicht gebildet. Das Bilden der mindestens einen ersten Justiermarke weist das Füllen der mindestens einen ersten Justiermarke mit einem leitenden Material auf. Mindestens eine zweite Justiermarke wird innerhalb zumindest der ersten isolierenden Schicht über dem mindestens einen Rand-Bereich des Substrats gebildet, wobei die mindestens eine zweite Justiermarke einen Graben mit einem Boden und Seitenwänden aufweist. Eine opake Materialschicht wird über der mindestens einen zweiten Justiermarke und der ersten isolierenden Schicht gebildet, wobei die opake Materialschicht den Boden und die Seitenwände des Grabens der mindestens einen zweiten Justiermarke derart belegt, dass eine Vertiefung in der opaken Materialschicht über jeder der zumindest einen zweiten Justiermarke verbleibt. Das Verfahren enthält das Abscheiden einer ersten Maskenschicht über der opaken Materialschicht, und das Strukturieren der ersten Maskenschicht unter Verwendung einer Lithographiemaske oder eines Lithographiegerätes, wobei die erste Maskenschicht über der zumindest einen ersten Justiermarke entfernt wird und die Vertiefung über der mindestens einen zweiten Justiermarke zum Ausrichten der Lithographiemaske oder des Lithographiegerätes verwendet wird, welche Lithographiemaske oder welches Lithographiegerät zum Strukturieren der ersten Maskenschicht über der ersten opaken Materialschicht verwendet wird. Die opake Materialschicht wird über der mindestens einen ersten Justiermarke unter Verwendung der ersten Maskenschicht als Maske entfernt, und die erste Maskenschicht wird entfernt. Eine zweite Maskenschicht wird über der opaken Materialschicht und der zumindest einen ersten Justiermarke abgeschieden, und die zweite Maskenschicht wird mit einer Struktur für die opake Materialschicht in dem Bauteil-Bereich jedes Die-Bereiches strukturiert, wobei die mindestens eine erste Justiermarke zum Ausrichten verwendet wird. Die opake Materialschicht wird dann unter Verwendung der zweiten Maskenschicht als Maske strukturiert.
  • Gemäß einer weiteren bevorzugten Ausgestaltung der vorliegenden Erfindung enthält ein Verfahren zum Herstellen eines magnetischen Speicherelementes das Bereitstellen eines Substrats, welches Substrat eine Mehrzahl von Die-Bereichen und mindestens einen Rand-Bereich mit Gebieten mit unvollständigen Dies oder ungenutzten Substrat-Gebieten enthält, wobei jeder Die-Bereich an seinen Rändern einen Justiermarken-Bereich aufweist, sowie einen Array-Bereich, welcher innerhalb des Justiermarken-Bereiches angeordnet ist. Eine erste isolierende Schicht wird über dem Substrat gebildet, und mindestens eine erste Justiermarke wird über dem Justiermarken-Bereich jedes Die-Bereiches gebildet. Eine Mehrzahl von ersten Leiterbahnen wird über dem Array-Bereich jedes Die-Bereiches innerhalb der ersten isolierenden Schicht gebildet, wobei das Bilden der mindestens einen ersten Justiermarke das Füllen der mindestens einen ersten Justiermarke mit einem leitenden Material aufweist. Mindestens eine zweite Justiermarke wird innerhalb zumindest der ersten isolierenden Schicht über dem mindestens einen Rand-Bereich des Werkstückes gebildet, wobei die mindestens eine zweite Justiermarke einen Graben mit einem Boden und Seitenwänden aufweist. Eine zweite isolierende Schicht wird über der mindestens einen zweiten Justiermarke, der mindestens einen ersten Justiermarke, der Mehrzahl von ersten Leiterbahnen und der ersten isolierenden Schicht gebildet. Die zweite isolierende Schicht belegt den Boden und die Seitenwände des Grabens der mindestens einen zweiten Justiermarke. Ein leitendes Via wird in der zweiten isolierenden Schicht über dem Array-Bereich jedes Die-Bereiches unter Verwendung eines Damascene-Prozesses gebildet, wobei das leitende Via-Material die zweite isolierende Schicht belegt, indem das leitende Via-Material den Boden und die Seitenwände der mindestens einen zweiten Justiermarke belegt. Ein erster Magnetstapel wird über dem leitenden Via und der zweiten isolierenden Schicht gebildet, wobei eine Vertiefung in dem ersten Magnetstapel über jeder der mindestens einen zweiten Justiermarke gebildet wird. Eine erste Maskenschicht wird über dem ersten Magnetstapel gebildet, die erste Maskenschicht wird unter Verwendung einer Lithographiemaske oder eines Lihographiegeräts strukturiert, und die erste Maskenschicht wird über der mindestens einen ersten Justiermarke entfernt, wobei die Vertiefungen in dem ersten Magnetstapel über der mindestens einen zweiten Justiermarke zum Ausrichten der Lithographiemaske oder des Lithographiegerätes verwendet werden, welche Lithographiemaske oder welches Lithographiegerät zum Strukturieren der ersten Maskenschicht über dem ersten Magnetstapel verwendet wird. Der erste Magnetstapel wird über der mindestens einen ersten Justiermarke unter Verwendung der ersten Maskenschicht als Maske entfernt, wobei die mindestens eine erste Justiermarke freigelegt wird, und weiterhin wird die erste Maskenschicht entfernt. Eine zweite Maskenschicht wird über dem ersten Magnetstapel und der mindestens einen ersten Justiermarke abgeschieden, und die zweite Maskenschicht wird mit einer Struktur für den ersten Magnetstapel in dem Array-Bereich jedes Die-Bereiches strukturiert, wobei die mindestens eine erste Justiermarke zum Ausrichten verwendet wird. Der erste Magnetstapel wird unter Verwendung der zweiten Maskenschicht als Maske strukturiert, wobei der strukturierte erste Magnetstapel eine magnetische Speicherzelle aufweist.
  • Gemäß einer weiteren bevorzugten Ausgestaltung der vorliegenden Erfindung enthält ein Verfahren zum Bilden von Justiermarken eines Halbleiter-Werkstückes das Bereitstellen eines Halbleiter-Substrats, welches Substrat eine Mehrzahl von Die-Bereichen und mindestens einen Rand-Bereich enthält. Der mindestens eine Rand-Bereich weist Bereiche auf, in denen kein Die oder ein unvollständiger Die gebildet wird. Das Substrat enthält mindestens eine auf dem Substrat angeordnete Materialschicht. Das Verfahren enthält das Bilden einer ersten Menge von Justiermarken in einem ersten Rand-Bereich, und das Bilden einer zweiten Menge von Justiermarken in einem zweiten Rand-Bereich, wobei sich die erste Menge von Justiermarken und die zweite Menge von Justiermarken mindestens ungefähr 800 nm in die mindestens eine Materialschicht, welche auf dem Substrat angeordnet ist, oder in die mindestens eine Materialschicht und das Substrat erstrecken können.
  • Gemäß einer weiteren bevorzugten Ausgestaltung der vorliegenden Erfindung enthält ein Halbleiter-Substrat eine Mehrzahl von Die-Bereichen und mindestens einen Rand-Bereich, welcher mindestens eine Rand-Bereich Bereiche aufweist, in denen kein Die gebildet ist oder in denen ein unvollständiger Die gebildet ist. Das Substrat enthält mindestens eine auf dem Substrat angeordnete Materialschicht. Das Substrat enthält eine erste Menge von Justiermarken in einem ersten Rand-Bereich sowie eine zweite Menge von Justiermarken in einem zweiten Rand-Bereich. Die erste Menge von Justiermarken und die zweite Menge von Justiermarken erstrecken sich mindestens ungefähr 800 nm in die mindestens eine Materialschicht, welche auf dem Substrat angeordnet ist, oder in die mindestens eine Materialschicht und das Substrat.
  • Vorteile von Ausgestaltungen der vorliegenden Erfindung beinhalten das Bereitstellen verbesserter Verfahren zum Strukturieren opaker Materialschichten von Halbleiterelementen. Da die tiefen Justiermarken, welche in der isolierenden Schicht in der Via-Ebene-isolierenden Schicht gebildet sind, nicht einem CMP-Prozess ausgesetzt werden und relativ tief sind, zum Beispiel ungefähr 800 nm oder mehr, und in einer Ausgestaltung ungefähr 1 μm oder mehr, sind die in der opaken Materialschicht gebildeten Vertiefungen deutlich sichtbar und können vorteilhafterweise zum Ausrichten in einem Lithographieprozess verwendet werden, welcher Lithographieprozess Justiermarken in einer darunter liegenden Metallisierungsschicht freilegt. Die freigelegten Justiermarken in der Metallisierungsschicht können dann dazu verwendet werden, den Lithographieprozess auszurichten, welcher Lithographieprozess zum Strukturieren der opaken Materialschicht verwendet wird. Die tiefen Justiermarken werden in ungenutzten Gebieten oder in Gebieten mit unvollständigen Dies auf dem Substrat-Rand-Bereich gebildet, wobei vergeudeter Platz auf dem Substrat ausgenutzt wird und eine verbesserte Ausrichtung bereitgestellt wird. Die Rand-Bereiche des Substrat können nach dem Vereinzelungsprozess, welcher zum Vereinzeln der einzelnen Dies dient, einfach entsorgt werden. Ausgestaltungen der vorliegenden Erfindung sind insbesondere dann vorteilhaft, wenn sie im Zusammenhang mit Halbleiterelementen verwendet werden, welche Halbleiterelemente eine obere Oberfläche mit keiner Topographie oder sehr geringer Topographie aufweisen (zum Beispiel Halbleiterelemente welche flache Merkmale oder Justiermarken aufweisen).
  • Für ein vollständigeres Verständnis der vorliegenden Erfindung und der sich daraus ergebenden Vorteile wird nun Bezug genommen auf die folgenden Beschreibungen im Zusammenhang mit den begleitenden Zeichnungen.
  • 1 bis 3 zeigen Querschnittsansichten eines MRAM-Elementes zu verschiedenen Zeitpunkten des Herstellungsprozesses gemäß einer bevorzugten Ausgestaltung der vorliegenden Erfindung;
  • 4A zeigt eine Draufsicht eines Halbleiterwafers oder Substrats mit darauf angeordneten Die-Bereichen und Kerf-Bereichen;
  • 4B zeigt eine genauere Ansicht eines Kerf-Bereiches des in 4A gezeigten Substrats, wobei die Positionen (Lagen) des Justiermarken-Bereiches und der Array-Bereiche eines MRAM-Elementes veranschaulicht werden;
  • 4C zeigt eine genauere Ansicht eines Kerf-Bereiches des in 4A gezeigten Substrats, welcher Kerf-Bereich einen unvollständigen Chip aufweist; und
  • 5 bis 12 zeigen Querschnittsansichten eines MRAM-Elementes zu verschiedenen Zeitpunkten der Herstellung gemäß einer bevorzugten Ausgestaltung der vorliegenden Erfindung.
  • Gleiche Ziffern und Symbole in unterschiedlichen Figuren beziehen sich im Allgemeinen auf gleiche Bestandteile sofern nicht anders angegeben. Die Figuren sind so gezeichnet, dass die wichtigen Aspekte der bevorzugten Ausgestaltungen verdeutlicht werden. Die Figuren sind daher nicht notwendigerweise maßstabsgetreu gezeichnet.
  • Die vorliegende Erfindung wird beschrieben unter Bezug auf bevorzugte Ausgestaltungen in einem spezifischen Zusammenhang, i. e. im Zusammenhang mit einem MRAM-Element. Die Erfindung kann jedoch auch auf andere resistive Speicherelemente oder Halbleiterelemente mit opaken Materialschichten angewendet werden.
  • Ausgestaltungen der vorliegenden Erfindung stellen neuartige Verfahren bereit zum Bilden tiefer Justiermarken in Kerf-Bereichen eines Werkstückes, und zum Verwenden der tiefen Justiermarken für eine Ausrichtung, wenn Flächen von weiteren Justiermarken freigelegt werden, zum Beispiel in einer Metallisierungsschicht, so dass die weiteren Justiermarken zum Strukturieren opaker Materialschichten und Ausrichten an Merkmalen innerhalb der Metallisierungsschicht verwendet werden können. 1 zeigt eine Querschnittsansicht eines Halbleiterelementes 100, welches ein Substrat 102 aufweist. Das Substrat 102 kann ein Halbleitersubstrat aus Silizium oder anderen Halbleitermaterialien enthalten, welches Halbleitersubstrat von einer oder mehreren optionalen isolierenden Schichten wie zum Beispiel der isolierenden Schicht 108 bedeckt ist, was im Folgenden beschrieben wird. Die isolierende Schicht 108 kann zum Beispiel ein Oxid, ein Nitrid, Materialien mit einer niedrigen dielektrischen Konstante (low-k-Materialien), oder andere isolierende Materialschichten aufweisen. Das Substrat 102 kann ebenfalls weitere aktive Bauteile oder Schaltkreise enthalten, welche in einem Front End Of Line (FEOL) gebildet sind (nicht gezeigt). Das Substrat 102 kann zum Beispiel Siliziumoxid über einkristallinem Silizium aufweisen. Das Substrat 102 kann weitere leitende Schichten oder weitere Halbleiterelemente, zum Beispiel Transistoren, Dioden, etc., enthalten. Anstelle von Silizium können Verbindungshalbleiter wie zum Beispiel Galliumarsenid, Indiumphosphid, Silizium/Germanium, oder Siliziumcarbid verwendet werden. Das Substrat 102 kann zum Beispiel Bauteil-Bereiche oder verschiedene Schaltkreiselemente enthalten welche in dem Substrat 102 gebildet sind.
  • Das Substrat 102 enthält eine Mehrzahl von Kerf-Bereichen 103 sowie eine Mehrzahl von Die-Bereichen 105, die in der Querschnittsansicht in 1 und in den Draufsichten in 4A, 4B und 4C gezeigt sind. Nur ein Kerf-Bereich 103 und ein Die-Bereich 105 sind in den Querschnittsansichten in den 1 bis 3 sowie in 5 bis 12 gezeigt. Jeder Die-Bereich 105 enthält einen Justiermarken-Bereich 104, welcher an den Rändern des Die-Bereiches 105 angeordnet ist, sowie einen Array-Bereich 106, welcher Array-Bereich 106 innerhalb des Justiermarken-Bereiches 104 angeordnet ist, wie in 1 und 4B gezeigt. Das Substrat 102 enthält eine Mehrzahl von einzelnen Halbleiterelementen oder Die-Bereichen 105, welche separiert bzw. vereinzelt werden, nachdem der Herstellungsprozess abgeschlossen ist, um einzelne Dies zu bilden, welche einzelnen Dies dann einzeln oder zusammen mit weiteren Dies verpackt (gehäust) werden können. Jeder Die-Bereich 105 kann ein MRAM-Element 105 aufweisen, alternativ jedoch kann jeder Die-Bereich zum Beispiel andere Arten von Halbleiterelementen aufweisen.
  • Die Kerf-Bereiche bzw. Randbereiche 103 weisen ungenutzte Gebiete des Substrats 102 auf, in einer Ausgestaltung größtenteils am Rand des Substrats 102, welche ungenutzten Gebiete eine im Wesentlichen quadratische oder rechteckige Form aufweisen, und welche zum Beispiel an mindestens zwei Kanten im Wesentlichen dieselbe Größe wie ein Die-Bereich 105 aufweisen. Einige Kerf-Bereiche 103 haben einen gekrümmten Rand aufgrund der im Wesentlichen kreisförmigen Form der Halbleiter-Substrats 100, wie in den 4A und 4C gezeigt. Falls ein integrierter Schaltkreis auf diesen Kerf-Bereichen 103 strukturiert werden sollte, würde ein unvollständiger Die oder Chip gebildet beziehungsweise strukturiert (aufgrund der Abwesenheit des Halbleiter-Substrats 102 an der Krümmung). Folglich weisen manche Kerf-Bereiche 103 unvollständige Dies auf. Die Kerf-Bereiche 103 können unter Verwendung desselben Lithographieprozesses strukturiert werden wie demjenigen Lithographieprozess, welcher für die Die-Bereiche 105 verwendet wird. Alternativ können Lithographiegeräte wie zum Beispiel Stepper so programmiert werden, dass einige der Kerf-Bereiche 103 nicht strukturiert werden und diese Kerf-Bereiche 103 daher ungenutzten Platz beziehungsweise Oberflächen-Fläche des Substrats 100 aufweisen. Manche der Kerf-Bereiche 103 können alternativ an allen Kanten dieselbe Größe wie ein Die-Bereich 105 aufweisen (nicht gezeigt).
  • Auf einem einzelnen MRAM-Element 105, in einer Draufsicht in 4B gezeigt, kann eine Mehrzahl von Array-Bereichen 106 ausgebildet sein. Als Beispiel sind vier Array-Bereiche 106 gezeigt; es können jedoch 32 Array-Bereiche 106 auf einem einzelnen Die 100 ausgebildet sein (in Abhängigkeit von der Größe des magnetischen Speicherelementes kann jedoch auch eine andere Anzahl von Array-Bereichen 106 ausgebildet sein). Das Substrat 102 kann auch weitere Bereiche enthalten, wie zum Beispiel einen peripheren Unterstützungsschaltkreisbereich 107 (peripherial support circuitry region) und/oder weitere Bereiche.
  • Gemäß einer bevorzugten Ausgestaltung der Erfindung wird eine Metallisierungsschicht M1 in einer ersten isolierenden Schicht 116 über dem Substrat 102 gebildet, wie in 1 gezeigt. Justiermarken 114 werden in derselben Metallisierungsschicht M1 gebildet. Um eine optimale Ausrichtung an den Leiterbahnen 112, welche in der Metallisierungsschicht M1 gebildet sind, zu erreichen, sollten anschließend abgeschiedene Materialschichten vorzugsweise unter Verwendung der Justiermarken 114 in der Metallisierungsschicht M1 ausgerichtet werden. Ausgestaltungen der vorliegenden Erfindung stellen Verfahren bereit, um Zugang zu den Justiermarken 114 zu erhalten, welche Justiermarken 114 zum Ausrichten von anschließend abgeschiedenen Materialschichten wie zum Beispiel opaken Materialschichten verwendet werden können.
  • In einer Ausgestaltung wird später eine Via-Schicht V1 in einer zweiten isolierenden Schicht 118 gebildet. Vorzugsweise wird mindestens eine dritte isolierende Schicht 108 über dem Substrat 102 gebildet, und wahlweise bevor die Metallisierungsschicht M1 gebildet ist, wie gezeigt. Die dritte isolierende Schicht 108 weist vorzugsweise Siliziumdioxid auf und kann alternativ Materialien mit niedriger dielektrischer Konstante, andere isolierende Materialien, oder Kombinationen oder mehrfache Schichten daraus als Beispiel aufweisen. Die dritte isolierende Schicht 108 kann alternativ zum Beispiel andere Materialien aufweisen. Die dritte isolierende Schicht 108 kann zum Beispiel eine Dicke von einigen 100 nm, zum Beispiel 300 nm oder weniger als Beispiel, aufweisen. Alternativ kann jedoch die dritte isolierende Schicht 108 auch andere Dicken aufweisen. Die dritte isolierende Schicht 108 kann alternativ eine Mehrzahl von isolierenden Schichten 108 aufweisen (nicht gezeigt).
  • Eine erste isolierende Schicht 116 (die isolierende Schicht für die Metallisierungsschicht M1) wird über der mindestens einen dritten isolierenden Schicht 108 abgeschieden, wie gezeigt. Die erste isolierende Schicht 116 weist vorzugsweise Siliziumdioxid auf und kann z. B. alternativ Materialien mit einer niedrigen dielektrischen Konstante, andere isolierende Materialien, oder Kombinationen oder mehrfache Schichten daraus aufweisen. Die erste isolierende Schicht 116 kann alternativ andere Materialien aufweisen. Die erste isolierende Schicht 116 kann eine Dicke von einigen 100 nm, zum Beispiel 300 nm oder weniger aufweisen. Alternativ jedoch kann die erste isolierende Schicht 116 auch andere Dicken aufweisen.
  • Eine Mehrzahl von ersten Leiterbahnen 112 wird innerhalb der ersten isolierenden Schicht 116 in dem Array-Bereich 106 gebildet. Die ersten Leiterbahnen 112 können einen optionalen leitenden Liner (nicht gezeigt) enthalten, sowie ein leitendes Material, welches über dem Liner angeordnet ist und den Liner füllt. Alternativ können die ersten Leiterbahnen 112 ein einzelnes Material aufweisen, oder zwei oder mehr Materialien zum Beispiel. Das leitende Material kann zum Beispiel Kupfer, Aluminium, oder Kombinationen daraus aufweisen, alternativ jedoch kann das leitende Material auch andere leitende Materialien aufweisen. Die ersten Leiterbahnen 112 können zum Beispiel in einem Damascene-Prozess gebildet werden.
  • Alternativ können die ersten Leiterbahnen 112 zum Beispiel unter der Verwendung eines subtraktiven Ätzprozesses (subtractive etch process) gebildet werden. Die ersten Leiterbahnen 112 dienen zum Beispiel als Wortleitungen oder Bitleitungen eines MRAM-Elementes 105, und werden dazu verwendet, jeden magnetischen Tunnel-Übergang 124 anzusteuern (nicht gezeigt in 1; siehe Bezugszeichen 124 in 11).
  • Mindestens eine erste Justiermarke 114 wird in der ersten isolierenden Schicht 116 in dem Justiermarken-Bereich 104 gebildet, wie gezeigt. Die ersten Justiermarken 114 weisen zum Beispiel vorzugsweise dasselbe Material auf wie die ersten Leiterbahnen 112, und die Justiermarken 114 weisen vorzugsweise ein leitendes Material auf. Die ersten Justiermarken 114 weisen vorzugsweise dieselbe Tiefe innerhalb der ersten isolierenden Schicht 116 auf wie die ersten Leiterbahnen 112, wie gezeigt. Alternativ können die ersten Justiermarken 114 eine Tiefe innerhalb der ersten isolierenden Schicht 116 aufweisen, welche Tiefe geringer ist als die Tiefe der ersten Leiterbahn 112 innerhalb der ersten isolierenden Schicht 116. Die ersten Justiermarken 114 werden zum Beispiel vorzugsweise unter Verwendung derselben Photolithographiemaske und während desselben Ätzprozesses gebildet, wie für die ersten Leiterbahnen 112. Die ersten Justiermarken 114 weisen zum Beispiel Justiermarken für eine Metallisierungsschicht (zum Beispiel M1 oder andere Metallisierungsebene) des Elementes 105 auf. Die ersten Justiermarken 114 können zum Beispiel eine oder mehrere Mengen von Justiermarken aufweisen (obwohl nur eine erste Justiermarke 114 in den Figuren gezeigt ist).
  • Die ersten Justiermarken 114 und die ersten Leiterbahnen 112 werden vorzugsweise gleichzeitig in einem einzigen Damascene-Prozess gebildet. Zum Beispiel werden die Strukturen für die Justiermarken 114 und die ersten Leiterbahnen 112 in der ersten isolierenden Schicht 116 gebildet, und ein leitendes Material wird über die Strukturen abgeschieden, um die Strukturen zu füllen. Überschüssiges leitendes Material wird über der oberen Oberfläche der ersten isolierenden Schicht 116 entfernt, so dass die Justiermarken 114 und die ersten Leiterbahnen 112 gebildet werden, und eine planare obere Oberfläche verbleibt.
  • Gemäß einer bevorzugten Ausgestaltung der vorliegenden Erfindung wird als nächstes eine neuartige mindestens eine tiefe Justiermarke 140 in einem Kerf-Bereich 103 des Substrats 100 gebildet, wie in 2 und 3 gezeigt. Die mindestens eine tiefe Justiermarke 140 wird im Weiteren auch als eine ”zweite Justiermarke”, ”mindestens eine zweite Justiermarke”, oder eine ”tiefe Justiermarke” bezeichnet, wobei diese Begriffe abwechselnd und synonym verwendet werden.
  • Um die mindestens eine tiefe Justiermarke 140 zu bilden, wird eine Maske 122 über der oberen Oberfläche 117 der ersten isolierenden Schicht 116 abgeschieden, wie es in 2 gezeigt ist, und die Maske 122 wird mit der gewünschten Justiermarkenstruktur 139 strukturiert, wie in durchsichtiger Darstellung in 2 gezeigt ist. Die Maske 122 kann z. B. einen Photoresist, eine Hartmaske und einen Photoresist, oder ein anderes Material und einen Photoresist aufweisen. Alternativ kann die Maske 122 jedoch andere Materialien aufweisen.
  • Die Maske 122 kann beispielsweise unter Verwendung einer Lithographiemaske strukturiert werden, oder sie kann direkt strukturiert werden unter Verwendung von Elektronenstrahllithographie (EBL) oder anderen direkten Strukturierungsverfahren. Die Maske 122 wird zum Maskieren von Teilen der ersten isolierenden Schicht 116 (zum Beispiel Die-Bereiche 105) verwendet, während zumindest die erste isolierende Schicht 116 zum Beispiel unter Verwendung eines Ätzprozesses mit der mindestens einen tiefen Justiermarke 140 strukturiert wird, wie in 3 gezeigt. In einer bevorzugten Ausgestaltung kann die mindestens eine tiefe Justiermarke 140 eine Mehrzahl von tiefen Justiermarken 140 aufweisen (nicht gezeigt in 3; siehe 4C, welche im Folgenden beschrieben wird).
  • Die Justiermarken 140 sind relativ tief. In einer Ausgestaltung erstreckt sich zum Beispiel die mindestens eine tiefe Justiermarke 140 durch die gesamte Dicke der ersten isolierenden Schicht 116, wie in durchsichtiger Darstellung bei d1 gezeigt. Wenn zum Beispiel die erste isolierende Schicht 116 eine Dicke von ungefähr 150 nm aufweist, weist die tiefe Justiermarke 140 in dieser Ausgestaltung eine Tiefe von ungefähr 150 nm auf.
  • In einer weiteren Ausgestaltung erstreckt sich die tiefe Justiermarke 140 durch die gesamte Dicke der ersten isolierenden Schicht 116 und ebenfalls durch einen Teil der optionalen mindestens einen dritten isolierenden Schicht 108, wie in durchsichtiger Darstellung bei d2 gezeigt. Die Tiefe d2 der tiefen Justiermarke 140 beträgt in dieser Ausgestaltung vorzugsweise mindestens ungefähr 200 nm.
  • In einer weiteren Ausgestaltung erstreckt sich die mindestens eine tiefe Justiermarke 140 durch die gesamte Dicke der ersten isolierenden Schicht 116 und ebenfalls durch die gesamte Dicke von einer oder mehreren dritten isolierenden Schichten 108, wie in durchsichtiger Darstellung bei d3 gezeigt. Die Tiefe d3 der tiefen Justiermarke 140 beträgt in dieser Ausgestaltung vorzugsweise mindestens ungefähr 450 nm.
  • In einer weiteren Ausgestaltung erstreckt sich die mindestens eine tiefe Justiermarke 140 durch die gesamte Dicke von sowohl der ersten isolierenden Schicht 116 und der mindestens einen dritten isolierenden Schicht 108, und ebenfalls in einen oberen Bereich des Werkstückes 102, wie in durchsichtiger Darstellung bei d4 gezeigt. Die Tiefe d4 der tiefen Justiermarke 140 beträgt in einer Ausgestaltung vorzugsweise mindestens ungefähr 800 nm. In einer anderen Ausgestaltung beträgt die Tiefe d4 der tiefen Justiermarke 140 zum Beispiel vorzugsweise mindestens ungefähr 1 μm. Alternativ kann die tiefe Justiermarke 140 jedoch auch andere Abmessungen aufweisen. In noch einer weiteren Ausgestaltung beträgt die Tiefe d4 in der tiefen Justiermarke 140 zum Beispiel ungefähr 3 μm oder weniger.
  • In einer Ausgestaltung weist die Tiefe der Justiermarke vorzugsweise die Dicke der zweiten isolierenden Schicht 118 (nicht gezeigt in 3; siehe 7) und des leitenden Via-Materials 120 plus einen zusätzlichen Betrag auf, welcher zusätzliche Betrag ausreicht, um sicher zu stellen, dass ein genügend tiefer Graben oder eine genügend tiefe Vertiefung 121 gebildet wird, welcher Graben bzw. welche Vertiefung nach einem CMP-Prozess, welcher CMP-Prozess überschüssiges leitendes Via-Material 120 von einer oberen Oberfläche der zweiten isolierenden Schicht 118 entfernt, von der Lithographieanlage detektiert wird.
  • Nachdem die tiefe Justiermarke 140 in zumindest der ersten isolierenden Schicht 116 gebildet ist, wird die Maske 122 entfernt, wie in 3 gezeigt. Die Materialien 112, 114 und 116 sind zu diesem Zeitpunkt des Herstellungsprozesses im Wesentlichen planar, mit Ausnahme der tiefen Justiermarke 140, welche in dem Kerf-Bereich 103 gebildet ist. Die tiefe Justiermarke 140 weist vorzugsweise einen Graben mit Seitenwänden und einer Bodenfläche auf, wie gezeigt. Der Graben der tiefen Justiermarke 140 ist vorzugsweise frei von jeglichem Material.
  • Um die tiefen Justiermarken 140 innerhalb der ersten isolierenden Schicht 116 zu ätzen oder zu bilden, werden in einer Ausgestaltung die tiefen Justiermarken 140 vorzugsweise nicht über leitendem Material innerhalb der Metallisierungsschicht M1 gebildet. Andernfalls würde das leitende Material das Ätzen oder das Bilden der tiefen Justiermarken 140 innerhalb zumindest der ersten isolierenden Schicht 116 verhindern.
  • Obwohl nur eine tiefe Justiermarke 140 in den Figuren gezeigt ist, wird vorzugsweise mindestens eine Justiermarke 140 gebildet. Zum Beispiel kann eine Mehrzahl von tiefen Justiermarken 140 in einer Struktur in einem Kerf-Bereich 103 des Substrats 100 gebildet werden. Gemäß einer Ausgestaltung der vorliegenden Erfindung können zum Beispiel eine oder mehrere Mengen von tiefen Justiermarken 140 gebildet werden. Vorzugsweise werden mindestens zwei Mengen von tiefen Justiermarken 140 in zwei oder mehr Kerf-Bereichen 103 des Substrats 100 gebildet, was im folgenden unter Bezug auf die Draufsichten, welche in 4A, 4B und 4C gezeigt sind, beschrieben wird.
  • 4A zeigt eine Draufsicht eines Substrats 100, welches eine Mehrzahl von darauf ausgebildeten Die-Bereichen 105 aufweist, sowie eine Mehrzahl von Kerf-Bereichen bzw. Randbereichen 103, welche ungenutzt sein können, oder auf welchen unvollständige Dies gebildet sind. Das Substrat kann mindestens eine glatte Kante 123 (flat edge) haben, welche zum Beispiel zur mechanischen Ausrichtung innerhalb einer Spannvorrichtung (chuck) verwendet wird (es können jedoch auch erste Justiermarken 140 oder andere tiefer liegende Justiermarken (nicht gezeigt) für eine genauere Ausrichtung innerhalb einer Spannvorrichtung oder eines Gerätes, wie zum Beispiel einem Stepper verwendet werden). Im Falle eines MRAM-Elementes 105 können zum Beispiel 60 oder mehr einzelne Die-Bereiche oder Elemente 105 auf einem einzigen Werkstück gebildet sein, es kann jedoch auch eine andere Anzahl von Die-Bereichen 105 ausgebildet sein.
  • 4B zeigt eine genauere Ansicht eines Die-Bereiches 105, welcher in 4A gezeigt ist. Der Justiermarken-Bereich 104 liegt typischerweise in dem Kerf-Bereich des Die-Bereiches 105. Die Breite des Justiermarken-Bereiches 104 an dem Rand des Dies kann zum Beispiel ungefähr 200 μm betragen, alternativ jedoch kann der Justiermarken-Bereich 104 auch andere Abmessungen aufweisen. Eine erste Menge 115a und eine zweite Menge 115b von ersten Justiermarken 114 kann in dem Justiermarken-Bereich 104 in einer Metallisierungsschicht M1 (siehe 3) gebildet sein, wie gezeigt. In einer Ausgestaltung kann jede Menge 115a und 115b ungefähr 10 oder mehr erste Justiermarken 114 aufweisen. Zum Beispiel kann eine Menge 115a bzw. 115b 11, 13 oder eine andere Anzahl von ersten Justiermarken 114 aufweisen.
  • 4C zeigt eine genauere Ansicht eines Kerf-Bereiches 103, welcher in 4A gezeigt ist. In einer Ausgestaltung werden vorzugsweise eine erste Menge 141a und eine zweite Menge 141b von tiefen Justiermarken 140 in dem Kerf-Bereich 103 gebildet, wie gezeigt. In einer Ausgestaltung kann jede Menge 141a und 141b ungefähr 10 oder mehr tiefe Justiermarken 140 aufweisen. Zum Beispiel kann eine Menge 141a bzw. 141b 11, 13 oder eine andere Anzahl von tiefen Justiermarken 140 aufweisen. Jede tiefe Justiermarke 140 weist vorzugsweise eine Breite von ungefähr 2 μm oder weniger sowie eine Länge von ungefähr 50 μm oder weniger auf, alternativ jedoch können die tiefen Justiermarken 140 auch andere Abmessungen aufweisen.
  • Vorzugsweise wird eine Menge 141a entlang einer oberen Kante oder entlang einer unteren Kante eines Kerf-Bereiches 103 gebildet, und die andere Menge 141b wird entlang- der linken Kante oder entlang der rechten Kante des Kerf-Bereiches 103 gebildet. Zum Beispiel kann eine Menge 141a an einer oberen Kante des Kerf-Bereiches 103 gebildet werden, wie gezeigt. Alternativ kann die Menge 141a an der unteren Kante des Kerf-Bereiches 103 gebildet werden (nicht gezeigt). In ähnlicher Weise kann die Menge 141b entlang der rechten Seitenkante des Kerf-Bereiches 103 gebildet werden (wie gezeigt), oder alternativ kann die Menge 141b entlang der linken Seitenkante des Kerf-Bereiches 103 gebildet werden (nicht gezeigt). Die an der oberen oder unteren Kante gebildete Menge 141a von Justiermarken 140 ist vorzugsweise so eingerichtet, dass die lange Seite der Justiermarken 140 vertikal ausgerichtet ist, und die an der Seitenkante gebildete Menge 141b von Justiermarken 140 ist vorzugsweise so eingerichtet, dass die lange Seite der Justiermarken 140 horizontal ausgerichtet ist, wie gezeigt. Gemäß Ausgestaltungen der vorliegenden Erfindung hängt die Anzahl und die Anordnung der tiefen Justiermarken 140 sowie die Anzahl von Mengen 141a und 141b zum Beispiel von der speziellen Lithographieanlage und den Geräten ab, welche zum Herstellen des Halbleiterelementes 100 verwendet werden.
  • Die Mengen 141a sowie 141b von tiefen Justiermarken 140 können in einer beliebigen Anzahl von Kerf-Bereichen 103 des Substrats 100 gebildet werden. Um eine Ausrichtung zu erreichen, werden zum Beispiel die neuartigen tiefen Justiermarken 140 vorzugsweise in mindestens zwei Kerf-Bereichen 103 gebildet. Um jedoch eine verbesserte Ausrichtung zu erreichen, werden die tiefen Justiermarken 140 vorzugsweise in mindestens drei Kerf-Bereichen 103 gebildet. In einer Ausgestaltung werden die tiefen Justiermarken 140 vorzugsweise in acht oder mehr Kerf-Bereichen 103 gebildet.
  • Die neuartigen tiefen Justiermarken 140 können dazu verwendet werden, Zugang zu den ersten Justiermarken 114 innerhalb der Metallisierungsschicht M1 bereit zu stellen, um eine Ausrichtung des Lithographieprozesses, welcher zum Strukturieren opaker Materialschichten verwendet wird, zu erreichen, was im Weiteren beschrieben wird.
  • Als nächstes kann eine optionale Via-Schicht V1 über den Metallisierungsschicht M1 und den tiefen Justiermarken 140 gebildet werden, siehe 5. Falls das Halbleiterelement 100 ein MRAM aufweist, wie in der Ausgestaltung gezeigt, wird die Via-Schicht V1 vorzugsweise zur elektrischen Verbindung mit einer ersten Leiterbahn 112 verwendet, wie in 7 gezeigt. In manchen Halbleiterelement-Anwendungen wird jedoch zum Beispiel keine Via-Schicht V1 benötigt.
  • Wie in 5 gezeigt wird eine zweite isolierende Schicht 118 über der ersten isolierenden Schicht 116, den ersten Leiterbahnen 112 sowie den ersten Justiermarken 114 abgeschieden. Die zweite isolierende Schicht 118 kann zum Beispiel Siliziumnitrid oder andere Isolatoren wie zum Beispiel Siliziumdioxid oder Low-K Materialien aufweisen. Die zweite isolierende Schicht 118 kann ein Inter-Level-Dielektrikum (ILD) für eine Via-Metallisierungsschicht (zum Beispiel V1 oder andere Via-Ebene), in welcher Vias 120 gebildet sind, um Kontakt zu darunter liegenden ersten Leiterbahnen 112 in dem Array-Bereich 106 herzustellen, aufweisen, wie gezeigt. Die zweite isolierende Schicht 118 kann eine Dicke von einigen 100 nm, beispielsweise 300 nm oder weniger, aufweisen. Alternativ jedoch kann die zweite isolierende Schicht 118 auch andere Dicken aufweisen. In einer Ausgestaltung weist die zweite isolierende Schicht 118 zum Beispiel vorzugsweise eine Dicke von ungefähr 150 nm oder weniger auf.
  • Leitende Vias 120 können innerhalb der zweiten isolierenden Schicht 118 in dem Array-Bereich 106 gebildet werden, zum Beispiel unter Verwendung eines Damascene-Prozesses. Die zweite isolierende Schicht 118 wird unter Verwendung einer Maske 150 mit Löchern oder Gräben 152 (in durchsichtiger Darstellung gezeigt) für die Vias 120 strukturiert. Die zweite isolierende Schicht 118 wird geätzt, zum Beispiel unter Verwendung der Maske 150 als Maske. Die Maske 150 wird entfernt, und die Vias 120 werden mit einem leitenden Material 120 wie zum Beispiel Kupfer oder Wolfram gefüllt (siehe 6). Alternativ jedoch können die Vias 120 auch andere leitende Materialien aufweisen. Die Vias 120 können einen Liner enthalten (nicht gezeigt). Da die tiefen Justiermarken 140 tief sind (zum Beispiel tiefer als die Dicken der zweiten isolierenden Schicht 118 und des leitenden Materials 120 zusammen genommen), ist eine Vertiefung 121 von einer oberen Oberfläche des Substrats in dem leitenden Via-Material 120 über der tiefen Justiermarke 140 sichtbar, wie gezeigt.
  • Ein CMP-Prozess kann dazu verwendet werden, überschüssiges leitendes Material über der oberen Oberfläche der zweiten isolierenden Schicht 118 zu entfernen, um Vias 120 zu bilden, wobei die in 7 gezeigte Struktur verbleibt. Wiederum ist daher die obere Oberfläche der zweiten isolierenden Schicht 118 und Vias 120 im Wesentlichen planar, mit Ausnahme der Vertiefung 121, welche in dem leitenden Via-Material 120 über der tiefen Justiermarke 140 gebildet ist. Da zu diesem Zeitpunkt eine Topographie (zum Beispiel eine Vertiefung 121) auf der oberen Oberfläche der zweiten isolierenden Schicht 118 vorhanden ist, kann diese Topographie zum Ausrichten einer opaken Materialschicht 124 verwendet werden, was im Folgenden beschrieben wird.
  • Eine opake Materialschicht 124 wird über der zweiten isolierenden Schicht 118, den leitenden Vias 120 und über der Vertiefung 121 in dem leitenden Via-Material 120 über der mindestens einen tiefen Justiermarke 140 abgeschieden, wie in der Querschnittsansicht in 8 gezeigt. Die opake Materialschicht 124 kann zum Beispiel einen Magnetstapel aufweisen, welcher zum Bilden von MTJ eines MRAM-Elementes 105 verwendet wird. Die opake Materialschicht 124 passt sich im Wesentlichen den Vertiefungen in dem leitenden Via-Material 120 an (zum Beispiel an die Topographie der tiefen Justiermarken 140), wobei visuell und/oder optisch detektierbare Vertiefungen 126 in der opaken Materialschicht 124 gebildet werden, wie gezeigt. Die opake Materialschicht 124 kann eine erste magnetische Schicht, eine Tunnelbarriere, welche über der ersten magnetischen Schicht angeordnet ist, und eine zweite magnetische Schicht, welche über der Tunnelbarriere angeordnet ist, aufweisen, wobei die zweite magnetische Schicht zum Beispiel ein opakes Material aufweist. In anderen Halbleiterelement-Anwendungen kann die opake Materialschicht 124 weitere opake Materialien aufweisen.
  • Eine erste Maskenschicht 128 kann über der opaken Materialschicht 124 abgeschieden werden, wie in 8 gezeigt. Die erste Maskenschicht 128 weist vorzugsweise ein durchscheinendes oder durchsichtiges (transparentes) Material auf, so dass die Vertiefungen 126 in der opaken Materialschicht 124 optisch sichtbar sind für die Lithographiegeräte, welche Lithographiegeräte zum Strukturieren der ersten Maskenschicht 128 verwendet werden. Die erste Maskenschicht 128 kann zum Beispiel einen Photoresist, eine Hartmaske oder einen Photoresist, oder anderes Material und einen Photoresist aufweisen. Alternativ jedoch kann die erste Maskenschicht 128 andere Materialien aufweisen. Wenn die erste Maskenschicht 128 ein Hartmaskenmaterial aufweist, kann die Hartmaske zum Beispiel ein dielektrisches Material wie zum Beispiel ein Oxid oder Nitrid aufweisen. Als Beispiel kann die erste Maskenschicht 128 eine oder mehrere Hartmaskenmaterialschichten aufweisen, welche von einer Photoresistschicht bedeckt sind. Der Photoresist kann zum Strukturieren der Hartmaske verwendet werden, und die Hartmaske (und wahlweise auch der Photoresist) können anschließend zum Öffnen der opaken Materialschicht 124 in dem Justiermarken-Bereich 104 verwendet werden.
  • Die erste Maskenschicht 128 wird strukturiert (zum Beispiel unter Verwendung einer Lithographiemaske oder eines direkten Strukturierungsverfahrens), um die erste Maskenschicht 128 in zumindest dem Bereich über der mindestens einen ersten Justiermarke 114 in der Metallisierungsschicht M1 zu entfernen, wie in 9 gezeigt. Der in der ersten Maskenschicht 128 geöffnete Bereich kann ein Fenster aufweisen, welches zum Beispiel geringfügig größer ist als die Struktur der ersten Justiermarke 114. Alternativ können zum Beispiel auch größere Bereiche des Justiermarken-Bereiches 104 geöffnet werden.
  • Vorteilhafterweise wird die Vertiefung 126 in der opaken Materialschicht zum Ausrichten der Lithographiemaske oder des Lithographiegerätes verwendet, welche Lithographiemaske oder welches Lithographiegerät zum Strukturieren der ersten Maskenschicht 128 über der opaken Materialschicht 124 verwendet wird. Die erste Maskenschicht 128 wird dann als Maske benutzt, während frei gelegte Bereiche der opaken Materialschicht 124 über zumindest der ersten Justiermarke 114 entfernt werden, wie in 9 gezeigt. Die erste Maskenschicht 118 wird anschließend entfernt, derart, dass die opake Materialschicht 124 in dem Array-Bereich 106 verbleibt und mindestens teilweise in dem Justiermarken-Bereich 104 weggeätzt ist.
  • Eine zweite Maskenschicht 134 wird anschließend über der opaken Materialschicht 124 und der mindestens einen ersten Justiermarke 114 abgeschieden, wie in 10 gezeigt. Die zweite Maskenschicht 134 weist vorzugsweise ein durchscheinendes oder durchsichtiges Material auf, so dass die mindestens eine erste Justiermarke 114 in der M1-Schicht optisch sichtbar ist für die Lithographiegeräte, welche Lithographiegeräte zum Strukturieren der zweiten Maskenschicht 134 verwendet werden. Die zweite Maskenschicht 134 kann zum Beispiel einen Photoresist, eine Hartmaske und einen Photoresist, oder ein anderes Material und einen Photoresist aufweisen. Alternativ jedoch kann die zweite Maskenschicht 134 andere Materialien aufweisen. Falls die zweite Maskenschicht 134 ein Hartmaskenmaterial aufweist, kann das Hartmaskenmaterial zum Beispiel ein dielektrisches Material wie zum Beispiel ein Oxid oder Nitrid aufweisen. Die zweite Maskenschicht 134 kann eine oder mehrere Hartmaskenmaterialschichten aufweisen, welche von einer Photoresistschicht bedeckt sind. Als Beispiel kann der Photoresist zum Strukturieren der Hartmaske verwendet werden, und die Hartmaske (und wahlweise auch der Photoresist) können anschließend zum Strukturieren der opaken Materialschicht 124 verwendet werden.
  • Die zweite Maskenschicht 134 wird anschließend mit der gewünschten Struktur 135 (in durchsichtiger Darstellung in 10 gezeigt) für die opake Materialschicht 124 strukturiert (zum Beispiel unter Verwendung einer Lithographiemaske oder eines direkten Strukturierungsverfahrens), wobei die erste Justiermarke 114 der ersten Metallisierungsschicht M1 zum Ausrichten verwendet wird. Die erste Justiermarke 114 ist optisch sichtbar durch das durchsichtige/durchscheinende Via-Level-ILD 118. Wenn zum Beispiel die erste opake Materialschicht 124 einen Magnetstapel aufweist, wird die zweite Maskenschicht 134 mit der gewünschten Struktur 135 des MTJ strukturiert, wobei jeder MTJ über einer innerhalb der isolierenden Schicht 116 gebildeten Leiterbahn 112 angeordnet ist. Die zweite Maskenschicht 134 wird anschließend als Maske verwendet, während die opake Materialschicht 124 strukturiert wird, derart, dass die opake Materialschicht 124 in gewünschten Bereichen des Array-Bereiches 106 verbleibt. Die zweite Maskenschicht 134 wird anschließend entfernt, wobei die in 11 gezeigte Struktur verbleibt.
  • Der Herstellungsprozess des Halbleiterelementes 105 wird dann wie in 12 gezeigt fortgesetzt. Zum Beispiel kann eine vierte isolierende Schicht 130, welche ähnliche Materialien aufweist wie für die erste isolierende Schicht 116, die optionale zweite isolierende Schicht 118, und die optionale mindestens eine dritte isolierende Schicht 108 beschrieben, zwischen den MTJ 124 oder strukturiertem opaken Material gebildet werden, wie gezeigt. Zusätzliche Materialien 132 und 138 können über den MTJ 124 und der vierten isolierenden Schicht 130 gebildet werden, wie ebenfalls gezeigt. Zum Beispiel können zweite Leiterbahnen 132, welche in einer von der Richtung der ersten Leiterbahn 112 verschiedenen Richtung ausgerichtet sind, zum Beispiel in einer zweiten Metallisierungsschicht M2 in einer fünften isolierenden Schicht 138, welche fünfte isolierende Schicht 138 ähnliche Materialien aufweist wie für die anderen isolierenden Schichten 116, 118, 108 und 108 beschrieben, gebildet werden, um ein MRAM-Array zu bilden. Die zweiten Leiterbahnen 132 können zum Beispiel ähnliche Materialien und Abmessungen aufweisen, wie für die ersten Leiterbahnen 112 beschrieben.
  • Das MRAM-Array kann zum Beispiel ein Kreuzungspunktarray (cross-point array) oder ein Feldeffekttransistor (FET) Array aufweisen. Eine leitende Brücke (leitfähiger Streifen) kann gebildet werden, welche den Boden der MTJ 124 an eine darunter liegende erste Leiterbahn 112 elektrisch koppelt (nicht gezeigt). Ein leitendes Via 136 kann zwischen dem leitenden Via 120 und der zweiten Leiterbahn 132 gebildet werden, um einen elektrischen Kontakt zu dem Werkstück 102 herzustellen, wie in 12 gezeigt.
  • Vorteilhafterweise stellt das hierin beschriebene neuartige Prozessschema ein Integrationsschema bereit zum Bilden von tiefen Justiermarken 140 in zumindest einer ersten isolierenden Schicht 116 eines Kerf-Bereiches 103 eines Werkstückes 102. Die tiefen Justiermarken 140 können zum Ausrichten verwendet werden, wenn eine opake Materialschicht 124 über den ersten Justiermarken 114 in dem Justiermarken-Bereich 104 des Die-Bereiches 105 geöffnet wird, so dass die ersten Justiermarken 114 zum Strukturieren der opaken Materialschicht in dem Array-Bereich 106 verwendet werden können. Dies ist besonders vorteilhaft in Anwendungen, wo eine Ausrichtung an tiefer liegenden Strukturen wichtig ist während des Herstellungsprozesses, zum Beispiel bei dem Herstellungsprozess eines MRAM-Elementes 105, wo zum Beispiel die Ausrichtung eines MTJ 124 an einer ersten Leiterbahn 112 entscheidend ist. Da die in dem Kerf-Bereich 103 gebildeten tiefen Justiermarken 140 keinem CMP-Prozess ausgesetzt sind, und da sich die tiefen Justiermarken 140 tief in eine oder mehrere isolierende Schichten 116 (und wahlweise in die Schicht 108) erstrecken, in einer Ausgestaltung sogar weiter bis in das Substrat 102, sind die Vertiefungen 126 (siehe 8) in der opaken Materialschicht 124 von der oberen Oberfläche des Substrats 102 aus durch die erste Maskenschicht 128 hindurch deutlich sichtbar für die Lithographiegeräte. Folglich können die Vertiefungen 126 zum Ausrichten verwendet werden, wenn die Bereiche über den Justiermarken 114 frei gelegt werden. Gemäß Ausgestaltungen der vorliegenden Erfindung wird daher durch die Verwendung der Justiermarken 114 eine hervorragende Ausrichtung der MTJ 124 an darunter liegenden ersten Leiterbahnen 112 erreicht.
  • Ein weiterer Vorteil der neuartigen tiefen Justiermarken 140 der vorliegenden Erfindung ist, dass die mindestens eine tiefe Justiermarke 140 zum Strukturieren von zwei oder mehr opaken Materialschichten wie zum Beispiel der opaken Materialschicht 124 verwendet werden kann, wie in den Figuren gezeigt und hierin beschrieben. Gemäß Ausgestaltungen der vorliegenden Erfindung kann zum Beispiel ein MTJ, welcher zwei oder mehr Magnetstapelschichten aufweist, gebildet werden. Nachdem die opake Materialschicht 124 in dem Array-Bereich 106 strukturiert ist, wie in 11 gezeigt, kann eine zusätzliche opake Materialschicht (nicht gezeigt) über dem strukturierten MTJ 124 oder einer anderen Struktur, welche die opake Materialschicht 124 aufweist, und ebenfalls über der oberen Oberfläche der zweiten isolierenden Schicht 118 und der oberen Oberfläche des leitenden Vias 120 in der Via-Schicht V1 (oder über der ersten isolierenden Schicht 116, falls eine zweite isolierende Schicht 118 nicht verwendet wird) abgeschieden werden. Eine dritte Maskenschicht kann über der zusätzlichen opaken Materialschicht abgeschieden werden, und die dritte Maskenschicht kann strukturiert werden, wobei die Vertiefungen, welche in der zusätzlichen opaken Materialschicht über den tiefen Justiermarken 140 gebildet sind, zur Ausrichtung verwendet werden. Die dritte Maskenschicht wird anschließend als Maske verwendet, während die zusätzliche opake Materialschicht über den ersten Justiermarken 114 in der Metallisierungsschicht M1 entfernt wird. (Der Herstellungsprozess, welcher die erste Maskenschicht 128 zum Öffnen der opaken Materialschicht 124 verwendet (gezeigt in 8 und 9), wird für die zusätzliche opake Materialschicht wiederholt). In gleicher Weise wird eine vierte Maskenschicht anschließend über der Struktur 100 abgeschieden, und die vierte Maskenschicht wird strukturiert, wobei die ersten Justiermarken 114 in der Metallisierungsschicht M1 zum Ausrichten verwendet werden. Die vierte Maskenschicht wird dann zum Strukturieren der zusätzlichen opaken Materialschicht verwendet, wobei ein zweiter MTJ über dem ersten in 11 gezeigten MTJ 124 gebildet wird. (Der Herstellungsprozess, welcher die zweite Maskenschicht 134 zum Strukturieren der opaken Materialschicht 124 verwendet (gezeigt in 10 und 11), wird für die zusätzliche opake Materialschicht wiederholt.
  • Eine oder mehrere zusätzlich opake Materialschichten können unter Verwendung dieses Zwei-Schritt-Prozesses abgeschieden und strukturiert werden, wobei die erste Maske an Vertiefungen, welche Vertiefungen in den zusätzlichen opaken Materialschichten über den tiefen Justiermarken 140 gebildet sind, ausgerichtet wird, während das opake Material über den ersten Justiermarken 114 entfernt wird, und wobei dann die zweite Maske an den ersten Justiermarken 114 selbst ausgerichtet wird. Gemäß dieser Ausgestaltung der Erfindung kann ein MRAM-Element hergestellt werden, welches MRAM-Element zwei oder mehr übereinander angeordnete Magnetstapel aufweist. Ausgestaltungen der vorliegenden Erfindung enthalten Prozess-Schemata zum Strukturieren opaker Materialschichten 124 unter Verwendung tiefer Justiermarken 140, wie hierin ausführlich beschrieben.
  • In einer Ausgestaltung kann das leitende Via-Material 120 von den tiefen Justiermarken 140 entfernt werden. Die Vertiefungen 115 (siehe 5), welche in der zweiten isolierenden Schicht 118 gebildet sind, stellen eine Topographie bereit und können in dieser Ausgestaltung zum Ausrichten der ersten Maskenschicht 128 (siehe 8) verwendet werden, welche erste Maskenschicht 128 zum Öffnen des Justiermarken-Bereiches 104 verwendet wird. In einer weiteren Ausgestaltung kann die zweite isolierende Schicht 118 von den tiefen Justiermarken 140 entfernt werden. Eine opake Materialschicht 124, welche direkt über den tiefen Justiermarken 140 angeordnet ist, passt sich den Seitenwänden und dem Boden der Gräben der tiefen Justiermarken an, so dass wiederum eine Vertiefung (nicht gezeigt) in der Topographie der opaken Materialschicht 124 gebildet wird, welche Vertiefung zum Ausrichten verwendet werden kann.
  • Eine weitere Ausgestaltung der vorliegenden Erfindung enthält eine neuartige Halbleiterelement-Anordnung. Die Anordnung enthält ein Halbleiter-Werkstück 102, welches Werkstück 102 eine Mehrzahl von Die-Bereichen 105 und mindestens einen Kerf-Bereich 103 enthält, welcher mindestens eine Kerf-Bereich 103 Bereiche aufweist, in denen ein Die nicht gebildet ist oder in denen ein unvollständiger Die gebildet ist. Das Werkstück 102 enthält mindestens eine auf dem Werkstück angeordnete Materialschicht 116/118. Das Werkstück 102 enthält eine erste Menge 141a/141b von Justiermarken 140 in einem ersten Kerf-Bereich 103, sowie eine zweite Menge 141a/141b von Justiermarken in einem zweiten Kerf-Bereich 103 (siehe 4A und 4C), wobei sich die erste Menge von Justiermarken und die zweite Menge von Justiermarken mindestens ungefähr 800 nm tief in die mindestens eine auf dem Werkstück angeordnete Materialschicht 116/118 erstrecken.
  • In einer Ausgestaltung können die tiefen Justiermarken 140 in einem oder mehreren Die-Bereichen 105 des Werkstückes gebildet werden, an Stelle von oder zusätzlich zum Bilden tiefer Justiermarken 140 in dem Kerf-Bereich 103. In dieser Ausgestaltung weist der Die-Bereich 105, in welchem die tiefen Justiermarken 140 gebildet sind, einen nicht funktionierenden Chip auf. Die tiefen Justiermarken 140 können zum Beispiel in dem Justiermarken-Bereich 104 und/oder dem Bauteil-Bereich 106 von einem oder mehreren Die-Bereichen 105 gebildet werden. Die Die-Bereiche 105 des Werkstückes, welche die tiefen Justiermarken 140 aufweisen, können zum Beispiel nach der Vereinzelung verworfen werden.
  • Vorteile von Ausgestaltungen der Erfindung enthalten das Bereitstellen einer verbesserten Ausrichtung von opaken Materialschichten 124 an darunter liegenden Komponenten wie zum Beispiel Leiterbahnen 112. Die tiefen Justiermarken 140 werden unter Verwendung einer separaten Lithographiemaske gebildet, welche separate Lithographiemaske sich zum Beispiel von der zum Strukturieren leitender Vias 120 innerhalb der zweiten isolierenden Schicht 118 verwendeten Maske unterscheidet. In einer Ausgestaltung haben die tiefen Justiermarken 140 vorzugsweise eine größere Länge als die in der Via-Schicht V1 gebildeten Vias 120, und erstrecken sich vorzugsweise tief in eine Mehrzahl von Materialschichten, in einigen Ausgestaltungen sogar bis in das Substrat 102 hinein. Selbst wenn in einer Ausgestaltung die tiefen Justiermarken 140 einem CMP-Prozess ausgesetzt werden (zum Beispiel um Damascene-Vias 120 zu bilden), bleibt, da die tiefen Justiermarken 140 so tief innerhalb der Materialschichten 118, 116 und 108 (und wahlweise dem Substrat 102) gebildet sind, die Topographie der Justiermarken 140 erhalten und ist scharf und deutlich, so dass die tiefen Justiermarken 140 zum öffnen der anschließend abgeschiedenen opaken Materialschicht über den Justiermarken 114 verwendet werden kann, und folglich das Strukturieren der opaken Materialschicht 124 unter Verwendung der Justiermarken 114 zum Ausrichten ermöglicht wird. Da die Vertiefungen 121 und 126, welche auf den Materialschichten 118 und 114 gebildet sind, eine deutliche Struktur in dem Kerf-Bereich 103 aufweisen, kann die Fenstergröße der Maske 118, welche Maske 118 zum öffnen der ersten Justiermarken 114 verwendet wird, kleiner gemacht werden, so dass Fläche der Oberfläche eingespart wird. Ferner wird nun ehemals ungenutzter Platz auf dem Substrat 102 genutzt, da die neuartigen tiefen Justiermarken 140 in dem Kerf-Bereich 103 des Substrats 102 gebildet werden. Dadurch wird Platz in dem Justiermarken-Bereich 104 des Die-Bereiches 105 frei.

Claims (31)

  1. Verfahren zum Herstellen eines Halbleiterelementes (100), wobei: • ein Substrat (102) bereitgestellt wird, welches eine Mehrzahl von Die-Bereichen (105) und mindestens einen Rand-Bereich (103) mit Gebieten mit unvollständigen Dies oder ungenutzten Substrat-Gebieten enthält, wobei jeder Die-Bereich (105) einen Justiermarken-Bereich (104) und einen Bauelement-Bereich (106) enthält; • eine erste isolierende Schicht (116) über dem Substrat gebildet wird; • mindestens eine erste Justiermarke (114) über dem Justiermarken-Bereich (104) jedes Die-Bereiches (105) und eine Mehrzahl von ersten Leiterbahnen (112) über dem Bauelement-Bereich (106) jedes Die-Bereiches (105) innerhalb der ersten isolierenden Schicht (116) gebildet wird, wobei das Bilden der mindestens einen ersten Justiermarke (114) das Füllen der mindestens einen ersten Justiermarke (114) mit einem leitenden Material aufweist; • mindestens eine zweite Justiermarke (140) innerhalb zumindest der ersten isolierenden Schicht (116) über dem mindestens einen Rand-Bereich (103) des Substrats gebildet wird, wobei die mindestens eine zweite Justiermarke (114) einen Graben mit einem Boden und Seitenwänden aufweist, wobei der Justiermarken-Bereich, über dem die mindestens eine erste Justiermarke ausgebildet ist, zwischen dem Rand-Bereich, über dem die mindestens eine zweite Justiermarke angeordnet ist, und dem Bauelement-Bereich angeordnet ist; • eine opake Materialschicht (124) über der mindestens einen zweiten Justiermarke (140) und der ersten isolierenden Schicht (116) abgeschieden wird, wobei die opake Materialschicht (124) den Boden und die Seitenwände des Grabens der mindestens einen zweiten Justiermarke (140) derart belegt, dass eine Vertiefung in der opaken Materialschicht (124) über jeder der mindestens einen zweiten Justiermarke (140) verbleibt; • eine erste Maskenschicht (128) über der opaken Materialschicht (124) abgeschieden wird; • die erste Maskenschicht (128) unter Verwendung einer Lithographiemaske oder eines Lithographiegerätes strukturiert wird, wobei die erste Maskenschicht (128) über der mindestens einen ersten Justiermarke (114) entfernt wird, derart, dass die Vertiefung über der mindestens einen zweiten Justiermarke (140) zum Ausrichten der Lithographiemaske oder des Lithographiegerätes verwendet wird, welche Lithographiemaske oder welches Lithographiegerät zum Strukturieren der ersten Maskenschicht (128) über der opaken Materialschicht (124) verwendet wird; • die opake Materialschicht (124) über der mindestens einen ersten Justiermarke (114) entfernt wird unter Verwendung der ersten Maskenschicht (128) als Maske; • die erste Maskenschicht (128) entfernt wird; • eine zweite Maskenschicht (134) über der opaken Materialschicht (124) und der mindestens einen ersten Justiermarke (114) abgeschieden wird; • die zweite Maskenschicht (134) mit einer Struktur für die opake Materialschicht (124) in dem Bauelement-Bereich (106) jedes Die-Bereiches (105) strukturiert wird, wobei die mindestens eine erste Justiermarke (114) zum Ausrichten verwendet wird; und • die opake Materialschicht (124) strukturiert wird unter Verwendung der zweiten Maskenschicht (134) als Maske.
  2. Verfahren gemäß Anspruch 1, wobei die erste Maskenschicht (128) oder die zweite Maskenschicht (134) einen Photoresist, oder eine Hartmaske und einen Photoresist aufweist.
  3. Verfahren gemäß Anspruch 1 oder 2, wobei nach dem Bilden der mindestens einen zweiten Justiermarke (140) ferner • eine zweite isolierende Schicht (118) über der mindestens einen zweiten Justiermarke (140), der mindestens einen ersten Justiermarke (114), der Mehrzahl von ersten Leiterbahnen (112) und der ersten isolierenden Schicht (116) gebildet wird; • die zweite isolierende Schicht (118) mit einer Via-Struktur (120) strukturiert wird; • eine leitende Materialschicht (120) über der strukturierten zweiten isolierenden Schicht (118) abgeschieden wird; und • die leitende Materialschicht (120) über der oberen Oberfläche der zweiten isolierenden Schicht (118) entfernt wird, wobei ein leitendes Via 120) verbleibt, welches leitende Via (120) in der zweiten isolierenden Schicht (118) über dem Bauteil-Bereich (106) jedes Die-Bereiches (105) gebildet ist, und wobei die leitende Materialschicht (120) derart verbleibt, dass die leitende Materialschicht (120) die zweite isolierende Schicht (118) innerhalb der mindestens einen zweiten Justiermarke (140) belegt; und wobei das Abscheiden der opaken Materialschicht (124) das Abscheiden der opaken Materialschicht (124) über dem leitenden Material (120) über jeder der mindestens einen zweiten Justiermarke (140) aufweist.
  4. Verfahren gemäß einem der Ansprüche 1 bis 3, wobei das Bilden der mindestens einen zweiten Justiermarke (140) das Bilden von mindestens einer Menge von zweiten Justiermarken (140) aufweist.
  5. Verfahren gemäß Anspruch 4, wobei der Rand-Bereich (103) des Werkstückes eine Mehrzahl von Gebieten mit unvollständigen Dies oder eine Mehrzahl von ungenutzten Substrat-Gebieten aufweist, und wobei das Bilden der mindestens einen zweiten Justiermarke (140) das Bilden von mindestens einer Menge von zweiten Justiermarken (140) in mindestens zwei Gebieten mit unvollständigen Dies oder ungenutzten Substrat-Gebieten in dem Rand-Bereich (103) aufweist.
  6. Verfahren gemäß Anspruch 5, wobei das Bilden der mindestens einen Menge von zweiten Justiermarken (140) aufweist: • das Bilden einer ersten Menge von zweiten Justiermarken (140) entlang einer oberen Kante oder entlang einer unteren Kante der Gebiete mit unvollständigen Dies oder der ungenutzten Substrat-Gebiete in dem Rand-Bereich (103); und • das Bilden einer zweiten Menge zweiter Justiermarken (140) entlang einer senkrechten Seitenkante der Gebiete mit unvollständigen Dies oder der ungenutzten Substrat-Gebiete in dem Rand-Bereich (103).
  7. Verfahren gemäß Anspruch 6, wobei das Bilden der zweiten Justiermarken (140) derart erfolgt, dass die zweiten Justiermarken (140) eine Breite von ungefähr 2 μm oder weniger und eine Länge von ungefähr 60 μm oder weniger aufweisen.
  8. Verfahren gemäß Anspruch 6, wobei die erste Menge von zweiten Justiermarken (140) ungefähr 10 oder mehr zweite Justiermarken (140) aufweist, und wobei die zweite Menge von zweiten Justiermarken (140) ungefähr 10 oder mehr zweite Justiermarken (140) aufweist.
  9. Verfahren gemäß einem der Ansprüche 1 bis 8, wobei das Bilden der mindestens einen zweiten Justiermarke (140) innerhalb zumindest der zweiten isolierenden Schicht (118) derart erfolgt, dass die mindestens eine zweite Justiermarke (140) in zumindest der gesamten Dicke der ersten isolierenden Schicht (116) gebildet wird.
  10. Verfahren gemäß einem der Ansprüche 1 bis 9, wobei weiterhin mindestens eine dritte isolierende Schicht (108) über dem Substrat (102) gebildet wird, bevor die erste isolierende Schicht (116) gebildet wird, und wobei das Bilden der mindestens einen zweiten Justiermarke (140) weiterhin das Bilden der mindestens einen zweiten Justiermarke (140) innerhalb zumindest eines Teils der mindestens einen dritten isolierenden Schicht (108) aufweist.
  11. Verfahren gemäß Anspruch 10, wobei das Bilden der einen zweiten Justiermarke (140) das Bilden der mindestens einen zweiten Justiermarke (140) innerhalb der gesamten Dicke von einer der mindestens einen dritten isolierenden Schicht (108) aufweist.
  12. Verfahren gemäß Anspruch 11, wobei das Bilden der mindestens einen zweiten Justiermarke (140) weiterhin das Bilden der mindestens einen zweiten Justiermarke (140) innerhalb der gesamten Dicke von jeder der mindestens einen dritten isolierenden Schicht (108) aufweist.
  13. Verfahren gemäß Anspruch 12, wobei das Bilden der mindestens einen zweiten Justiermarke (140) weiterhin das Bilden der mindestens einen zweiten Justiermarke (140) innerhalb eines oberen Bereiches des Werkstückes aufweist.
  14. Verfahren gemäß einem der Ansprüche 1 bis 13, wobei das Bilden der mindestens einen zweiten Justiermarke (140) derart erfolgt, dass die mindestens eine zweite Justiermarke (140) eine Tiefe von mindestens ungefähr 800 nm aufweist.
  15. Verfahren gemäß einem der Ansprüche 1 bis 14, wobei das Bilden der mindestens einen zweiten Justiermarke (140) derart erfolgt, dass die mindestens eine zweite Justiermarke (140) eine Tiefe von ungefähr 3 μm oder weniger aufweist.
  16. Verfahren gemäß einem der Ansprüche 1 bis 15, wobei: • das Halbleiterelement (100) ein MRAM-Element aufweist; • der Justiermarken-Bereich (104) einen Rand-Bereich (103) oder Kantenbereich eines jeden Die-Bereiches (105) des MRAM-Elementes aufweist; • der Bauteil-Bereich (106) einen Array-Bereich (106) des MRAM-Elementes aufweist; • das Abscheiden der opaken Materialschicht (124) das Abscheiden eines ersten Magnetstapels aufweist; und • das Strukturieren der opaken Materialschicht (124) das Bilden mindestens eines magnetischen Tunnel-Übergangs aufweist.
  17. Verfahren gemäß Anspruch 16, wobei nach dem Bilden der mindestens einen zweiten Justiermarke (140) weiterhin: • eine zweite isolierende Schicht (118) über der mindestens einen zweiten Justiermarke (140), der mindestens einen ersten Justiermarke (114), der Mehrzahl von ersten Leiterbahnen (112), und der ersten isolierenden Schicht (116) gebildet wird; • die zweite isolierende Schicht (118) mit einer Via-Struktur (120) strukturiert wird; • eine leitende Materialschicht (120) über der strukturierten zweiten isolierenden Schicht (118) abgeschieden wird; und • die leitende Materialschicht (120) über der oberen Oberfläche der zweiten isolierenden Schicht (118) entfernt wird, wobei ein leitendes Via (120) verbleibt, welches leitende Via (120) in der zweiten isolierenden Schicht (118) über dem Bauteil-Bereich (106) eines jeden Die-Bereiches (105) gebildet ist, und wobei die leitende Materialschicht (120) derart verbleibt, dass sie die zweite isolierende Schicht (118) innerhalb der mindestens einen zweiten Justiermarke (140) belegt, und wobei das Abscheiden der opaken Materialschicht (124) das Abscheiden der opaken Materialschicht (124) über dem leitenden Material (120) über jeder der zumindest einen zweiten Justiermarke (140) aufweist.
  18. Verfahren gemäß Anspruch 16 oder 17, wobei weiterhin: • ein zweiter Magnetstapel über zumindest dem magnetischen Tunnel-Übergang abgeschieden wird, welcher zweite Magnetstapel ein opakes Material aufweist; • eine dritte Maskenschicht über dem zweiten Magnetstapel abgeschieden wird; • die dritte Maskenschicht unter Verwendung einer Lithographiemaske oder eines Lithographiegerätes strukturiert wird, wobei die Vertiefung über der mindestens einen zweiten Justiermarke (140) zum Ausrichten der Lithographiemaske oder des Lithographiegerätes verwendet wird, welche Lithographiemaske oder welches Lithographiegerät zum Strukturieren der zweiten Maskenschicht (134) über dem zweiten Magnetstapel verwendet wird; • der zweite Magnetstapel über der mindestens einen ersten Justiermarke (114) entfernt wird unter Verwendung der dritten Maskenschicht als Maske; • die dritte Maskenschicht entfernt wird; • eine vierte Maskenschicht über dem zweiten Magnetstapel und der mindestens einen ersten Justiermarke (114) abgeschieden wird; • die vierte Maskenschicht mit einer Struktur für den zweiten Magnetstapel strukturiert wird, wobei die mindestens eine erste Justiermarke (114) zum Ausrichten verwendet wird; und • der zweite Magnetstapel unter Verwendung der vierten Maskenschicht als Maske strukturiert wird.
  19. Verfahren gemäß einem der Ansprüche 16 bis 18, wobei • der erste Magnetstapel eine erste magnetische Schicht (112), eine Tunnelbarriere (118), welche über der ersten magnetischen Schicht (112) angeordnet ist, und eine zweite magnetische Schicht (124), welche über der Tunnelbarriere (118) angeordnet ist, aufweist; • zumindest die zweite magnetische Schicht (124) ein opakes Material aufweist; und weiterhin • eine Mehrzahl von zweiten Leiterbahnen über jedem der mindestens einen magnetischen Tunnel-Übergänge ausgebildet wird.
  20. Verfahren gemäß einem der Ansprüche 1 bis 19, wobei ferner mindestens eine zweite Justiermarke (140) innerhalb zumindest der ersten isolierenden Schicht (116) über mindestens einer der Mehrzahl von Die-Bereichen (105) des Substrats (102) gebildet wird, und wobei ein Die-Bereich (105), welcher die mindestens eine zweite darin ausgebildete Justiermarke (140) aufweist, einen nicht funktionierenden Chip aufweist.
  21. Verfahren zum Herstellen eines magnetischen Speicherelementes, wobei: • ein Substrat (102) bereitgestellt wird, welches Substrat (102) eine Mehrzahl von Die-Bereichen (105) und einen Rand-Bereich (103) enthält, wobei jeder Die-Bereich (105) an seinen Rändern einen Justiermarken-Bereich (104) aufweist, sowie einen Array-Bereich (106), welcher innerhalb des Justiermarken-Bereiches (104) angeordnet ist; • eine erste isolierende Schicht (116) über dem Werkstück gebildet wird; • mindestens eine erste Justiermarke (114) über dem Justiermarken-Bereich (104) jedes Die-Bereiches (105) und eine Mehrzahl von ersten Leiterbahnen (112) über dem Array-Bereich (106) jedes Die-Bereiches (105) innerhalb der ersten isolierenden Schicht (116) gebildet werden, wobei das Bilden der mindestens einen ersten Justiermarke (114) das Füllen der mindestens einen ersten Justiermarke (114) mit einem leitenden Material aufweist; • mindestens eine zweite Justiermarke (140) innerhalb zumindest der ersten isolierenden Schicht (116) über dem Rand-Bereich (103) des Substrats (102) gebildet wird, wobei die mindestens eine zweite Justiermarke (140) einen Graben mit einem Boden und Seitenwänden aufweist; • eine zweite isolierende Schicht (118) über der mindestens einen zweiten Justiermarke (140), der mindestens einen ersten Justiermarke (114), der Mehrzahl von ersten Leiterbahnen (112), und der ersten isolierenden Schicht gebildet wird (116), wobei die zweite isolierende Schicht (118) den Boden und die Seitenwände des Grabens der mindestens einen zweiten Justiermarke (140) belegt; • ein leitendes Via (120) in der zweiten isolierenden Schicht (118) über dem Array-Bereich (106) jedes Die-Bereiches (105) unter Verwendung eines Damascene-Prozesses gebildet wird, wobei das leitende Viamaterial die zweite isolierende Schicht (118) belegt, indem der Boden und die Seitenwände der mindestens einen zweiten Justiermarke (140) belegt werden; • ein erster Magnetstapel über dem leitenden Via und der zweiten isolierenden Schicht abgeschieden wird, wobei eine Vertiefung in dem ersten Magnetstapel über jeder der mindestens einen zweiten Justiermarke (140) gebildet wird; • eine erste Maskenschicht (128) über dem ersten Magnetstapel abgeschieden wird; • die erste Maskenschicht (128) unter Verwendung einer Lithographiemaske oder eines Lithographiegerätes strukturiert wird, wobei die erste Maskenschicht (128) über der einen ersten Justiermarke (114) entfernt wird und wobei die Vertiefung in dem ersten Magnetstapel über der mindestens einen zweiten Justiermarke (140) zum Ausrichten der Lithographiemaske oder des Lithographiegerätes verwendet wird, welche Lithographiemaske oder welches Lithographiegerät zum Strukturieren der ersten Maskenschicht (128) über dem ersten Magnetstapel verwendet wird; • der erste Magnetstapel über der mindestens einen ersten Justiermarke (114) unter Verwendung der ersten Maskenschicht (128) als Maske entfernt wird, wobei die mindestens eine erste Justiermarke (114) freigelegt wird; • die erste Maskenschicht (128) entfernt wird; • eine zweite Maskenschicht (134) über dem ersten Magnetstapel und der mindestens einen ersten Justiermarke (114) abgeschieden wird; • die zweite Maskenschicht (134) mit einer Struktur für den ersten Magnetstapel in dem Array-Bereich (106) jedes Die-Bereiches (105) strukturiert wird, wobei die mindestens eine erste Justiermarke (114) zum Ausrichten verwendet wird; und • der erste Magnetstapel, unter Verwendung der zweiten Maskenschicht (134) als Maske, strukturiert wird, wobei der strukturierte erste Magnetstapel eine magnetische Speicherzelle aufweist.
  22. Verfahren gemäß Anspruch 21, wobei der Rand-Bereich (103) eine Mehrzahl von Gebieten mit unvollständigen Dies oder eine Mehrzahl von ungenutzten Substrat-Gebieten aufweist, und wobei das Bilden der mindestens einen zweiten Justiermarke (140) das Bilden mindestens einer Menge von zweiten Justiermarken (140) in mindestens zwei Gebieten mit unvollständigen Dies oder ungenutzten Substrat-Gebieten in dem Rand-Bereich (103) aufweist.
  23. Verfahren gemäß Anspruch 21, wobei das Bilden der mindestens einen Menge zweiter Justiermarken (140) das Bilden einer ersten Menge zweiter Justiermarken (140) entlang einer oberen Kante oder einer unteren Kante der Gebiete mit unvollständigen Dies oder der ungenutzten Substrat-Gebiete in dem Rand-Bereich (103) aufweist, sowie das Bilden einer zweiten Menge zweiter Justiermarken (140) entlang einer senkrechten Seitenkante der Gebiete mit unvollständigen Dies oder der ungenutzten Substrat-Gebiete in dem Rand-Bereich (103).
  24. Verfahren gemäß Anspruch 23, wobei das Bilden der zweiten Justiermarken (140) derart erfolgt, dass die zweiten Justiermarken (140) eine Breite von ungefähr 2 μm oder weniger und eine Länge von ungefähr 60 μm oder weniger aufweisen, und wobei die erste Menge von zweiten Justiermarken (140) ungefähr 10 oder mehr zweite Justiermarken (140) aufweist, und wobei die zweite Menge von zweiten Justiermarken (140) ungefähr 10 oder mehr zweite Justiermarken (140) aufweist.
  25. Verfahren gemäß einem der Ansprüche 21 bis 24, wobei das Bilden der mindestens einen zweiten Justiermarke (140) innerhalb zumindest der ersten isolierenden Schicht (116) das Bilden der mindestens einen zweiten Justiermarke (140) in zumindest der gesamten Dicke der ersten isolierenden Schicht (116), oder das Bilden der mindestens einen zweiten Justiermarke (140) innerhalb eines oberen Bereiches des Substrats (102) aufweist.
  26. Verfahren gemäß Anspruch 25, wobei das Substrat (102) ferner mindestens eine dritte isolierende Schicht (108) aufweist, welche mindestens eine dritte isolierende Schicht (108) zwischen dem Substrat (102) und der ersten isolierenden Schicht (116) angeordnet ist, und wobei das Bilden der mindestens einen zweiten Justiermarke (140) das Bilden der mindestens einen zweiten Justiermarke (140) innerhalb zumindest eines Teils von zumindest einer der dritten isolierenden Schichten (108) aufweist.
  27. Verfahren gemäß einem der Ansprüche 21 bis 26, wobei das Bilden der mindestens einen zweiten Justiermarke derart erfolgt, dass die mindestens eine zweite Justiermarke eine Tiefe von mindestens ungefähr 800 nm aufweist.
  28. Verfahren gemäß einem der Ansprüche 21 bis 27, wobei das Bilden der mindestens einen zweiten Justiermarke (140) derart erfolgt, dass die mindestens eine zweite Justiermarke (140) eine Tiefe von ungefähr 3 μm oder weniger aufweist.
  29. Verfahren gemäß einem der Ansprüche 21 bis 28, wobei das magnetische Speicherelement ein MRAM-Element aufweist, und wobei das Strukturieren des Magnetstapels das Bilden mindestens eines magnetischen Tunnel-Übergangs aufweist.
  30. Verfahren gemäß Anspruch 29, wobei weiterhin: • ein zweiter Magnetstapel zumindest über dem magnetischen Tunnel-Übergang abgeschieden wird, welcher zweite Magnetstapel ein opakes Material aufweist; • eine dritte Maskenschicht über dem zweiten Magnetstapel abgeschieden wird; • die dritte Maskenschicht unter Verwendung einer Lithographiemaske oder eines Lithographiegerätes strukturiert wird, wobei die Vertiefung der mindestens einen zweiten Justiermarke (140) zum Ausrichten der Lithographiemaske oder des Lithographiegerätes verwendet wird, welche Lithographiemaske oder welches Lithographiegerät zum Strukturieren der zweiten Maskenschicht über dem zweiten Magnetstapel verwendet wird; • der zweite Magnetstapel über der mindestens einen ersten Justiermarke (114) unter Verwendung der dritten Maskenschicht als Maske entfernt wird; • die dritte Maskenschicht entfernt wird; • eine vierte Maskenschicht über dem zweiten Magnetstapel und der mindestens einen ersten Justiermarke (114) abgeschieden wird; • die vierte Maskenschicht mit einer Struktur für den zweiten Magnetstapel strukturiert wird, wobei die mindestens eine erste Justiermarke (114) zum Ausrichten verwendet wird; und • der zweite Magnetstapel unter Verwendung der vierten Maskenschicht als Maske strukturiert wird.
  31. Verfahren gemäß Anspruch 29, wobei: • der erste Magnetstapel eine erste magnetische Schicht (112), eine Tunnelbarriere (118), welche über der ersten magnetischen Schicht (112) angeordnet ist, und eine magnetische Schicht (124), welche über der Tunnelbarriere (118) angeordnet ist, aufweist; • zumindest die zweite magnetische Schicht (124) ein opakes Material aufweist; und ferner • eine Mehrzahl von zweiten Leiterbahnen über jedem der mindestens einen magnetischen Tunnel-Übergänge ausgebildet wird.
DE102005034386A 2004-08-02 2005-07-22 Tiefe Justiermarken auf Rand-Chips zum anschließenden Ausrichten von opaken Schichten Expired - Fee Related DE102005034386B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/909,599 2004-08-02
US10/909,599 US7442624B2 (en) 2004-08-02 2004-08-02 Deep alignment marks on edge chips for subsequent alignment of opaque layers

Publications (2)

Publication Number Publication Date
DE102005034386A1 DE102005034386A1 (de) 2006-03-16
DE102005034386B4 true DE102005034386B4 (de) 2012-07-05

Family

ID=35732867

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102005034386A Expired - Fee Related DE102005034386B4 (de) 2004-08-02 2005-07-22 Tiefe Justiermarken auf Rand-Chips zum anschließenden Ausrichten von opaken Schichten

Country Status (2)

Country Link
US (1) US7442624B2 (de)
DE (1) DE102005034386B4 (de)

Families Citing this family (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4018096B2 (ja) * 2004-10-05 2007-12-05 松下電器産業株式会社 半導体ウェハの分割方法、及び半導体素子の製造方法
ITMO20050056A1 (it) * 2005-03-15 2006-09-16 Biofer Spa Processo per la preparazione di complessi del ferro trivalente con zuccheri mono-, di- e polisaccaridi.
US7507633B2 (en) * 2006-03-07 2009-03-24 International Business Machines Corproation Method and structure for improved alignment in MRAM integration
US8609441B2 (en) * 2006-12-12 2013-12-17 Asml Netherlands B.V. Substrate comprising a mark
US8722179B2 (en) 2006-12-12 2014-05-13 Asml Netherlands B.V. Substrate comprising a mark
CA2616623C (en) 2007-01-03 2012-04-03 Skyline Displays, Inc. Multi-configurable tubular display system
JP5064157B2 (ja) * 2007-09-18 2012-10-31 新光電気工業株式会社 半導体装置の製造方法
US8466569B2 (en) * 2008-04-01 2013-06-18 Texas Instruments Incorporated Increasing exposure tool alignment signal strength for a ferroelectric capacitor layer
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US9711407B2 (en) * 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8395191B2 (en) * 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8362482B2 (en) * 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8754533B2 (en) * 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8384426B2 (en) * 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8405420B2 (en) * 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8148728B2 (en) 2009-10-12 2012-04-03 Monolithic 3D, Inc. Method for fabrication of a semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
KR101102685B1 (ko) * 2009-11-27 2012-01-05 주식회사 하이닉스반도체 웨이퍼 및 그 형성 방법
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
DE102011000703A1 (de) * 2011-02-14 2012-08-16 Continental Reifen Deutschland Gmbh Verfahren zur Herstellung eines Fahrzeugluftreifens
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US9793129B2 (en) * 2015-05-20 2017-10-17 Infineon Technologies Ag Segmented edge protection shield
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
JP6640497B2 (ja) * 2015-09-01 2020-02-05 株式会社日立ハイテクサイエンス 試料ホルダ及び試料ホルダ群
WO2017053329A1 (en) 2015-09-21 2017-03-30 Monolithic 3D Inc 3d semiconductor device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
CN106597818B (zh) * 2015-10-19 2018-09-14 无锡华润上华科技有限公司 对位标记、形成对位标记的方法及半导体器件
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US12016181B2 (en) 2015-10-24 2024-06-18 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US10658589B2 (en) * 2018-06-27 2020-05-19 International Business Machines Corporation Alignment through topography on intermediate component for memory device patterning
US10534276B1 (en) 2019-03-27 2020-01-14 International Business Machines Corporation Lithographic photomask alignment using non-planar alignment structures formed on wafer
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10879190B2 (en) 2019-05-01 2020-12-29 International Business Machines Corporation Patterning integration scheme with trench alignment marks
CN112151669B (zh) * 2019-06-27 2024-04-09 联华电子股份有限公司 存储器元件的制作方法
US11145602B2 (en) * 2020-02-10 2021-10-12 United Microelectronics Corp. Alignment mark structure and method of fabricating the same
US11302630B2 (en) 2020-04-08 2022-04-12 International Business Machines Corporation Electrode-via structure

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6342735B1 (en) * 1999-09-01 2002-01-29 International Business Machines Corporation Dual use alignment aid
US6380049B1 (en) * 1997-01-23 2002-04-30 Nec Corporation Semiconductor substrate and method of manufacturing semiconductor device
US20040043579A1 (en) * 2002-09-04 2004-03-04 Joachim Nuetzel MRAM MTJ stack to conductive line alignment method
DE102005033916A1 (de) * 2004-07-26 2006-02-16 Infineon Technologies Ag Ausrichtung eines MTJ-Stapels an Leiterbahnen in Abwesenheit von Topographie

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4592132A (en) 1984-12-07 1986-06-03 Hughes Aircraft Company Process for fabricating multi-level-metal integrated circuits at high yields
US4657629A (en) 1986-03-27 1987-04-14 Harris Corporation Bilevel resist process
JP2897248B2 (ja) 1989-04-18 1999-05-31 富士通株式会社 半導体装置の製造方法
JPH03270233A (ja) 1990-03-20 1991-12-02 Fujitsu Ltd 金属配線層の平坦化方法
DE69118031T2 (de) 1990-06-29 1996-09-05 Canon Kk Verfahren zum Herstellen einer Halbleiteranordnung mit einer Ausrichtungsmarke
US5492607A (en) 1993-02-17 1996-02-20 Hughes Aircraft Company Method of fabricating a surface emitting laser with large area deflecting mirror
US5401691A (en) 1994-07-01 1995-03-28 Cypress Semiconductor Corporation Method of fabrication an inverse open frame alignment mark
US5503962A (en) 1994-07-15 1996-04-02 Cypress Semiconductor Corporation Chemical-mechanical alignment mark and method of fabrication
US6590750B2 (en) 1996-03-18 2003-07-08 International Business Machines Corporation Limiting magnetoresistive electrical interaction to a preferred portion of a magnetic region in magnetic devices
US5935784A (en) * 1996-07-19 1999-08-10 Iowa State University Research Foundation, Inc. Prolactin receptor gene as a genetic marker for increased litter size in pigs
US5958800A (en) 1996-10-07 1999-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for post planarization metal photolithography
US5786260A (en) 1996-12-16 1998-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a readable alignment mark structure using enhanced chemical mechanical polishing
JP2865089B2 (ja) 1996-12-26 1999-03-08 日本電気株式会社 重合せ精度測定用マーク及びその製造方法
US5738961A (en) 1997-03-03 1998-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Two-step photolithography method for aligning and patterning non-transparent layers
US6103636A (en) 1997-08-20 2000-08-15 Micron Technology, Inc. Method and apparatus for selective removal of material from wafer alignment marks
US5877562A (en) 1997-09-08 1999-03-02 Sur; Harlan Photo alignment structure
US5946583A (en) 1997-11-18 1999-08-31 Winbond Electronics Corporation Method for preventing alignment marks from disappearing after chemical mechanical polishing
US6043133A (en) 1998-07-24 2000-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of photo alignment for shallow trench isolation chemical-mechanical polishing
US6420261B2 (en) 1998-08-31 2002-07-16 Fujitsu Limited Semiconductor device manufacturing method
US5940319A (en) 1998-08-31 1999-08-17 Motorola, Inc. Magnetic random access memory and fabricating method thereof
US6184104B1 (en) 1998-09-10 2001-02-06 Chartered Semiconductor Manufacturing Ltd. Alignment mark strategy for oxide CMP
US6288773B2 (en) 1998-12-11 2001-09-11 Lsi Logic Corporation Method and apparatus for removing residual material from an alignment mark of a semiconductor wafer
US6346454B1 (en) 1999-01-12 2002-02-12 Agere Systems Guardian Corp. Method of making dual damascene interconnect structure and metal electrode capacitor
US6146969A (en) 1999-01-19 2000-11-14 Chartered Semiconductor Manufacturing Ltd. Printing optimized global alignment mark at contact/via layers
US6290631B2 (en) 1999-01-25 2001-09-18 United Microelectronics Corp. Method for restoring an alignment mark after planarization of a dielectric layer
US6183614B1 (en) 1999-02-12 2001-02-06 Applied Materials, Inc. Rotating sputter magnetron assembly
JP2000252236A (ja) 1999-03-03 2000-09-14 Toshiba Corp 半導体装置及びその製造方法
US6529274B1 (en) * 1999-05-11 2003-03-04 Micron Technology, Inc. System for processing semiconductor products
US6284551B1 (en) 1999-06-14 2001-09-04 Hyundai Electronics Industries Co., Ltd. Capacitor and method for fabricating the same
JP2001036036A (ja) 1999-07-21 2001-02-09 Mitsubishi Electric Corp 半導体装置およびその製造方法
DE10011886A1 (de) 2000-03-07 2001-09-20 Infineon Technologies Ag Verfahren zur Herstellung einer Leiterstruktur für einen integrierten Schaltkreis
JP3503888B2 (ja) 2000-09-01 2004-03-08 沖電気工業株式会社 アライメントマーク及びその形成方法
JP4623819B2 (ja) 2000-12-12 2011-02-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US20020098705A1 (en) 2001-01-24 2002-07-25 Infineon Technologies North America Corp. Single step chemical mechanical polish process to improve the surface roughness in MRAM technology
US6780775B2 (en) 2001-01-24 2004-08-24 Infineon Technologies Ag Design of lithography alignment and overlay measurement marks on CMP finished damascene surface
US6709874B2 (en) 2001-01-24 2004-03-23 Infineon Technologies Ag Method of manufacturing a metal cap layer for preventing damascene conductive lines from oxidation
US6319767B1 (en) 2001-03-05 2001-11-20 Chartered Semiconductor Manufacturing Ltd. Method to eliminate top metal corner shaping during bottom metal patterning for MIM capacitors via plasma ashing and hard masking technique
US6723600B2 (en) 2001-04-18 2004-04-20 International Business Machines Corporation Method for making a metal-insulator-metal capacitor using plate-through mask techniques
US20020192926A1 (en) 2001-06-18 2002-12-19 Schroeder Uwe Paul High contrast lithography alignment marks for semiconductor manufacturing
JP3609761B2 (ja) 2001-07-19 2005-01-12 三洋電機株式会社 半導体装置の製造方法
JP2003168687A (ja) 2001-11-30 2003-06-13 Nec Electronics Corp 目合わせパターンおよびその製造方法
US6979526B2 (en) 2002-06-03 2005-12-27 Infineon Technologies Ag Lithography alignment and overlay measurement marks formed by resist mask blocking for MRAMs
US6750115B1 (en) 2002-11-25 2004-06-15 Infineon Technologies Ag Method for generating alignment marks for manufacturing MIM capacitors
US6774452B1 (en) 2002-12-17 2004-08-10 Cypress Semiconductor Corporation Semiconductor structure having alignment marks with shallow trench isolation
US7241668B2 (en) 2003-06-24 2007-07-10 International Business Machines Corporation Planar magnetic tunnel junction substrate having recessed alignment marks
US6933204B2 (en) 2003-10-13 2005-08-23 International Business Machines Corporation Method for improved alignment of magnetic tunnel junction elements

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6380049B1 (en) * 1997-01-23 2002-04-30 Nec Corporation Semiconductor substrate and method of manufacturing semiconductor device
US6342735B1 (en) * 1999-09-01 2002-01-29 International Business Machines Corporation Dual use alignment aid
US20040043579A1 (en) * 2002-09-04 2004-03-04 Joachim Nuetzel MRAM MTJ stack to conductive line alignment method
DE102005033916A1 (de) * 2004-07-26 2006-02-16 Infineon Technologies Ag Ausrichtung eines MTJ-Stapels an Leiterbahnen in Abwesenheit von Topographie

Also Published As

Publication number Publication date
DE102005034386A1 (de) 2006-03-16
US7442624B2 (en) 2008-10-28
US20060024923A1 (en) 2006-02-02

Similar Documents

Publication Publication Date Title
DE102005034386B4 (de) Tiefe Justiermarken auf Rand-Chips zum anschließenden Ausrichten von opaken Schichten
DE102005033916B4 (de) Ausrichtung eines MTJ-Stapels an Leiterbahnen in Abwesenheit von Topographie
DE102005036073B4 (de) Verfahren zum Herstellen einer Leiterbahn mit ferromagnetischem Liner einer magnetischen Speichereinrichtung
DE10324866B4 (de) Verfahren zum Herstellen eines magnetischen Direktzugriffsspeichers
DE60301344T2 (de) Materialkombination für tunnelübergangsdeckschicht, hartmaske und stackkeimschicht in der mram-herstellung
DE102005034665B4 (de) Verfahren zum Herstellen einer Leiterbahn einer resistiven Speichereinrichtung
DE102016116301B4 (de) Verfahren zur herstellung eines magnetischen tunnelkontakts mit reduzierten schäden
DE102016100272B4 (de) Integrierter Schaltkreis, der zumindest eine Speicherzelle mit einer Datenspeicherschicht enthält, und Verfahren zu dessen Herstellung
DE3586554T2 (de) Verfahren zur selektiven exposition der seitenwaende eines grabens und dessen verwendung fuer die herstellung von einem substratkontakt aus metallsiliziden mit dielektrischem material gefuellten graeben isolierter anordnungen.
DE102008035707B4 (de) Bipolartransistor-Finfet-Technologie
DE102018119672A1 (de) Techniken für mram mtj top elektrode auf metallschicht-interface mit einem abstandhalter
DE112013000362B4 (de) Verfahren zur herstellung elektrisch programmierbarerback-end-sicherung
DE102005032979A1 (de) Strukturieren eines magnetischen Tunnelübergang-Elements unter Verwendung von Nassätzen einer freien Schicht und unter Verwendung von Lift-Off-Techniken
DE102005020060B4 (de) Verfahren zum Strukturieren eines Dielektrikums mit kleinem ε unter Anwendung einer Hartmaske
DE102019217455B4 (de) Vorrichtung und verfahren zum ausrichten von schichten einer integrierten schaltung unter verwendung mehrerer gittermaterialien
DE102011085203B4 (de) Herstellungsverfahren für Halbleiterbauelemente mit Durchgangskontakten
DE112013006462T5 (de) Eingebettete Magnettunnelkontakte umfassender Logikchip
DE102019127070A1 (de) Verfahren zum bilden einer speicherzelle
DE102006001107B4 (de) Verfahren zum Herstellen von MRAM-Zellen
DE102019130274A1 (de) Halbleiter-mram-vorrichtung und verfahren
DE102005034667A1 (de) Einkapseln von Leiterbahnen von Halbleiter-Einrichtungen
DE102018208546A1 (de) Strukturen aus dem mittleren bereich der fertigungslinie
DE102018220751A1 (de) Middle-of-line strukturen
DE102013202739A1 (de) SRAM-integrierte Schaltungen mit vergrabenem sattelförmigen FINFET und Verfahren zu deren Herstellung
DE112020003407T5 (de) Mehrschichtige untere elektrode für mtk-enthaltende einheiten

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8127 New person/name/address of the applicant

Owner name: QIMONDA AG, 81739 MUENCHEN, DE

R018 Grant decision by examination section/examining division
R020 Patent grant now final

Effective date: 20121006

R081 Change of applicant/patentee

Owner name: INFINEON TECHNOLOGIES AG, DE

Free format text: FORMER OWNER: QIMONDA AG, 81739 MUENCHEN, DE

Owner name: POLARIS INNOVATIONS LTD., IE

Free format text: FORMER OWNER: QIMONDA AG, 81739 MUENCHEN, DE

R082 Change of representative
R081 Change of applicant/patentee

Owner name: POLARIS INNOVATIONS LTD., IE

Free format text: FORMER OWNER: INFINEON TECHNOLOGIES AG, 85579 NEUBIBERG, DE

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee