DE102019216082A1 - Skalierter gate-kontakt und source/drain-kappe - Google Patents

Skalierter gate-kontakt und source/drain-kappe Download PDF

Info

Publication number
DE102019216082A1
DE102019216082A1 DE102019216082.4A DE102019216082A DE102019216082A1 DE 102019216082 A1 DE102019216082 A1 DE 102019216082A1 DE 102019216082 A DE102019216082 A DE 102019216082A DE 102019216082 A1 DE102019216082 A1 DE 102019216082A1
Authority
DE
Germany
Prior art keywords
contact
source
gate
drain
over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102019216082.4A
Other languages
English (en)
Other versions
DE102019216082B4 (de
Inventor
Hui Zang
Ruilong Xie
Jae Gon Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102019216082A1 publication Critical patent/DE102019216082A1/de
Application granted granted Critical
Publication of DE102019216082B4 publication Critical patent/DE102019216082B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5221Crossover interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Die vorliegende Erfindung betrifft Halbeiterstrukturen und insbesondere einen skalierten Gate-Kontakt und eine skalierte Source/Drain-Kappe und Herstellungsverfahren. Die Struktur umfasst: eine Gate-Struktur mit einem aktiven Gebiet; Source- und Drain-Kontakte neben der Gate-Struktur; ein Abdeckmaterial über den Source- und Drain-Kontakten; einen Gate-Kontakt, der direkt über dem aktiven Gebiet der Gate-Struktur und über dem Abdeckmaterial gebildet ist; ein U-förmiges dielektrisches Material um den Gate-Kontakt über den Source- und Drain-Kontakten; und einen Kontakt in direktem elektrischen Kontakt zu den Source- und Drain-Kontakten.

Description

  • GEBIET DER ERFINDUNG
  • Die vorliegende Erfindung betrifft Halbleiterstrukturen und insbesondere einen skalierten Gate-Kontakt und eine skalierte Source/Drain-Kappe und Herstellungsverfahren.
  • HINTERGRUND
  • Integrierte Schaltungsstrukturen (IC-Strukturen) weisen Middle-of-the-Line-Kontakte (MOL-Kontakte) auf, die die Halbleitervorrichtungen mit den Metallebenen des Back-End-of-Line (BEOL) verbinden. Beispielsweise kann ein Feldeffekttransistor (FET) einen Gate-Kontakt (CB-Kontakt) aufweisen, der sich vertikal durch das dielektrische Zwischenschichtmaterial (ILD) von einem Metalldraht oder einer Via in der ersten Ebene (M0) des Back-End-of-Line (BEOL) zum Gate des FET erstreckt. Der FET weist auch Source/Drain-Kontakte (CA-Kontakte) auf, die sich vertikal durch das ILD-Material von Metalldrähten oder Vias in der BEOL-Metallebene zu Metallsteckern (TS-Kontakten) erstrecken, die sich in den Source/Drain-Bereichen des FET befinden.
  • Zur Vermeidung von Kurzschlüsse zwischen dem Gate-Kontakt und den Metallsteckern wird der Gate-Kontakt auf einem Abschnitt des Gates gebildet, der zu dem aktiven Gebiet des FET versetzt ist und sich insbesondere auf einem Abschnitt des Gates befindet, der sich seitlich über den benachbarten Isolationsbereich erstreckt. In Anbetracht des immer wieder bestehenden Bedarfs an einer Skalierung der Größe von Vorrichtungen ist es jedoch vorteilhaft, einen Gate-Kontakt vorzusehen, der auf einem Abschnitt des Gates direkt über dem aktiven Gebiet ausgebildet ist (CB-over-active oder CBoA) bereitgestellt wird, während sichergestellt wird, dass dennoch das Risiko eines sich entwickelnden Kurzschlusses zwischen dem Gate-Kontakt und einem der Metallstecker vermieden (oder zumindest erheblich reduziert) wird.
  • ZUSAMMENFASSUNG
  • In einem Aspekt der Erfindung umfasst eine Struktur: eine Gate-Struktur mit einem aktiven Gebiet; Source- und Drain-Kontakte neben der Gate-Struktur; ein Abdeckmaterial über den Source- und Drain-Kontakten; einen Gate-Kontakt, der direkt über dem aktiven Gebiet der Gate-Struktur und über dem Abdeckmaterial gebildet ist; ein U-förmiges dielektrisches Material um den Gate-Kontakt über den Source- und Drainkontakten; und einen Kontakt in direktem elektrischen Kontakt zu den Source- und Drain-Kontakten.
  • In einem Aspekt der Erfindung umfasst eine Struktur: einen Gate-Kontakt über einem aktiven Gebiet einer Gate-Struktur; einen Abstandshalter an einer Seitenwand des Gate-Kontakts; einen unteren Source/Drain-Kontakt in elektrischem Kontakt mit Source- und Drain-Bereichen der Gate-Struktur; einen oberen Source/Drain-Kontakt über und in direktem Kontakt mit dem unteren Source/Drain-Kontakt; eine Source/Drain-Kappe unter einem Abschnitt des Gate-Kontakts über einem unteren Source/Drain-Kontakt und neben einem oberen Source/Drain-Kontakt; und einen Kontakt zu dem oberen Source/Drain-Kontakt.
  • In einem Aspekt der Erfindung umfasst ein Verfahren: ein Bilden eines unteren Source- und Drain-Kontakts; ein Bilden einer unteren Source- und Drain-Kontaktkappe über dem unteren Source- und Drain-Kontakt; ein Bilden eines Gate-Kontaktplatzhalters; ein Bilden eines Abstandshalters um eine Seitenwand des Gate-Kontaktplatzhalters; ein Aussparen der unteren Source- und Drain-Kontaktkappe, die nicht durch den Gate-Kontaktplatzhalter oder den Abstandshalter bedeckt wird; ein Bilden eines oberen Source- und Drain-Kontakts in der Aussparung; ein Entfernen des Gate-Kontaktplatzhalters, um eine Öffnung zu bilden, die ein aktives Gebiet einer Gate-Struktur freilegt; und ein Bilden eines Gate-Kontakts in der Öffnung in direktem Kontakt zu dem freiliegenden aktiven Gebiet der Gate-Struktur.
  • Figurenliste
  • Die vorliegende Erfindung wird in der folgenden detaillierten Beschreibung unter Bezugnahme auf die angegebene Mehrzahl von Zeichnungen anhand von nicht beschränkenden Beispielen von beispielhaften Ausführungsformen der vorliegenden Erfindung beschrieben.
    • 1A ist eine Querschnittsansicht eines Gate-Kontaktbereichs einer Gate-Struktur mit Source-/Drain-Bereichen unter anderen Merkmalen und von jeweiligen Herstellungsprozessen gemäß Aspekten der vorliegenden Erfindung.
    • 1B ist eine Querschnittsansicht eines Source-Kontaktbereichs unter anderen Merkmalen und von jeweiligen Herstellungsprozessen gemäß Aspekten der vorliegenden Erfindung.
    • 2A ist eine Querschnittsansicht des Gate-Kontaktbereichs, die unter anderem eine Öffnung für einen Gate-Kontakt und entsprechende Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung zeigt.
    • 2B ist eine Querschnittsansicht des Source-Kontaktbereichs, die unter anderem die Öffnung für den Gate-Kontakt und die jeweiligen Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung zeigt
    • 3A ist eine Querschnittsansicht des Gate-Kontaktbereichs, die unter anderem einen Opfer-Gate-Kontakt und entsprechende Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung zeigt.
    • 3B ist eine Querschnittsansicht des Source-Kontaktbereichs, die neben anderen Merkmalen den Opfer-Gate-Kontakt und die jeweiligen Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung zeigt
    • 4A ist eine Querschnittsansicht des Gate-Kontaktbereichs, die unter anderem ein Liner-Material auf dem Opfer-Gate-Kontakt und entsprechende Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung zeigt.
    • 4B ist eine Querschnittsansicht des Source-Kontaktbereichs, die unter anderem den Liner auf dem Opfer-Gate-Kontakt und die jeweiligen Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung zeigt
    • 5A ist eine Querschnittsansicht des Gate-Kontaktbereichs, die unter anderem einen Platzhalter für den Gate-Kontakt und entsprechende Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung zeigt.
    • 5B ist eine Querschnittsansicht des Source-Kontaktbereichs, die unter anderem einen Platzhalter für den Gate-Kontakt und entsprechende Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung zeigt.
    • 6A ist eine Querschnittsansicht des Gate-Kontaktbereichs, die unter anderem Source- und Drain-Kontaktbereiche und entsprechende Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung zeigt.
    • 6B ist eine Querschnittsansicht des Source-Kontaktbereichs, die unter anderem den Source- und den Drain-Kontaktbereich und die jeweiligen Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung zeigt.
    • 7A ist eine Querschnittsansicht des Gate-Kontaktbereichs, die unter anderem einen Gate-Kontakt und entsprechende Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung zeigt.
    • 7B ist eine Querschnittsansicht des Source-Kontaktbereichs, die unter anderem den Gate-Kontakt und den Source/Drain-Kontakt und die jeweiligen Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung zeigt.
  • DETAILLIERTE BESCHREIBUNG
  • Die vorliegende Erfindung betrifft Halbleiterstrukturen und insbesondere einen skalierten Gate-Kontakt und eine Source/Drain-Kappe sowie Herstellungsverfahren. Insbesondere ist die vorliegende Erfindung auf einen selbstausgerichteten skalierten Gate-Kontakt über einem aktiven Gebiet (CBoA) gerichtet. Beispielsweise stellt die vorliegende Erfindung ein Schema bereit, demgemäß nur ausgesparte Source/Drain-Kontakte um den CBoA-Bereich herum vorhanden sind. Vorteilhafterweise stellt die vorliegende Erfindung einen verringerten Gate-Kontaktwiderstand und einen verringerten Source/Drain-Kontaktwiderstand bereit, während auch eine minimale Fläche für eine Source/Drain-Kappe definiert wird, ohne dass neue Masken eingeführt werden.
  • In Ausführungsformen umfasst das Verfahren zum Bilden der Gate-Kontakte, z. B. Middie-of-Line-Kontakte (MOL-Kontakte): ein Bilden von unteren Source/Drain-Kontakten und einer Kontaktkappe; ein Bilden eines Gate-Kontaktplatzhalters; ein Bilden eines Abstandshalters an einer Seitenwand des Gate-Kontaktplatzhalters; ein Aussparen der unteren Source-/Drain-Kontaktkappe, wo sie nicht von einem Gate-Kontaktplatzhalter oder Abstandshalter bedeckt wird; ein Bilden eines oberen Source/Drain-Kontakts in dem ausgesparten unteren Source/Drain-Kontaktkappenbereich; ein Entfernen des Gate-Kontaktplatzhalters; ein Strukturieren zusätzlicher Kontakte zu den oberen Source/Drain-Kontakten; und einen Metallisierungsprozess. Die Struktur umfasst zum Beispiel: einen Gate-Kontakt über dem aktiven Gebiet; einen Abstandshalter an der Seitenwand des Gate-Kontakts über dem aktiven Gebiet mit einer Source/Drain-Kontaktkappe darunter; eine U-förmige dielektrische Schicht um den Gate-Kontakt und über dem Source/Drain-Kontakt und einen Source/Drain-Kontakt über dem oberen Source/Drain, wo keine Kappe vorhanden ist. Die U-förmige dielektrische Schicht isoliert den Gate-Kontakt von den Source- und Drain-Kontakten.
  • Der skalierte Gate-Kontakt und die Source/Drain-Kappe der vorliegenden Erfindung können auf verschiedene Arten unter Verwendung einer Anzahl von verschiedenen Werkzeugen hergestellt werden. Im Allgemeinen werden die Methoden und Werkzeuge jedoch verwendet, um Strukturen mit Abmessungen im Mikrometer- und Nanometerbereich zu bilden. Die zum Herstellen des skalierten Gate-Kontakts und der Source/Drain-Kappe der vorliegenden Erfindung verwendeten Methoden, insbesondere Technologien, wurden aus der Technologie integrierter Schaltungen (ICs) übernommen. Beispielsweise werden die Strukturen auf Wafern aufgebaut und in Materialfilmen realisiert, die durch photolithographische Prozesse auf der Oberseite eines Wafers strukturiert werden. Insbesondere werden bei der Herstellung des skalierten Gate-Kontakts und der Source/Drain-Kappe drei grundlegende Bausteine verwendet: (i) ein Abscheiden dünner Materialfilme auf ein Substrat, (ii) ein Aufbringen einer strukturierten Maske auf die Filme durch fotolithografische Abbildung und (iii) ein Ätzen der Filme selektiv bezüglich der Maske.
  • Die 1A und 1B zeigen unter anderen Merkmalen Schnittansichten einer Gate-Struktur mit Source- und Drain-Kontakten und jeweilige Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung. Insbesondere umfasst die in den 1A und 1B gezeigte Struktur 10 ein Substrat 12, das aus Si gebildet ist, obwohl hier auch andere Substrate in Betracht gezogen werden. Beispielsweise kann das Substrat 12 aus irgendeinem geeigneten Material gebildet sein, einschließlich, jedoch ohne Beschränkung, Si, SiGe, SiGeC, SiC, GaAs, InAs, InP und anderen IIIN- oder IINI-Verbindungshalbleitern.
  • In Ausführungsformen kann das Substrat 12 eine planare Struktur (für planare Vorrichtungen) darstellen oder unter Verwendung einer herkömmlichen Seitenwandbildtechnik oder anderer herkömmlicher Lithographie- und Ätzprozesse zu Finnenstrukturen (für FinFET-Vorrichtungen) verarbeitet werden. In der SIT-Technik wird beispielsweise ein Dorn, z. B. SiO2, unter Verwendung herkömmlicher CVD-Prozesse auf dem Substrat 12 abgeschieden. Auf dem Dornmaterial wird ein Lack gebildet und belichtet, um eine Struktur (Öffnungen) zu bilden. Durch die Öffnungen wird ein reaktives lonenätzen (RIE) durchgeführt, um die Dorne zu bilden. An den Seitenwänden der Dornen werden Abstandshalter gebildet, die vorzugsweise aus einem Material gebildet werden, das sich von den Dornen unterscheidet, und die unter Verwendung herkömmlicher Abscheidungsverfahren hergestellt werden, die dem Fachmann bekannt sind. Die Abstandshalter können beispielsweise eine Breite aufweisen, die den Abmessungen der Finnenstrukturen entspricht. Die Dornen werden unter Verwendung eines herkömmlichen Ätzprozesses entfernt oder gestrippt, der für das Dornmaterial selektiv ist. Innerhalb des Abstands der Abstandshalter wird dann ein Ätzen durchgeführt, um die sublithographischen Merkmale zu bilden. Die Seitenwandabstandshalter können dann entfernt werden.
  • In dem Substrat 12 werden Flachgrabenisolationsstrukturen (STI-Strukturen) 14 gebildet, um mehrere Gate-Strukturen 16 zu isolieren. In Ausführungsformen können die STI-Strukturen 14 durch herkömmliche Lithographie-, Ätz- und Abscheidungsverfahren gebildet werden, dem Fachmann bekannt sind. Beispielsweise wird ein über dem Substrat 12 ausgebildeter Lack einer Energie (Licht) ausgesetzt, um eine Struktur (Öffnung) zu bilden. Ein Ätzprozess mit einer selektiven Chemie, z. B. RIE, wird verwendet, um einen oder mehrere Gräben in dem Substrat 12 durch die Öffnungen des Lacks zu bilden. Der Lack kann dann durch ein herkömmliches Sauerstoffveraschungsverfahren oder andere bekannte Stripverfahren entfernt werden. Nach dem Entfernen des Lacks kann ein Isolatormaterial, z. B. Oxid, durch beliebige herkömmliche Abscheidungsprozesse, z. B. chemische Gasphasenabscheidungsprozesse (CVD), abgeschieden werden. Jegliches auf der Oberfläche des Substrats 12 verbleibendes Material kann durch herkömmliche chemisch-mechanische Polierprozesse (CMP-Prozesse) entfernt werden.
  • Mit weiterem Bezug auf die 1A und 1B werden mehrere Gate-Strukturen 16 auf dem Substrat 14 gebildet. In Ausführungsformen werden die mehreren Gate-Strukturen 16 durch herkömmliche Gate-Last-Prozesse oder Gate-First-Prozesse gebildet. In den ersten Gate-Prozessen umfasst die Herstellung beispielsweise ein Abscheiden eines dielektrischen Materials, von wenigstens einem Gate-Metall (z. B. wenigstens einem Austrittsarbeitsmetall) und einem Abdeckmaterial 18, gefolgt von einem Strukturierungsprozess. In Ausführungsformen kann das dielektrische Material ein dielektrisches High-k-Gate-Material sein, z.B. HfO2, Al2O3, Ta2O3, TiO2, La2O3, SrTiO3, LaAlO3, ZrO2, Y2O3, Gd2O3 und Kombinationen mit mehrlagigen Schichten davon. Die Gate-Metalle können beliebige Gate-Metalle oder Kombinationen davon sein, die für NFET- oder PFET-Bauelemente verwendet werden, z. B. Wolfram. Das Abdeckmaterial 18 kann z.B. SiN sein. Ein Seitenwandabstandshalter 20, z. B. ein SiCON-Material, wird an den Seitenwänden der strukturierten Gate-Struktur 16 und des Abdeckmaterials 18 unter Verwendung eines herkömmlichen Abscheidungsprozesses, z. B. einer chemischen Gasphasenabscheidung (CVD), gefolgt von einem anisotropen Ätzprozess abgeschieden.
  • Die 1A und 1B zeigen ferner Source- und Drain-Bereiche 22, die auf dem Substrat 12 neben den Seitenwandabstandshaltern 20 gebildet werden. Die Source- und Drain-Bereiche 22 können erhöhte epitaktische Source- und Drain-Bereiche sein, die durch einen epitaktischen Aufwachsprozess gebildet werden und z. B. mit Bor oder Phosphor dotiert sind. Insbesondere können die Source- und Drain-Bereiche 22 ein mit Phosphor dotiertes epitaktisches Si-Material für einen NFET oder ein mit Bor dotiertes epitaktisches SiGe-Material für einen PFET darstellen.
  • In Ausführungsformen können die Source- und Drain-Bereiche 22 Silizidgebiete umfassen. Wie für den Fachmann ersichtlich ist, beginnt der Silizidprozess mit der Abscheidung einer dünnen Übergangsmetallschicht, z. B. Nickel, Kobalt oder Titan, über vollständig ausgebildeten und strukturierten Halbleitervorrichtungen (z. B. dotierte oder ionenimplantierte Source und Drain-Bereiche 22). Nach dem Abscheiden des Materials wird die Struktur erwärmt, wodurch das Übergangsmetall mit freiliegendem Silizium (oder einem anderen Halbleitermaterial, wie hier beschrieben) in den aktiven Gebieten der Halbleitervorrichtung (z. B. Source- und Drain-Bereichen) reagieren kann, wodurch ein Übergangsmetallsilizid mit geringem Widerstand gebildet wird. Nach der Reaktion wird jegliches verbleibendes Übergangsmetall durch chemisches Ätzen entfernt, wobei Silizidkontakte in den aktiven Gebieten der Vorrichtung, z. B. den Source- und Drain-Bereichen 22, verbleiben. Für den Fachmann sollte klar sein, dass keine Silizidkontakte auf den Metalltorstrukturen erforderlich sind.
  • Ein unteres Source/Drain-Kontaktmetall 24 (z.B. ein Metallstecker (TS-Kontakt)) wird auf dem Silizid der Source- und Drain-Bereiche 22 abgeschieden. In Ausführungsformen kann das untere Source/Drain-Kontaktmetall 24 jedes geeignete Metallmaterial sein, das für einen unteren Source/Drain-Kontakt verwendet wird. So kann beispielsweise das untere Source/Drain-Kontaktmetall 24 Wolfram, Kobalt, Ruthenium, Kupfer oder jedes andere geeignete leitfähige Material darstellen. Das untere Source/Drain-Kontaktmetall 24 wird durch einen selektiven zeitgesteuerten Ätzprozess, z.B. einen maskenlosen Prozess, ausgespart. Innerhalb der Aussparung wird ein Source/Drain-Kappenmaterial 26 gebildet, z.B. über dem ausgesparten unteren Source/Drain-Kontaktmetall 24. In Ausführungsformen kann das Abdeckmaterial beispielsweise SiC, SiCO, SiO2 sein. Die hierin beschriebenen Strukturen sind von einem dielektrischen Zwischenschichtmaterial 28, z.B. ein Oxidmaterial, umgeben.
  • Die 2A und 2B zeigen Schnittbilder von unter anderen Merkmalen einer Öffnung für einen Gate-Kontakt und entsprechende Herstellungsverfahren gemäß den Aspekten der vorliegenden Erfindung. Gemäß der Darstellung in den 2A und 2B wird eine Beschichtung 30 auf die Struktur der 1A bis 1B aufgebracht, gefolgt von einem konventionellen Strukturierungsprozess, der zu der Gate-Struktur 16a ausgerichtet ist. In Ausführungsformen stellt die Beschichtung 30 eine organische Planarisierungsschicht (OPL) dar, die mit einem konventionellen Lithographie- und Ätzverfahren strukturiert wird, um eine Öffnung 32 zu bilden, die zu der Gate-Struktur 16a ausgerichtet ist. Das Abdeckmaterial der Gate-Struktur 16a wird entfernt (z.B. durch die Öffnung 32 geätzt), um eine Öffnung 34 zu bilden, die zu dem Metallmaterial der Gate-Struktur 16a selbstausgerichtet ist und dieses freilegt. In Ausführungsformen erstreckt sich die Öffnung 34 bis unter eine Oberseite des Seitenwandabstandshalters 20.
  • Die 3A und 3B zeigen Schnittbilder von unter anderen Merkmalen einem Opfermaterial (z.B. Platzhalter) für einen Gate-Kontakt und entsprechende Herstellungsverfahren gemäß den Aspekten der vorliegenden Erfindung. Gemäß der Darstellung in den 3A und 3B wird ein Opfermaterial 36 in den Öffnungen 32, 34 (in den 2A, 2B dargestellt) in direktem Kontakt mit dem Metallmaterial der Gate-Struktur 16a abgeschieden. Das Opfermaterial 36 bildet einen Platzhalter für den Gate-Kontakt, der in nachfolgenden Fertigungsprozessen bereitgestellt wird. In Ausführungsformen ist das Opfermaterial 36 SiN, das durch einen konventionellen Abscheidungsprozess, z.B. CVD, abgeschieden wird. Nach der Abscheidung des Materials 36 wird das OPL-Material durch einen konventionellen Veraschungsprozess entfernt.
  • Die 4A und 4B zeigen einen Querschnitt von unter anderen Merkmalen einem Liner-Material über dem Opfermaterial (z.B. Platzhalter) für den Gate-Kontakt und entsprechende Herstellungsverfahren gemäß den Aspekten der vorliegenden Erfindung. Gemäß der Darstellung in den 4A und 4B wird ein Liner-Material (z.B. Abstandshaltermaterial) 38 konform auf der Struktur abgeschieden, z.B. auf dem Gate-Kontaktplatzhalter 36. In Ausführungsformen kann das Liner-Material 38 durch einen konventionellen großflächigen Abscheidungsprozess, z.B. CVD-Prozess, abgeschieden werden. In Ausführungsformen wird das Liner-Material 38 mit einer Dicke von z.B. 5 nm bis 10 nm abgeschieden, wodurch sichergestellt wird, dass nach dem anisotropen Ätzprozess, wie in den 5A und 5B dargestellt ist, die Kappe über dem Deckmaterial 26 der Source- und Drain-Bereiche 22 erhalten bleibt. Das Liner-Material 38 kann beispielsweise SiC, SiCO oder SiO2 sein.
  • Gemäß der Darstellung in den 5A und 5B wird der anisotrope Ätzprozess das Liner-Material 38 teilweise an den Seitenwänden des Platzhalters 36 aussparen und zusätzlich das freiliegende Abdeckmaterial 26 über dem unteren Source/Drain-Kontaktmetall 24 der Source- und Drain-Bereiche 22b entfernen (überätzen). In Ausführungsformen bildet das Entfernen des Abdeckmaterials 26 über den Source- und Drain-Bereichen 22b eine Aussparung 40 von etwa 20 nm, wodurch das untere Source/Drain-Kontaktmetall 24 freigelegt wird. In Ausführungsformen kann die Aussparung 40 andere Abmessungen aufweisen, abhängig vom Technologieknoten und der ursprünglichen Tiefe des Abdeckmaterials 26.
  • Gemäß der Darstellung in 5B bildet die Kombination aus dem Abdeckmaterial 26 und dem Liner-Material 38 eine U-förmige Kappe aus dielektrischem Material 41 um den Gate-Kontakt herum, oberhalb des unteren Source/Drain-Kontaktmetalls 24. Die U-förmige Kappe 41 isoliert den Gate-Kontakt 46 (wie in 7A dargestellt) von den Source- und Drain-Kontakten 26.
  • Die 6A und 6B zeigen Schnittbilder von unter anderen Merkmalen einem Source- und Drain-Kontakt und der jeweiligen Herstellungsverfahren gemäß den Aspekten der vorliegenden Erfindung. Gemäß der Darstellung in den 6A und 6B wird ein Metallmaterial (oberes Source/Drain-Kontaktmetall) 42 selektiv über dem freiliegende Metallmaterial des unteren Source/Drain-Kontaktmetalls 24 innerhalb der Aussparung 40 gewachsen. Auf diese Weise werden die Source- und Drain-Kontakte aus dem unteren Source/Drain-Kontaktmetall 24 und dem oberen Source/Drain-Kontaktmetall 42 (in direktem elektrischen Kontakt mit dem unteren Source/Drain-Kontaktmetall 24) gebildet. Das Abdeckmaterial 26, z.B. über dem ausgesparten unteren Source/Drain-Kontaktmetall 24 wird nun neben und zwischen dem oberen Source/Drain-Kontaktmetall 42 angeordnet. Außerdem ist eine Unterseite des Verschlussmaterials 26 im Wesentlichen koplanar zu einer Unterseite des oberen Source/Drain-Kontaktmetalls 42.
  • In Ausführungsformen kann das obere Source/Drain-Kontaktmetall 42 z.B. Co, W oder Ru sein. In alternativen Ausführungsformen kann das obere Source/Drain-Kontaktmetall 42 durch einen Metallüberfüllungsprozess abgeschieden werden. Ein dielektrisches Zwischenschichtmaterial 44, z.B. ein Material auf Oxidbasis, wird über dem oberen Source/Drain-Kontaktmetall 42 abgeschieden. Das dielektrische Zwischenschichtmaterial 44 kann durch einen konventionellen Abscheidungsprozess, z.B. CVD, abgeschieden werden, gefolgt von einem Planarisierungsprozess, z.B. einem chemisch-mechanischen Polieren (CMP).
  • Die 7A und 7B zeigen Schnittbilder von unter anderen Merkmalen einem Gate-Kontakt und einem Source/Drain-Kontakt und entsprechende Herstellungsverfahren gemäß den Aspekten der vorliegenden Erfindung. Gemäß der Darstellung in den 7A und 7B wird der Platzhalter, z.B. das Material 36, entfernt und durch ein Gate Kontaktmetall 46 über und in direktem Kontakt zu dem aktiven Gebiet der Gate-Struktur 16a ersetzt. Aufgrund des vorherigen Bildens der Öffnung 36 ist der Gate-Kontakt ein selbstausrichtender Kontakt direkt über dem aktiven Gebiet der Gatestruktur 16a.
  • In Ausführungsformen erstreckt sich das Gate-Kontaktmetall 46 bis unter eine Oberseite des Seitenwandabstandshalters 20, der sowohl durch das Abdeckmaterial 26 als auch durch die Seitenwandabstandshalter 20 vom Source/Drain-Kontaktmetall 24 getrennt ist. In Ausführungsformen kann das Material 36 durch einen selektiven Ätzprozess entfernt werden. Darüber hinaus kann ein Middle-of-Line (MOL) Source- und Drain-Kontakt 48 in direktem Kontakt mit dem oberen Source/Drain-Kontaktmetall 42 gebildet werden. Das Liner-Material 38 dient als Abstandshalter für das Gate-Kontaktmetall 46 oberhalb des Abdeckmaterials 26, z.B. oberhalb des ausgesparten unteren Source/Drain-Kontaktmetalls 24.
  • Die MOL-Source- und Drain-Kontakte 48 können durch konventionelle Lithographie-, Ätz- und Abscheidungsprozesse gebildet werden. Es ist zu verstehen, dass das Material für die MOL-Source und Drain-Kontakte 48 und das Gate-Kontaktmetall 46 in einem einzigen Abscheidungsprozess abgeschieden werden kann, nachdem das im Lithographieprozess verwendete Lackmaterial entfernt wurde, um die Öffnung im dielektrischen Zwischenschichtmaterial 44 des MOL-Source- und Drain-Kontakts 48 zu bilden. Das Metallmaterial kann jedes geeignete Metallmaterial sein, z.B. Kupfer. Jegliches auf dem dielektrischen Zwischenschichtmaterial 44 verbleibendes Metallmaterial kann durch einen CMP-Prozess entfernt werden.
  • Das wenigstens eine oben beschriebene Verfahren wird bei der Herstellung von integrierten Schaltungschips verwendet. Die resultierenden integrierten Schaltungschips können vom Hersteller in der Form von rohen Wafern (d.h. als einzelner Wafer mit mehreren unverpackten Chips), als nackter Chip oder in verpackter Form vertrieben werden. Im letzteren Fall wird der Chip in einem einzigen Chipgehäuse (z.B. einem Kunststoffträger, mit Leitungen, die an einer Hauptplatine oder einem anderen höherwertigen Träger befestigt sind) oder in einem Multichipgehäuse (z.B. einem Keramikträger mit Oberflächenverbindungen und/oder vergrabenen Verbindungen) montiert. In jedem Fall wird der Chip dann mit anderen Chips, diskreten Schaltungselementen und/oder anderen Signalverarbeitungsvorrichtungen als Teil von entweder (a) einem Zwischenprodukt, wie beispielsweise einer Hauptplatine, oder (b) einem Endprodukt integriert. Das Endprodukt kann jedes Produkt sein, das integrierte Schaltungschips umfasst, von Spielzeug und anderen Low-End-Anwendungen bis hin zu fortschrittlichen Computerprodukten mit einem Display, einer Tastatur oder einem anderen Eingabegerät und einem zentralen Prozessor.
  • Die Beschreibung der verschiedenen Ausführungsformen der vorliegenden Offenbarung erfolgte zur Veranschaulichung, soll aber nicht vollständig oder auf die offenbarten Ausführungsformen beschränkt sein. Viele Modifikationen und Variationen sind dem Fachmann ersichtlich, ohne vom Umfang und Geist der beschriebenen Ausführungsformen abzuweichen. Die hierin verwendete Terminologie wurde gewählt, um die Prinzipien der Ausführungsformen, die praktische Anwendung oder die technische Verbesserung gegenüber den auf dem Markt befindlichen Technologien am besten zu erläutern oder anderen mit gewöhnlichen Kenntnissen in der Kunst zu ermöglichen, die hierin offenbarten Ausführungsformen zu verstehen.

Claims (20)

  1. Struktur, umfassend: eine Gate-Struktur, die ein aktives Gebiet umfasst; Source- und Drain-Kontakte neben der Gate-Struktur; ein Abdeckmaterial über den Source- und Drain-Kontakten; einen Gate-Kontakt, der direkt über dem aktiven Gebiet der Gate-Struktur und über dem Abdeckmaterial gebildet ist; ein U-förmiges dielektrisches Material um den Gate-Kontakt herum, über den Source- und Drain-Kontakten; und einen Kontakt in direktem elektrischen Kontakt zu den Source- und Drain-Kontakten.
  2. Struktur nach Anspruch 1, wobei der Kontakt vom Abdeckmaterial entfernt angeordnet ist.
  3. Struktur nach Anspruch 1, wobei das U-förmige dielektrische Material den Gate-Kontakt von den Source- und Drain-Kontakten isoliert.
  4. Struktur nach Anspruch 3, wobei das U-förmige dielektrische Material SiC, SiCO oder SiO2 ist.
  5. Struktur nach Anspruch 1, ferner umfassend einen Seitenwandabstandshalter, der den Gate-Kontakt und die Source- und Drain-Kontakte trennt.
  6. Struktur nach Anspruch 5, wobei sich das Abdeckmaterial über dem Seitenwandabstandshalter befindet.
  7. Struktur nach Anspruch 6, wobei der Seitenwandabstandshalter aus einem vom Abdeckmaterial verschiedenen Material gebildet ist.
  8. Struktur nach Anspruch 7, wobei der Seitenwandabstandshalter aus SiCON gebildet ist.
  9. Struktur nach Anspruch 1, wobei die Source- und Drain-Kontakte einen unteren Kontakt und einen oberen Kontakt umfassen.
  10. Struktur nach Anspruch 9, wobei der untere Kontakt und der obere Kontakt aus einem gleichen Material gebildet sind.
  11. Struktur nach Anspruch 1, wobei die Source- und Drain-Kontakte mit erhöhten Source- und Drain-Bereichen in elektrischem Kontakt stehen, die sich neben der Gate-Struktur befinden.
  12. Struktur, umfassend: einen Gate-Kontakt über einen aktiven Bereich einer Gate-Struktur; einen Abstandshalter an einer Seitenwand des Gate-Kontaktes; einen unteren Source/Drain-Kontakt mit Source- und Drain-Bereichen der Gate-Struktur in elektrischem Kontakt; einen oberen Source/Drain-Kontakt über dem unteren Source/Drain-Kontakt und mit dem unteren Source/Drain-Kontakt in direktem Kontakt; eine Source/Drain-Kappe unter einem Abschnitt des Gate-Kontakts, über einem unteren Source/Drain-Kontakt und neben einem oberen Source/Drain-Kontakt; und einen Kontakt zum oberen Source/Drain-Kontakt.
  13. Struktur nach Anspruch 12, wobei der Abstandhalter an der Seitenwand des Gate-Kontakts mit der Source/Drain-Kappe in direktem Kontakt steht.
  14. Struktur nach Anspruch 13, wobei der Abstandshalter an der Seitenwand des Gate-Kontakts und die Source/Drain-Kappe eine U-Form aus dielektrischem Material bilden.
  15. Struktur nach Anspruch 14, wobei die U-Form des dielektrischen Materials den Gate-Kontakt sowohl von dem unteren Source/Drain-Kontakt als auch von dem oberen Source/Drain-Kontakt isoliert.
  16. Struktur nach Anspruch 14, wobei die U-Form des dielektrischen Materials aus SiC, SiCO oder SiO2 gebildet ist.
  17. Struktur nach Anspruch 14, ferner umfassend Seitenwandabstandshalter, die einen unteren Abschnitt des Gate-Kontaktes umgeben.
  18. Struktur nach Anspruch 17, wobei die Seitenwandabstandshalter aus SiCON gebildet sind.
  19. Struktur nach Anspruch 18, wobei eine Bodenfläche der Source/Drain-Kappe zu einer Bodenfläche des oberen Source/Drain-Kontakts im Wesentlichen koplanar ist.
  20. Verfahren, umfassend: ein Bilden eines unteren Source- und Drain-Kontakts; ein Bilden einer unteren Source- und Drain-Kontaktkappe über dem unteren Source- und Drain-Kontakt; ein Bilden eines Gate-Kontaktplatzhalters; ein Bilden eines Abstandhalters um eine Seitenwand des Gate-Kontaktplatzhalters herum; ein Aussparen der unteren Source- und Drain-Kontaktkappe, wenn sie nicht durch den Gate Kontaktplatzhalter oder den Abstandshalter bedeckt wird; ein Bilden eines oberen Source- und Drain-Kontakts in der Aussparung; ein Entfernen des Gate-Kontaktplatzhalters, um eine Öffnung zu bilden, die ein aktives Gebiet einer Gate-Struktur freilegt; und ein Bilden eines Gate-Kontaktes in der Öffnung in direktem Kontakt mit dem freiliegenden aktiven Gebiet der Gate-Struktur.
DE102019216082.4A 2018-10-24 2019-10-18 Skalierter gate-kontakt und source/drain-kappe sowie verfahren zu dessen herstellung Active DE102019216082B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/169,269 2018-10-24
US16/169,269 US10892338B2 (en) 2018-10-24 2018-10-24 Scaled gate contact and source/drain cap

Publications (2)

Publication Number Publication Date
DE102019216082A1 true DE102019216082A1 (de) 2020-04-30
DE102019216082B4 DE102019216082B4 (de) 2023-03-02

Family

ID=70327423

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019216082.4A Active DE102019216082B4 (de) 2018-10-24 2019-10-18 Skalierter gate-kontakt und source/drain-kappe sowie verfahren zu dessen herstellung

Country Status (3)

Country Link
US (2) US10892338B2 (de)
DE (1) DE102019216082B4 (de)
TW (1) TWI714273B (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892338B2 (en) * 2018-10-24 2021-01-12 Globalfoundries Inc. Scaled gate contact and source/drain cap
US10811319B2 (en) * 2018-11-29 2020-10-20 Globalfoundries Inc. Middle of line structures
US10832943B2 (en) * 2019-04-02 2020-11-10 International Business Machines Corporation Gate contact over active region with self-aligned source/drain contact
US10964598B2 (en) * 2019-07-18 2021-03-30 Globalfoundries U.S. Inc. Methods of forming source/drain regions of a FinFET device and the resulting structures
US11316029B2 (en) * 2020-04-15 2022-04-26 International Business Machines Corporation Sacrificial fin for contact self-alignment

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6713378B2 (en) * 2000-06-16 2004-03-30 Micron Technology, Inc. Interconnect line selectively isolated from an underlying contact plug
CN101711426A (zh) * 2007-03-14 2010-05-19 Nxp股份有限公司 具有两个独立栅极的鳍片场效应管以及制造它的方法
US8436404B2 (en) * 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US9461143B2 (en) 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
US8937359B2 (en) * 2013-05-15 2015-01-20 Globalfoundries Inc. Contact formation for ultra-scaled devices
US9318384B2 (en) * 2014-03-24 2016-04-19 International Business Machines Corporation Dielectric liner for a self-aligned contact via structure
KR102088200B1 (ko) * 2014-07-01 2020-03-13 삼성전자주식회사 반도체 소자 및 그 제조방법
US9502528B2 (en) * 2014-08-26 2016-11-22 Globalfoundries Inc. Borderless contact formation through metal-recess dual cap integration
US9455254B2 (en) * 2014-11-07 2016-09-27 Globalfoundries Inc. Methods of forming a combined gate and source/drain contact structure and the resulting device
US9324656B1 (en) * 2015-03-09 2016-04-26 Globalfoundries Inc. Methods of forming contacts on semiconductor devices and the resulting devices
US9799560B2 (en) * 2015-03-31 2017-10-24 Qualcomm Incorporated Self-aligned structure
TWI650833B (zh) * 2015-04-01 2019-02-11 聯華電子股份有限公司 具有金屬閘極之半導體元件及其製作方法
US20160336183A1 (en) * 2015-05-14 2016-11-17 Globalfoundries Inc. Methods, apparatus and system for fabricating finfet devices using continuous active area design
US9780178B2 (en) * 2015-06-05 2017-10-03 Globalfoundries Inc. Methods of forming a gate contact above an active region of a semiconductor device
US9559184B2 (en) * 2015-06-15 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Devices including gate spacer with gap or void and methods of forming the same
US9685340B2 (en) * 2015-06-29 2017-06-20 International Business Machines Corporation Stable contact on one-sided gate tie-down structure
US9905671B2 (en) * 2015-08-19 2018-02-27 International Business Machines Corporation Forming a gate contact in the active area
US9589833B1 (en) * 2015-09-10 2017-03-07 International Business Machines Corporation Preventing leakage inside air-gap spacer during contact formation
US9691897B2 (en) 2015-09-28 2017-06-27 Globalfoundries Inc. Three-dimensional semiconductor transistor with gate contact in active region
US9735242B2 (en) * 2015-10-20 2017-08-15 Globalfoundries Inc. Semiconductor device with a gate contact positioned above the active region
US9508818B1 (en) * 2015-11-02 2016-11-29 International Business Machines Corporation Method and structure for forming gate contact above active area with trench silicide
US9536982B1 (en) * 2015-11-03 2017-01-03 International Business Machines Corporation Etch stop for airgap protection
US9793407B2 (en) 2015-12-15 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor
US11088030B2 (en) * 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9893062B2 (en) * 2016-04-28 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9666533B1 (en) * 2016-06-30 2017-05-30 International Business Machines Corporation Airgap formation between source/drain contacts and gates
KR102524806B1 (ko) * 2016-08-11 2023-04-25 삼성전자주식회사 콘택 구조체를 포함하는 반도체 소자
US10008386B2 (en) * 2016-09-12 2018-06-26 International Business Machines Corporation Formation of pure silicon oxide interfacial layer on silicon-germanium channel field effect transistor device
US10079173B2 (en) * 2016-10-04 2018-09-18 Globalfoundries Inc. Methods of forming metallization lines on integrated circuit products and the resulting products
US9985109B2 (en) * 2016-10-25 2018-05-29 International Business Machines Corporation FinFET with reduced parasitic capacitance
US10446653B2 (en) * 2016-11-15 2019-10-15 Globalfoundries Inc. Transistor-based semiconductor device with air-gap spacers and gate contact over active area
US10510598B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US9929048B1 (en) * 2016-12-22 2018-03-27 Globalfoundries Inc. Middle of the line (MOL) contacts with two-dimensional self-alignment
US10026824B1 (en) * 2017-01-18 2018-07-17 Globalfoundries Inc. Air-gap gate sidewall spacer and method
US10211100B2 (en) * 2017-03-27 2019-02-19 Globalfoundries Inc. Methods of forming an air gap adjacent a gate of a transistor and a gate contact above the active region of the transistor
US10355095B2 (en) * 2017-03-31 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with composite gate helmet
US10204994B2 (en) * 2017-04-03 2019-02-12 Globalfoundries Inc. Methods of forming a semiconductor device with a gate contact positioned above the active region
US10312332B2 (en) * 2017-04-18 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US10269621B2 (en) 2017-04-18 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
US10490641B2 (en) * 2017-04-28 2019-11-26 Globalfoundries Inc. Methods of forming a gate contact structure for a transistor
US10083863B1 (en) * 2017-05-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device
US10211302B2 (en) * 2017-06-28 2019-02-19 International Business Machines Corporation Field effect transistor devices having gate contacts formed in active region overlapping source/drain contacts
CN109216451A (zh) * 2017-07-03 2019-01-15 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10504790B2 (en) * 2017-07-25 2019-12-10 Globalfoundries Inc. Methods of forming conductive spacers for gate contacts and the resulting device
US10297452B2 (en) * 2017-09-22 2019-05-21 Globalfoundries Inc. Methods of forming a gate contact structure for a transistor
US10381480B2 (en) * 2017-09-27 2019-08-13 International Business Machines Corporation Reliable gate contacts over active areas
US10446659B2 (en) * 2017-10-13 2019-10-15 Globalfoundries Inc. Negative capacitance integration through a gate contact
US10236215B1 (en) * 2017-10-24 2019-03-19 Globalfoundries Inc. Methods of forming gate contact structures and cross-coupled contact structures for transistor devices
KR102323733B1 (ko) * 2017-11-01 2021-11-09 삼성전자주식회사 콘택 플러그를 갖는 반도체 소자 및 그 형성 방법
US10529624B2 (en) * 2017-11-21 2020-01-07 International Business Machines Corporation Simple contact over gate on active area
US10497612B2 (en) * 2017-12-11 2019-12-03 Globalfoundries Inc. Methods of forming contact structures on integrated circuit products
US10243053B1 (en) * 2018-01-22 2019-03-26 Globalfoundries Inc. Gate contact structure positioned above an active region of a transistor device
US10879174B2 (en) * 2018-03-14 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10388770B1 (en) * 2018-03-19 2019-08-20 Globalfoundries Inc. Gate and source/drain contact structures positioned above an active region of a transistor device
US10347541B1 (en) * 2018-04-25 2019-07-09 Globalfoundries Inc. Active gate contacts and method of fabrication thereof
US10804379B2 (en) * 2018-05-15 2020-10-13 Globalfoundries Inc. FinFET device and method of manufacturing
EP3570317A1 (de) * 2018-05-17 2019-11-20 IMEC vzw Flächenselektive abscheidung eines maskenmaterials
US10522644B1 (en) * 2018-06-21 2019-12-31 Globalfoundries Inc. Different upper and lower spacers for contact
US10236364B1 (en) * 2018-06-22 2019-03-19 International Busines Machines Corporation Tunnel transistor
US10431495B1 (en) * 2018-07-23 2019-10-01 International Business Machines Corporation Semiconductor device with local connection
US10529826B1 (en) * 2018-08-13 2020-01-07 Globalfoundries Inc. Forming self-aligned gate and source/drain contacts using sacrificial gate cap spacer and resulting devices
US10790376B2 (en) * 2018-08-20 2020-09-29 Globalfoundries Inc. Contact structures
US10832963B2 (en) * 2018-08-27 2020-11-10 International Business Machines Corporation Forming gate contact over active free of metal recess
US10529704B1 (en) * 2018-10-01 2020-01-07 Globalfoundries Inc. Auxiliary gate antenna diodes
US10892338B2 (en) * 2018-10-24 2021-01-12 Globalfoundries Inc. Scaled gate contact and source/drain cap
US10943990B2 (en) * 2018-10-25 2021-03-09 International Business Machines Corporation Gate contact over active enabled by alternative spacer scheme and claw-shaped cap
US10811319B2 (en) * 2018-11-29 2020-10-20 Globalfoundries Inc. Middle of line structures
US11677026B2 (en) * 2019-03-04 2023-06-13 International Business Machines Corporation Transistor having wrap-around source/drain contacts
US10964792B1 (en) * 2019-11-22 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal capped via contact structures for semiconductor devices

Also Published As

Publication number Publication date
DE102019216082B4 (de) 2023-03-02
US20210066464A1 (en) 2021-03-04
TW202025260A (zh) 2020-07-01
US10892338B2 (en) 2021-01-12
TWI714273B (zh) 2020-12-21
US20200135872A1 (en) 2020-04-30
US11569356B2 (en) 2023-01-31

Similar Documents

Publication Publication Date Title
DE102019216082B4 (de) Skalierter gate-kontakt und source/drain-kappe sowie verfahren zu dessen herstellung
DE102016114705B4 (de) Ätzstoppschicht für Halbleiter-Bauelemente
DE102015106047B4 (de) Struktur und Verfahren zum Ausbilden eines Halbleiterbauelements mit einem Gatestapel
DE112006002952B4 (de) Verfahren zur Herstellung von Halbleiteranordnungen mit Spacern
DE112006003206B4 (de) Verfahren zum Ausbilden einer Halbleiteranordnung
DE102014019360B4 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102017207873A1 (de) Luftspalt über Transistorgate und zugehöriges Verfahren
DE102018208546A1 (de) Strukturen aus dem mittleren bereich der fertigungslinie
DE102018202253B4 (de) Verfahren zum Ätzen einer Tiefgrabenisolationsstruktur mit einem in einem Zwischenebenen-Dielektrikumsmaterial endenden Luftspalt und zugehörige Strukturen
DE102020111602B4 (de) Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür
DE102018220751A1 (de) Middle-of-line strukturen
DE102018218869A1 (de) Verfahren zum Bilden von Kontaktstrukturen auf integrierten Schaltungsprodukten
DE102019103422A1 (de) Verwenden von Maskenschichten zum Erleichtern der Herstellung von selbstjustierten Kontakten und Durchkontaktierungen
DE112018000689T5 (de) Dual-kanal-cmos mit gemeinsamen gate-stapeln
DE102019215248B4 (de) Finfet mit isolierenden schichten zwischen dem gate und source/drain-kontakten sowie verfahren zu seiner herstellung
DE102019217879A1 (de) Verbindungsstruktur für obere elektrode
DE102019204967A1 (de) Angeschrägte Austauschgatestrukturen
DE102017123948B4 (de) Umschlossene epitaxiale struktur und verfahren
DE102021106635A1 (de) Feldeffekttransistor (fet)-stapel und verfahren zum bilden von selbigem
DE102018206438B4 (de) Verfahren zur Herstellung von Kontaktstrukturen
DE102008021565B4 (de) Verfahren zum selektiven Entfernen eines Abstandshalters in einem dualen Verspannungsschichtverfahren
DE102019200831A1 (de) Kontaktstrukturen
DE102018101016B4 (de) Verfahren zum Schneiden von Metall-Gates und daraus gebildete Strukturen
DE102019219072B4 (de) Dummy-Füllschema zur Verwendung mit passiven Vorrichtungen
DE102021112077A1 (de) Floating-gate-vorrichtungen in hochspannungsanwendungen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0029400000

Ipc: H01L0029423000

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final