US9929048B1 - Middle of the line (MOL) contacts with two-dimensional self-alignment - Google Patents

Middle of the line (MOL) contacts with two-dimensional self-alignment Download PDF

Info

Publication number
US9929048B1
US9929048B1 US15/388,400 US201615388400A US9929048B1 US 9929048 B1 US9929048 B1 US 9929048B1 US 201615388400 A US201615388400 A US 201615388400A US 9929048 B1 US9929048 B1 US 9929048B1
Authority
US
United States
Prior art keywords
dielectric
gate
layer
contact
spacer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US15/388,400
Inventor
Ruilong Xie
Chanro Park
Andre Labonte
Lars Liebmann
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to US15/388,400 priority Critical patent/US9929048B1/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LABONTE, ANDRE, PARK, CHANRO, XIE, RUILONG, LIEBMANN, LARS
Priority to US15/851,774 priority patent/US10283408B2/en
Application granted granted Critical
Publication of US9929048B1 publication Critical patent/US9929048B1/en
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Definitions

  • the present invention relates to the middle of the line (MOL) contacts that connect field effect transistors (FETs) to back end of the line (BEOL) metal levels. More particularly, the present invention relates to integrated circuit (IC) structures and methods of forming these IC structures so as to have MOL contacts (e.g., gate and source/drain contacts) with two-dimensional self-alignment and, thus, so that gate contact(s) can, optionally, be formed within an active region of a FET (or close thereto).
  • MOL contacts e.g., gate and source/drain contacts
  • Integrated circuit (IC) structures have middle of the line (MOL) contacts that connect field effect transistors (FETs) to back end of the line (BEOL) metal levels.
  • the MOL contacts include at least one gate contact (also referred to herein as a CB contact) and source/drain contacts (also referred to herein as CA contacts).
  • Each gate contact extends vertically through the interlayer dielectric (ILD) material from a metal wire or via in the first BEOL metal level (also referred to herein as the M 0 level) to the gate of the FET.
  • ILD interlayer dielectric
  • Each source/drain contact extends vertically through the ILD material from a metal wire or via in the first BEOL metal level to a metal plug (also referred to herein as a TS contact), which is above and immediately adjacent to a source/drain region of the FET.
  • a metal plug also referred to herein as a TS contact
  • IC integrated circuit
  • MOL middle of the line
  • these methods include forming at least one field effect transistor (FET).
  • the FET can be formed so as to have a semiconductor body and, in the semiconductor body, source/drain regions and a channel region positioned laterally between the source/drain regions.
  • the FET can further be formed so as to have a gate with a gate sidewall spacer above the semiconductor body at the channel region.
  • a dielectric spacer can be formed above the gate sidewall spacer.
  • a dielectric cap can be formed above the gate so as to be laterally surrounded by and immediately adjacent to the dielectric spacer.
  • metal plugs can be formed above the semiconductor body at the source/drain regions such that the metal plugs are positioned laterally adjacent to the dielectric spacer opposite the dielectric cap.
  • both middle of the line (MOL) contacts and back end of the line (BEOL) metal levels can be formed.
  • at least one dielectric layer can be formed over the dielectric cap, the dielectric spacer and the metal plugs.
  • Trenches can be formed in an upper portion of the dielectric layer(s) and contact openings can be formed that extend from the trenches through a lower portion of the dielectric layer(s).
  • the contact openings can include, but are not limited to, a first contact opening that extends from a first trench through the lower portion of the dielectric layer(s) and the dielectric cap to the gate and a second contact opening that extends from a second trench through the lower portion of the dielectric layer(s) to a metal plug.
  • metal can be deposited to form wires in the trenches and contacts in the contact openings (e.g., a first contact to the gate in the first contact opening and a second contact to a metal plug in the second contact opening).
  • the dielectric cap, the dielectric spacer, and the dielectric layer(s) are specifically made of different dielectric materials such that the first contact and second contact are each self-aligned (e.g., in two different dimensions).
  • the IC structures formed according to these methods can include, but are not limited to, IC structures that incorporate planar FET(s) or non-planar FET(s), IC structures that incorporate FET(s) with multiple semiconductor bodies, IC structures that incorporate FET(s) with conventional gate-first gate(s) or replacement metal gate(s), etc.
  • one particular method embodiment disclosed herein can be used to form an IC structure with self-aligned MOL contacts to multiple non-planar FETs.
  • This particular method embodiment can include forming the non-planar FETs.
  • multiple semiconductor body can be formed.
  • Each semiconductor body can have multiple channel regions, each channel region positioned laterally between source/drain regions.
  • Sacrificial gates with gate sidewall spacers can be formed on the semiconductor bodies adjacent to the channel regions.
  • Raised source/drain regions can be formed on the semiconductor bodies at the source/drain regions such that the raised source/drain regions are positioned laterally adjacent to the gate sidewall spacers.
  • a first interlayer dielectric (ILD) layer can be formed so as to cover the sacrificial gates, the gate sidewall spacers and the raised source/drain regions. After the first ILD layer is formed, it can be planarized to expose the tops of the sacrificial gates and gate sidewall spacers and the sacrificial gates can be replaced with replacement metal gates.
  • ILD interlayer dielectric
  • the replacement metal gates and the gate sidewall spacers can subsequently be recessed and dielectric spacers can be formed on exposed vertical surfaces of the first ILD layer above each gate sidewall spacer.
  • dielectric caps can be formed on the replacement metal gates such that each dielectric cap is laterally surrounded by and immediately adjacent to a dielectric spacer.
  • metal plug openings which extend through the first ILD layer to the raised source/drain regions, can be formed. Metal plugs can be formed in the metal plug openings and then recessed, thereby forming recessed metal plugs.
  • both middle of the line (MOL) contacts and back end of the line (BEOL) metal levels can be formed.
  • a stack of dielectric layers can be deposited over the dielectric caps, the dielectric spacers, and the recessed metal plugs.
  • This stack of dielectric layers can include a second ILD layer and a hardmask layer on the second ILD layer. Trenches can be formed in an upper portion of the stack and contact openings can be formed that extend from the trenches through a lower portion of the stack.
  • the contact openings can include, but are not limited to, a first contact opening that extends from a first trench through the lower portion of the stack and through a dielectric cap to a replacement metal gate and a second contact opening that extends from a second trench through the lower portion of the stack to a metal plug.
  • metal can be deposited to form wires in the trenches and contacts in the contact openings (e.g., a first contact to the replacement metal gate in the first contact opening and a second contact to the metal plug in the second contact opening).
  • the dielectric caps, the dielectric spacers, and each of the dielectric layers in the stack are specifically made of different dielectric materials so that the first contact and second contact will be self-aligned in two different dimensions.
  • each IC structure includes at least one field effect transistor (FET).
  • FET field effect transistor
  • the FET can have at least one semiconductor body and, in the semiconductor body, a channel region positioned laterally between source/drain regions.
  • a gate can be above the semiconductor body at the channel region.
  • a gate sidewall spacer can be on the sidewalls of the gate, a dielectric cap can be on a top surface of the gate, and a dielectric spacer can be above the gate sidewall spacer so as to laterally surround and be immediately adjacent to the dielectric cap.
  • Metal plugs can be above the source/drain regions and positioned laterally adjacent to the dielectric spacer.
  • Each IC structure can further have both middle of the line (MOL) contacts and back end of the line (BEOL) metal levels. That is, at least one dielectric layer can be above the dielectric cap, the dielectric spacer and the metal plugs. Wires can be in an upper portion of the at least one dielectric layer and contacts can extend from the wires through a lower portion of the at least one dielectric layer. These contacts can include, but are not limited to, a first contact that extends from a first wire through the lower portion of the at least one dielectric layer and through the dielectric cap to the gate and a second contact that extends from a second wire through the lower portion of the at least one dielectric layer to a metal plug.
  • the dielectric cap, the dielectric spacer, and the at least one dielectric layer are all specifically made of different dielectric materials such that the first contact and the second contact are each self-aligned (e.g., in two different dimensions).
  • FIG. 1 is a flow diagram illustrating methods of forming an integrated circuit (IC) structure with self-aligned middle of the line (MOL) contacts to at least one field effect transistor (FET);
  • IC integrated circuit
  • MOL self-aligned middle of the line
  • FET field effect transistor
  • FIGS. 2A-2B are top view and cross-section diagrams, respectively, illustrating a partially completed structure formed according to the methods of FIG. 1 ;
  • FIG. 3 is a top view diagram illustrating a partially completed structure formed according to the methods of FIG. 1 ;
  • FIG. 4 is a top view diagram illustrating a partially completed structure formed according to the methods of FIG. 1 ;
  • FIG. 5A is a top view diagram and FIGS. 5B-5D are different cross-section diagrams illustrating a partially completed structure formed according to the methods of FIG. 1 ;
  • FIG. 6A is a top view diagram and FIGS. 6B-6C are different cross-section diagrams illustrating a partially completed structure formed according to the methods of FIG. 1 ;
  • FIG. 7A is a top view diagram and FIGS. 7B-7C are different cross-section diagrams illustrating a partially completed structure formed according to the methods of FIG. 1 ;
  • FIG. 8A is a top view diagram and FIGS. 8B-8C are different cross-section diagrams illustrating a partially completed structure formed according to the methods of FIG. 1 ;
  • FIG. 9A is a top view diagram and FIGS. 9B-9C are different cross-section diagrams illustrating a partially completed structure formed according to the methods of FIG. 1 ;
  • FIG. 10A is a top view diagram and FIGS. 10B-10C are different cross-section diagrams illustrating a partially completed structure formed according to the methods of FIG. 1 ;
  • FIG. 11A is a top view diagram and FIGS. 11B-11C are different cross-section diagrams illustrating a partially completed structure formed according to the methods of FIG. 1 ;
  • FIG. 12A is a top view diagram and FIGS. 12B-12E are different cross-section diagrams illustrating a partially completed structure formed according to the methods of FIG. 1 ;
  • FIG. 13A is a top view diagram and FIGS. 13B-13C are different cross-section diagrams illustrating a partially completed structure formed according to the methods of FIG. 1 ;
  • FIG. 14A is a top view diagram and FIGS. 14B-14C are different cross-section diagrams illustrating a partially completed structure formed according to the methods of FIG. 1 ;
  • FIGS. 15A-15D are different cross-section diagrams illustrating completed structure formed according to the methods of FIG. 1 .
  • MOL contacts that connect field effect transistors (FETs) to back end of the line (BEOL) metal levels.
  • the MOL contacts include at least one gate contact (also referred to herein as a CB contact) and source/drain contacts (also referred to herein as CA contacts).
  • Each gate contact extends vertically through the interlayer dielectric (ILD) material from a metal wire or via in the first BEOL metal level (also referred to herein as the M0 level) to the gate of the FET.
  • ILD interlayer dielectric
  • Each source/drain contact extends vertically through the ILD material from a metal wire or via in the first BEOL metal level to a metal plug (also referred to herein as a TS contact), which is above and immediately adjacent to a source/drain region of the FET.
  • a metal plug also referred to herein as a TS contact
  • IC integrated circuit
  • MOL middle of the line
  • FET field effect transistor
  • different, selectively etchable, dielectric materials are used above the gate level for at least the following: a dielectric cap above a gate; a dielectric spacer above a gate sidewall spacer and laterally surrounding the dielectric cap; and at least one dielectric layer that covers the dielectric cap, the dielectric spacer, and metal plugs positioned laterally adjacent to the dielectric spacer and above source/drain regions.
  • gate and source/drain contacts will be self-aligned (e.g., in two different dimensions) to provide protection against the occurrence of opens between wires and/or vias in the first BEOL metal level and the various contacts and to further provide protection against the occurrence of shorts between the gate contact and any metal plugs and between the source/drain contacts and the gate. Also disclosed herein are IC structures formed according to the methods.
  • a semiconductor wafer is provided ( 101 ) and at least one field effect transistor (FET) can be formed on the semiconductor wafer ( 102 ).
  • FET field effect transistor
  • Each FET can be formed so that it has one or more semiconductor bodies.
  • Each semiconductor body can be a planar semiconductor body for a planar FET or a non-planar semiconductor body (e.g., a semiconductor fin) for a non-planar FET, such as a fin-type FET (finFET) or a trigate FET.
  • each semiconductor body can have areas designated for source/drain regions and for a channel region positioned laterally between the source/drain regions.
  • the FET can further be formed so that it has a gate adjacent to the channel region (or channel regions in the case of multiple semiconductor bodies), a gate sidewall spacer adjacent to the gate and a first interlayer dielectric (ILD) layer positioned laterally immediately adjacent to the gate sidewall spacer and above the source/drain regions.
  • the gate can be a conventional gate-first gate (e.g., a gate with a silicon dioxide gate dielectric layer and a polysilicon gate conductor layer or any other suitable gate-first gate configuration).
  • this gate can be a replacement metal gate (e.g., a gate with a high-K gate dielectric layer and a metal gate conductor layer or any other suitable replacement metal gate configuration) formed by removing a previously formed sacrificial gate and replacing that sacrificial gate with a metal gate (as discussed in greater detail below).
  • a replacement metal gate e.g., a gate with a high-K gate dielectric layer and a metal gate conductor layer or any other suitable replacement metal gate configuration
  • the gate will be positioned adjacent to the top surface of each semiconductor body at the channel region, whereas, in the case of a non-planar FET, the gate will be positioned adjacent to opposing sidewalls and, optionally, above the top surface of each semiconductor body at the channel region.
  • the gate and the gate sidewall spacer can be recessed to expose vertical surfaces of the first ILD layer ( 104 ), a dielectric spacer can be formed on the exposed vertical surfaces of the first ILD layer above the gate sidewall spacer ( 106 ), and a dielectric cap can be formed above the gate such that it is laterally surrounded by and immediately adjacent to the dielectric spacer ( 108 ). Additionally, metal plugs can be formed within the first ILD layer above the source/drain regions such that the dielectric spacer is positioned laterally between each metal plug and the dielectric cap ( 110 ). After the metal plugs are formed, they too can be recessed to form recessed metal plugs and to expose additional vertical surfaces of the first ILD layer.
  • both middle of the line (MOL) contacts and back end of the line (BEOL) metal levels can be formed.
  • at least one dielectric layer e.g., a stack of dielectric layers including a second ILD layer and a hardmask layer on the second ILD layer
  • Trenches can then be formed in an upper portion of the dielectric layer(s) ( 116 ) and contact openings can be formed that extend from the trenches through a lower portion of the dielectric layer(s) ( 118 ).
  • the contact openings can include, but are not limited to, a first contact opening that extends from a first trench through the lower portion of the dielectric layer(s) and through the dielectric cap to the gate (e.g., aligned above an active region of the FET or close thereto) ( 119 ) and a second contact opening that extends from a second trench through the lower portion of the dielectric layer(s) to a metal plug ( 120 ).
  • metal can be deposited to form wires in the trenches and contacts in the contact openings (e.g., a first contact to the gate in the first contact opening and a second contact to a metal plug in the second contact opening) ( 122 ).
  • the dielectric cap, the dielectric spacer, and the dielectric layer(s) are specifically made of different dielectric materials such that various selective etch processes can be used at process 118 to form the contact openings.
  • the contacts including the first contact and the second contact, will each be self-aligned (e.g., in two different dimensions), as discussed in greater detail below with regard to a particular method embodiment and illustrated in the Figures.
  • the first contact can be formed so that it lands on the gate above the active region of the FET (or close thereto) as opposed to above adjacent isolation material without risking the occurrence of a short between the first contact and any metal plugs.
  • the methods allow for device size scaling.
  • the IC structures formed according to the disclosed methods can include, but are not limited to, IC structures that incorporate planar FET(s) or non-planar FET(s), IC structures that incorporate FET(s) with multiple semiconductor bodies, IC structures that incorporate FET(s) with conventional gate-first gate(s) or a replacement metal gate(s), etc.
  • the method steps 101 - 122 are described in greater detail below with reference to the formation of an IC structure with self-aligned MOL contacts to multiple non-planar FETs (e.g., multiple finFETs).
  • this method embodiment can include providing a semiconductor wafer ( 101 ) and forming multiple non-planar FETs on the semiconductor wafer ( 102 ).
  • the semiconductor wafer provided at process 101 can be, for example, a semiconductor-on-insulator (SOI) wafer, as shown in FIG. 2B , that includes a semiconductor substrate 202 (e.g., a silicon substrate), an insulator layer 203 (e.g., a buried oxide (BOX) layer or other suitable insulator layer on the semiconductor substrate) and a semiconductor layer (e.g., a silicon layer or other suitable semiconductor layer) on the insulator layer 203 .
  • a bulk semiconductor wafer e.g., a bulk silicon wafer or other suitable bulk semiconductor wafer
  • a bulk semiconductor wafer could be used.
  • each semiconductor body 210 can be formed at process 102 such that each semiconductor body has areas designated for multiple channel regions 211 with each channel region being positioned laterally between a pair of source/drain regions 212 .
  • FIGS. 2A-2B show a pair of semiconductor bodies 210 , wherein each semiconductor body has a first channel region and a second channel region separated by a shared source/drain region.
  • each semiconductor body 210 can be a fin-shaped semiconductor body (i.e., relatively thin rectangular semiconductor body). Fin-shaped semiconductor bodies can be patterned and etched from the semiconductor layer of the SOI wafer (or, alternatively, from the upper portion of a bulk semiconductor substrate, when isolation from the lower portion of the bulk semiconductor substrate is provided by buried well regions).
  • the semiconductor bodies can be appropriately doped with a first dopant, either before or after formation, so that the channel regions 211 will have a first-type conductivity at a relatively low conductivity level.
  • replacement metal gates with gate sidewall spacers can be formed across the semiconductor bodies 210 such that each replacement metal gate with a gate sidewall spacer is positioned above the top surfaces and adjacent to the opposing sides of the semiconductor bodies at adjacent channel regions 211 ( 104 ).
  • a blanket first sacrificial layer e.g., a sacrificial polysilicon layer, a sacrificial amorphous silicon layer or other suitable sacrificial layer
  • a blanket first sacrificial layer can be formed above and adjacent to the opposing sides of each semiconductor body 210 .
  • a second sacrificial layer (e.g., a sacrificial nitride layer), which is different from the first sacrificial layer, can be formed on the top surface of the first sacrificial layer.
  • the first and second sacrificial layers can then be patterned and etched to form sacrificial gates 231 (also referred to herein as dummy gates), wherein each sacrificial gate 231 is positioned above the top surfaces and adjacent to the opposing sides of the semiconductor bodies at adjacent channel regions and wherein each sacrificial gate 231 has a sacrificial cap 232 (see FIGS. 2A-2B ).
  • gate sidewall spacers 240 can be formed on the sidewalls of the sacrificial gates 231 (see FIG. 3 ). That is, a relatively thin conformal dielectric layer (e.g., a silicon nitride layer, a silicon carbon nitride layer, a silicon boron carbon nitride layer or other suitable conformal dielectric layer) can be deposited over the partially completed structure. Then, a directional etch process can be performed so as to remove the conformal dielectric layer from horizontal surfaces and from the sidewalls of the semiconductor bodies 210 at the source/drain regions 212 .
  • a relatively thin conformal dielectric layer e.g., a silicon nitride layer, a silicon carbon nitride layer, a silicon boron carbon nitride layer or other suitable conformal dielectric layer
  • the height of the sacrificial cap 232 on the sacrificial gates 231 should be approximately equal to or greater than the height of the semiconductor bodies 210 so that the conformal dielectric layer can be removed from the sidewalls of the semiconductor bodies 210 at the source/drain regions 212 without exposing the sidewalls of the sacrificial gates 231 .
  • a dopant implantation process can subsequently be performed to dope the source/drain regions 212 with a second dopant so that the source/drain regions 212 have a second-type conductivity at a relatively high conductivity level.
  • epitaxial semiconductor material e.g., epitaxial silicon or any other suitable epitaxial semiconductor material
  • the epitaxial semiconductor material can be in-situ doped or subsequently implanted with the second dopant so that the source/drain regions 212 and the raised source/drain regions 213 have the second-type conductivity at the relatively high conductivity level.
  • the source/drain regions 212 can be recessed (not shown), thereby ensuring that the source/drain regions 212 and the epitaxial source/drain regions 213 will be properly doped.
  • each raised source/drain region 213 will be positioned laterally immediately adjacent to at least one gate sidewall spacer 240 opposite a sacrificial gate 231 and, optionally, the epitaxial semiconductor material on adjacent source/drain regions 212 will be merged into a single region, as illustrated.
  • a first interlayer dielectric (ILD) layer 250 can be formed over the partially completed structure and then planarized (see FIGS. 5A-5D ).
  • a blanket first ILD dielectric layer 250 e.g., a blanket silicon oxide layer or other suitable blanket ILD layer
  • a chemical mechanical polishing (CMP) process can then be performed in order to expose the top surfaces of the sacrificial gates 231 and the gate sidewall spacers 240 (see FIGS. 6A-6C ).
  • a CMP process can be performed to expose the sacrificial caps 232 above the sacrificial gates 231 and the first ILD layer 250 can be recessed.
  • the removed ILD material can be replaced with a material that is generally the same but with a different density (e.g., a greater density), which is more suitable for use with the CMP process that exposes the sacrificial gates.
  • the sacrificial gates 231 can be selectively removed and replaced with replacement metal gates 260 for a pair of adjacent non-planar FETs 1 and 2 (see FIGS. 7A-7C ).
  • the sacrificial material of the sacrificial gates 231 can be selectively etched over the dielectric materials used for the gate sidewall spacers 240 and the first ILD layer 250 , thereby creating gate openings in the first ILD layer 250 .
  • the gate openings will have sidewalls lined with the gate sidewall spacers 240 .
  • Replacement metal gates 260 for each non-planar FET can be formed in each gate opening by depositing a conformal high-K gate dielectric layer 261 so as to line the gate openings and by further depositing one or more metal layers 262 onto the gate dielectric layer 261 .
  • the materials and thicknesses of the dielectric and metal layers used for the replacement metal gates can be preselected to achieve desired work functions given the conductivity type of the FET.
  • another chemical mechanical polishing (CMP) process can be performed to remove all gate materials from above the top surface of the first ILD layer 250 .
  • the replacement metal gates 260 and the adjacent gate sidewall spacers 240 can then be recessed so that the top surfaces of these features are below the level of the top surface of the first ILD layer 250 and so that vertical surfaces of the first ILD layer 250 are exposed ( 104 , see FIGS. 8A-8C ).
  • the process 104 of recessing the replacement metals and adjacent gate sidewall spacers can include discrete etch processes. For example, a first selective etch process can be performed to recess the replacement metal gate(s) and a second selective etch process can be performed to recess the gate sidewall spacer(s).
  • dielectric spacers 245 can be formed on exposed vertical surfaces of the first ILD layer 250 above each gate sidewall spacer 240 ( 106 , see FIGS. 9A-9C ). That is, a relatively thin conformal dielectric layer (e.g., a silicon oxycarbide layer or other suitable conformal dielectric layer, as discussed in greater detail below) can be deposited over the partially completed structure. Then, a directional etch process can be performed so as to remove the conformal dielectric layer from horizontal surfaces, thereby leaving dielectric spacers 245 on the exposed vertical surfaces of the first ILD 250 above the gate sidewall spacers 240 , respectively.
  • a relatively thin conformal dielectric layer e.g., a silicon oxycarbide layer or other suitable conformal dielectric layer, as discussed in greater detail below
  • a directional etch process can be performed so as to remove the conformal dielectric layer from horizontal surfaces, thereby leaving dielectric spacers 245 on the exposed vertical surfaces of the first ILD 250 above the gate sidewall space
  • dielectric caps 246 can be formed on the replacement metal gates 260 such that each dielectric cap is laterally surrounded by and immediately adjacent to a dielectric spacer 245 ( 108 , see FIGS. 10A-10C ). Specifically, a dielectric cap layer can be deposited so as to fill the spaces above each recessed replacement metal gate 260 and laterally surrounded by the dielectric spacers 245 and a CMP process can be performed, thereby forming the dielectric caps 246 on the replacement metal gates 260 .
  • the dielectric caps 246 can be made of the same dielectric material as the gate sidewall spacers 240 .
  • both the dielectric cap 246 and the gate sidewall spacer 240 could be made of silicon nitride.
  • the dielectric caps 246 and gate sidewall spacers 240 could be made of different dielectric materials.
  • the gate sidewall spacers 240 could be made of silicon boron carbon nitride and the dielectric caps 246 could be made of silicon nitride.
  • the dielectric caps 246 should be made of a different dielectric material than the dielectric spacers 245 and the first ILD layer 250 .
  • recessed metal plugs 248 can be formed in the first ILD layer 250 on and, particularly, above and immediately adjacent to the source/drain regions 212 (or, if applicable, above and immediately adjacent to the raised first source/drain regions 213 , as illustrated) ( 110 , see FIGS. 11A-11C ).
  • metal plug openings can be formed (e.g., lithographically patterned and etched) through the first ILD layer 250 to the source/drain regions 212 (or, if applicable, to the raised first source/drain regions 213 , as illustrated). Then, metal plugs can be formed in the metal plug openings.
  • metal e.g., a tungsten, cobalt, aluminum or any other suitable metal material
  • a CMP process can be performed to remove the metal from above the top surfaces of the first ILD layer 250 .
  • an etch process can be performed to recess the metal within the metal plugs openings, thereby forming the recessed metal plugs 248 . It should be noted that this etch process can be performed so that the top surfaces of the recessed metal plugs 248 are level with, lower than, or higher than the top surfaces of the replacement metal gates 260 .
  • both middle of the line (MOL) contacts and back end of the line (BEOL) metal levels can subsequently be formed.
  • a stack of dielectric layers 255 - 256 can be deposited over the partially completed structure and trenches (e.g., see trenches 271 - 272 ) for wires and/or vias (not shown) in the first back end of the line (BEOL) metal level (referred to herein as M0) can be formed in an upper portion of the stack ( 114 - 116 , see FIGS. 12A-12E ).
  • a blanket second interlayer dielectric (ILD) layer 255 (e.g., a silicon dioxide layer or other suitable ILD layer) can be deposited so that it covers the dielectric caps 246 on the replacement metal gates 260 , so that it covers the dielectric spacers 245 on the gate sidewall spacers 240 , and so that it fills the spaces within the first ILD layer 250 above each recessed metal plug 248 .
  • a CMP process can then be performed to level the top surface of the second ILD layer 255 and a hardmask layer 256 (e.g., a titanium nitride hardmask layer or other suitable hardmask layer) can be formed on the second ILD layer 255 .
  • conventional lithographic patterning and etch processes can be used to form a pattern of shapes corresponding to the desired trenches in the hardmask layer 256 and to then transfer the pattern into the upper portion of the second ILD layer 255 , thereby forming the trenches 271 - 272 .
  • contact openings which extend from the trenches through a lower portion of the stack, can be formed ( 118 , see FIGS. 13A-13C and 14A-14C ). Formation of the contact openings is described in greater detail below and illustrated in the Figures with respect to formation of a first contact opening 281 from a first trench 271 to one of the replacement metal gates 260 and formation of a second contact opening 286 from a second trench 272 to one of the metal plugs 248 . Specifically, a first contact opening 281 can be formed such that it extends from a first trench 271 through the lower portion of the stack and through the dielectric cap 246 to a replacement metal gate 260 ( 119 , see FIGS. 13A-13C ).
  • a first optical polymerization layer (OPL) 280 can be deposited onto the hardmask layer 256 and into the trenches 271 - 272 .
  • This first OPL 280 can be lithographically patterned with a first contact opening 281 and selective directional etch processes can be performed to extend the first contact opening 281 from the first trench 271 through the lower portion of the second ILD layer 255 to the dielectric cap 246 and then through the dielectric cap 246 to the replacement metal gate 260 .
  • this first contact opening 281 can land on the replacement metal gate 260 above an active region (or close thereto), as opposed to being aligned above an isolation region.
  • a second contact opening 286 can be formed such that it extends from a second trench 272 through the lower portion of the stack to a metal plug 248 ( 120 , see FIGS. 14A-14C ).
  • the first OPL 280 can be selectively removed and a second optical polymerization layer (OPL) layer 285 can be deposited onto the hardmask layer 256 and into the trenches 271 - 272 .
  • This second OPL 285 can be lithographically patterned with a second contact opening 286 and a directional etch process can be performed to extend the second contact opening 286 from the second trench 272 through the lower portion of the second ILD layer 255 to a metal plug 248 .
  • first contact openings e.g., to the same replacement metal gate or to another replacement metal gate
  • second contact openings e.g., contact openings to the other metal plugs
  • metal can be deposited to form BEOL metal wires and/or vias (not shown) in the trenches and to form contacts in the contact openings ( 122 , see FIGS. 15A-15D ).
  • the metal deposited at process 122 can be, for example, copper, aluminum or any other metal material suitable for BEOL metal level wire and/or via formation.
  • Various different techniques for depositing metal to fill trenches and contacts openings are well known in the art. Thus, the details of these techniques are omitted from this specification in order to allow the reader to focus on the salient aspect of the disclosed methods.
  • the resulting IC structure 200 shown in FIGS. 15A-15D following metal deposition and CMP, will include at least: a first contact 293 , which is within the first contact opening 281 and which extends from a first wire 291 in the first trench 271 to one of the replacement metal gates 260 ; and a second contact 294 , which is in the second contact opening 281 and which extends from a second wire 292 in the second trench 272 to one of the metal plugs 248 .
  • the dielectric caps 246 on the replacement metal gates 260 , the dielectric spacers 245 laterally surrounding and immediately adjacent to the dielectric caps 246 , and each of the dielectric layers 255 , 256 in the stack are specifically made, during the various process steps, of different dielectric materials.
  • the dielectric caps 246 on the replacement metal gates 260 could be made of silicon nitride
  • the dielectric spacers 245 laterally surrounding and immediately adjacent to the dielectric caps 246 could be made of silicon oxycarbide
  • the first and second ILD layers 250 and 255 could be made of silicon oxide
  • the hardmask layer 256 could be made of titanium nitride. Due to these different dielectric materials, the widths and lengths of the contact openings 281 , 286 are limited and the contacts 293 , 294 formed therein will be self-aligned in two different dimensions.
  • the first contact opening 281 within the first OPL 280 may have a width (w 1.1 ), as measured in a first direction (e.g., along the X-X′ cross-section) and a length (l 1.1 ), as measured in a second direction (e.g., along the W-W′ cross-section) that is perpendicular to the first direction.
  • the width (w 1.1 ) of the first contact opening 281 may be wider than that of the first trench 271 such that the first contact opening 281 extends in the first direction across opposing sides of the first trench 271 (as illustrated in FIG. 13B ).
  • the width (w 1.1 ) of the first contact opening 281 may be the same or less than that of the first trench 271 , but the first contact opening 281 may be misaligned so that it overlaps one side of the first trench 271 (not shown). Additionally, the length (l 1.1 ) of the first contact opening 281 may be longer than the length of the replacement metal gate 260 such that the first contact opening 281 extends in the second direction across the full length of the replacement metal gate 260 and over the dielectric spacer 245 (as illustrated in see FIG. 13C ).
  • the length (l 1.1 ) of the first contact opening 281 may be the same or less than that of the replacement metal gate 260 , but the first contact opening 281 may be misaligned so that it overlaps the dielectric spacer 245 on one side of the replacement metal gate 260 (not shown).
  • the width (w 1.2 ) of the first contact opening 281 as measured in the first direction, within the lower portion of the second ILD layer 255 will be no greater than the width of the first trench 271 .
  • the dielectric material of the dielectric cap 246 is different from the dielectric material of the dielectric spacer 245 and the second ILD 255 and can be selectively and directionally etched, the length (l 1.2 ) of the portion of the first contact opening 281 immediately above the replacement metal gate 260 will be no greater than the length of the replacement metal gate 260 .
  • the width (w 1.2 ) and length (l 1.2 ) dimensions of the first contact opening 281 are limited and, thus, the resulting contact 293 , as shown in FIGS. 15A and 15B , is considered self-aligned in these two dimensions.
  • the selective etch process used to etch through the dielectric cap 246 will ensure that etching of the outer edges of the first contact opening 281 stops at the level of the dielectric spacer 245 (as shown in FIG. 13C ). However, even if some etching of the outer edges of the first contact opening 281 occurs, metal plugs 248 are recessed, as discussed above, so as to avoid any shorting of the first contact 293 to the metal plug 248 . Similarly, as shown in FIGS.
  • the second contact opening 286 within the second OPL 285 may have a width (w 2.1 ), as measured in a first direction (e.g., along the Y-Y′ cross-section) and a length (l 2.1 ), as measured in a second direction (e.g., along the Z-Z′ cross-section) that is perpendicular to the first direction.
  • the width (w 2.1 ) of the second contact opening 286 may be wider than that of the second trench 272 such that the second contact opening 286 extends in the first direction across opposing sides of the second trench 272 (as illustrated in FIG. 14B ).
  • the width (w 2.1 ) of the second contact opening 286 may be the same or less than that of the second trench 272 , but the second contact opening 286 may be misaligned so that it overlaps one side of the second trench 272 (not shown). Additionally, the length (l 2.1 ) of the second contact opening 286 may be longer than the length of the metal plug 248 such that the second contact opening 286 extends in the second direction across the full length of the metal plug 248 , over the dielectric spacer 245 and onto the dielectric cap 246 (as illustrated in see FIG. 14C ).
  • the length (l 2.1 ) of the second contact opening 286 may be the same or less than that of the metal plug 248 , but the second contact opening 286 may be misaligned so that it overlaps the dielectric spacer 245 and dielectric cap 246 on one side of the metal plug 248 (not shown).
  • the dielectric material of second ILD layer 255 is different from that of the hardmask layer 256 and can be selectively and directionally etched, the width (w 2.2 ) of the second contact opening 286 , as measured in the first direction, within the lower portion of the second ILD layer 255 will be no greater than the width of the second trench.
  • the dielectric material of the dielectric cap 246 and dielectric sidewall spacer is different from the dielectric material of the second ILD layer 255 and the second ILD 255 and can be selectively and directionally etched, the length (l 2.2 ) of the portion of the second contact opening 286 immediately above the metal plug 248 will be no greater than the length of the metal plug 248 between the adjacent replacement metal gates 260 .
  • the width (w 2.2 ) and length (l 2.2 ) dimensions of the second contact opening 286 are limited and the resulting contact 294 , as shown in FIGS. 15C and 15D , is considered self-aligned in these two dimensions.
  • the two-dimensional self-alignment of the contacts i.e., the first contact 293 and the second contact 294 ) effectively eliminates (or at least significantly reduces) the risk of opens occurring between the wires 291 , 292 and/or vias (not shown) in the first BEOL metal level and those contacts and further eliminates (or at least significantly reduces) the risk of shorts occurring between the first contact 293 and any metal plugs and between the second contact 294 and the replacement metal gate 260 .
  • IC structures formed according to the methods described above can include, but are not limited to, IC structures that incorporate planar FET(s) or non-planar FET(s), IC structures that incorporate FET(s) with multiple semiconductor bodies, IC structures that incorporate FET(s) with conventional gate-first gate(s) or a replacement metal gate(s), etc.
  • FIGS. 15A-15D show different cross-section drawings illustrating one exemplary IC structure 200 formed according to the methods described above.
  • This IC structure 200 has self-aligned MOL contacts 293 - 294 to multiple non-planar FET 1 and FET 2 (e.g., finFETs), each FET incorporating multiple semiconductor bodies 210 (e.g., multiple semiconductor fins) and a corresponding replacement metal gate 260 .
  • non-planar FET 1 and FET 2 e.g., finFETs
  • each FET incorporating multiple semiconductor bodies 210 (e.g., multiple semiconductor fins) and a corresponding replacement metal gate 260 .
  • each IC structure disclosed herein can be formed, for example, on a semiconductor-on-insulator (SOI) wafer that includes a semiconductor substrate 202 (e.g., a silicon substrate) and an insulator layer 203 (e.g., a buried oxide (BOX) layer or other suitable insulator layer on the semiconductor substrate) and a semiconductor layer (e.g., a silicon layer or other suitable semiconductor layer) on the insulator layer 203 (as shown).
  • SOI semiconductor-on-insulator
  • SOI semiconductor-on-insulator
  • insulator layer 203 e.g., a buried oxide (BOX) layer or other suitable insulator layer on the semiconductor substrate
  • a semiconductor layer e.g., a silicon layer or other suitable semiconductor layer
  • each IC structure can be formed on a bulk semiconductor wafer (e.g., a bulk silicon wafer or other suitable bulk semiconductor wafer).
  • Each IC structure can include at least one field effect transistor (FET) (e.g., see non-planar FET 1 and FET 2).
  • FET 1 and FET 2 can incorporate one or more semiconductor bodies 210 .
  • Each semiconductor body 210 can be, for example, a fin-shaped semiconductor body (i.e., a relatively thing rectangular shaped semiconductor body).
  • Each semiconductor body 210 can include at least one channel region 211 positioned laterally between source/drain regions 212 .
  • each semiconductor body 210 includes two channel regions (one for each of FET 1 and FET 2) and further includes a shared source/drain region between the two channel regions.
  • the channel region(s) 211 can, for example, be doped with a first dopant so as to have a first conductivity at a relatively low conductivity level.
  • Each FET can further have a gate 260 (e.g., a conventional gate-first gate or a replacement metal gate, as shown).
  • a gate 260 e.g., a conventional gate-first gate or a replacement metal gate, as shown.
  • a gate sidewall spacer 240 can be positioned laterally adjacent to the sidewalls of each gate 260 so as to laterally surround the gate 260 .
  • the gate sidewall spacer 240 can be made of a dielectric material.
  • This dielectric material can be, for example, silicon nitride, silicon carbon nitride, silicon boron carbon nitride or any other suitable gate sidewall spacer material.
  • a dielectric cap 246 can be on the top surface of each gate 260 .
  • the dielectric cap 246 can be made of the same dielectric material as the gate sidewall spacer 240 or a different dielectric material.
  • a dielectric spacer 245 can be above the gate sidewall spacer 240 so as to laterally surround and be immediately adjacent to the dielectric cap 246 .
  • the dielectric spacer 245 can be made of a different dielectric material than that used for the dielectric cap 246 and gate sidewall spacer 240 .
  • the dielectric spacer 245 may be made of silicon oxycarbide or another other suitable dielectric material, as discussed in greater detail below.
  • epitaxial semiconductor material e.g., epitaxial silicon or any other suitable epitaxial semiconductor material
  • the epitaxial semiconductor material can be on the top surface and opposing sidewalls of each of the semiconductor bodies 210 at the source/drain regions 212 (including the source/drain regions that are shared by FET 1 and FET 2).
  • the epitaxial semiconductor material on the source/drain regions 212 of adjacent semiconductor bodies 210 can be merged into a single region, as illustrated.
  • the source/drain regions 212 and, if applicable, the raised source/drain regions 213 can, for example, be doped with a second dopant so as to have a second type conductivity at a relatively high conductivity level.
  • Each IC structure can further include a first interlayer dielectric (ILD) layer 250 positioned laterally immediately adjacent to each gate sidewall spacer 240 and above the source/drain regions 212 (or, if applicable, above the raised source/drain regions 213 ).
  • the first ILD layer 250 can be, for example, a silicon oxide layer or a layer of any other suitable dielectric material that is different from that of the dielectric spacer 245 and dielectric cap 246 adjacent each gate 260 .
  • Recessed metal plugs 248 can be within metal plug openings in the first ILD layer 250 above and immediately adjacent to the source/drain regions 212 (or, if applicable, above and immediately adjacent to the raised source/drain regions 213 , as illustrated).
  • the recessed metal plugs 248 may have top surfaces that are at the same level, lower than, or higher than the top surface of an adjacent gate 260 . In any case, the recessed metal plugs 248 will have top surfaces that are below the level of the top surface of the dielectric cap 246 on that adjacent gate 260 .
  • each recessed metal plug 248 will be positioned laterally adjacent to a gate sidewall spacer 240 opposite a gate 260 and, depending upon the height of the metal plugs 248 , also positioned laterally adjacent to a dielectric spacer 245 opposite a dielectric cap 246 (as illustrated).
  • Each IC structure can further have both middle of the line (MOL) contacts and back end of the line (BEOL) metal levels. That is, at least one dielectric layer can be above the first ILD layer 250 .
  • a second ILD layer 255 can be above the first ILD layer 250 . More specifically, a second ILD layer 255 can cover the top surfaces of the first ILD layer 250 , the dielectric cap 246 on each gate 260 , and the dielectric spacer 245 on each gate sidewall spacer 240 .
  • This second ILD layer 255 can also fill the recesses above the metal plugs 248 such that second ILD layer 255 covers the top surfaces of the metal plugs 248 and is also positioned laterally adjacent to the dielectric spacer 240 opposite each dielectric cap 246 .
  • This second ILD layer 255 can, for example, be the same dielectric material (e.g., silicon oxide) as the first ILD layer 250 .
  • Metal wires 291 - 292 can fill trenches 271 - 272 that are patterned and etched into an upper portion of the second ILD layer 255 . Additionally, contacts 293 - 294 can extend from the metal wires 291 - 292 through a lower portion of the second ILD layer 255 .
  • the contacts can include, but are not limited to, a first contact 293 that extends from a first wire 291 through the lower portion of the stack and through one of the dielectric caps 246 to a gate 260 and a second contact 294 that extends from a second wire 292 through the lower portion of the stack to a metal plug 248 .
  • the dielectric cap 246 on each gate 260 , the dielectric spacer 245 that laterally surrounds and is immediately adjacent to a dielectric cap 246 , and the dielectric layers in the stack are all specifically made of different dielectric materials such that the first contact 293 and the second contact 294 are each self-aligned (e.g., in two different dimensions, as discussed in greater detail above with regard to the method).
  • the first type conductivity of the channel region(s) can be a P-type conductivity and the second type conductivity of the source/drain regions can be an N-type conductivity; whereas, for a P-type FET, the first type conductivity of the channel region(s) can be an N-type conductivity and the second type conductivity of the source/drain regions can be a P-type conductivity.
  • the dopants can be used to achieve the different conductivity types and that the dopants may vary depending upon the different semiconductor materials used.
  • a silicon-based semiconductor material having N-type conductivity is typically doped with an N-type dopant (e.g., a Group V dopant, such as arsenic (As), phosphorous (P) or antimony (Sb)), whereas a silicon-based semiconductor material having P-type conductivity is typically doped with a P-type dopant (e.g., a Group III dopant, such as boron (B) or indium (In)).
  • N-type dopant e.g., a Group V dopant, such as arsenic (As), phosphorous (P) or antimony (Sb)
  • P-type dopant e.g., a Group III dopant, such as boron (B) or indium (In)
  • GaN gallium nitride
  • Si silicon
  • the methods as described above are used in the fabrication of integrated circuit chips.
  • the resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form.
  • the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections).
  • the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product.
  • the end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • IC integrated circuit
  • MOL middle of the line
  • FET field effect transistor
  • different, selectively etchable, dielectric materials are used above the gate level for at least the following: a dielectric cap above a gate; a dielectric spacer above a gate sidewall spacer and laterally surrounding the dielectric cap; and at least one dielectric layer that covers the dielectric cap, the dielectric spacer, and metal plugs positioned laterally adjacent to the dielectric spacer and above source/drain regions.
  • Trenches can be formed in an upper portion of the at least one dielectric layer and contact openings can be formed from the trenches to the gate and the metal plugs.
  • Metal can then be deposited to form wires and/or vias in the trenches for a first back end of the line (BEOL) metal level and to form contacts, including a gate contact and a source/drain contact, in the contact openings. Due to the different dielectric materials used above the gate level, the gate and source/drain contacts will be self-aligned (e.g., in two different dimensions) to provide protection against the occurrence of opens between wires and/or vias in the first BEOL metal level and the various contacts and to further provide protection against the occurrence of shorts between the gate contact and any metal plugs and between the source/drain contacts and the gate. Also disclosed herein are IC structures formed according to the methods.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Disclosed are methods of forming an integrated circuit (IC) structure with self-aligned middle of the line (MOL) contacts and the resulting IC structure. In the methods, different, selectively etchable, dielectric materials are used above the gate level for: a dielectric cap above a gate; a dielectric spacer above a gate sidewall spacer and laterally surrounding the dielectric cap; and a stack of dielectric layer(s) that covers the dielectric cap, the dielectric spacer, and metal plugs positioned laterally adjacent to the dielectric spacer and above source/drain regions. Due to the different dielectric materials, subsequently formed gate and source/drain contacts are self-aligned in two dimensions to provide protection against the occurrence of opens between wires and/or vias in the first BEOL metal level and the contacts and to further provide protection against the occurrence of shorts between the gate contact and any metal plugs and between the source/drain contacts and the gate.

Description

FIELD OF THE INVENTION
The present invention relates to the middle of the line (MOL) contacts that connect field effect transistors (FETs) to back end of the line (BEOL) metal levels. More particularly, the present invention relates to integrated circuit (IC) structures and methods of forming these IC structures so as to have MOL contacts (e.g., gate and source/drain contacts) with two-dimensional self-alignment and, thus, so that gate contact(s) can, optionally, be formed within an active region of a FET (or close thereto).
BACKGROUND
Integrated circuit (IC) structures have middle of the line (MOL) contacts that connect field effect transistors (FETs) to back end of the line (BEOL) metal levels. The MOL contacts include at least one gate contact (also referred to herein as a CB contact) and source/drain contacts (also referred to herein as CA contacts). Each gate contact extends vertically through the interlayer dielectric (ILD) material from a metal wire or via in the first BEOL metal level (also referred to herein as the M0 level) to the gate of the FET. Each source/drain contact extends vertically through the ILD material from a metal wire or via in the first BEOL metal level to a metal plug (also referred to herein as a TS contact), which is above and immediately adjacent to a source/drain region of the FET. Conventional techniques for forming these MOL contacts inherently include risks of the following: (a) opens (also referred to herein as voids) occurring between the first BEOL metal level and both the source/drain contacts and the gate contact; (b) shorts occurring between the gate contact and a metal plug, particularly, if the gate contact is to be formed on a portion of the gate above the active region of the FET (i.e., particularly, if the gate contact is a gate contact over active, also referred to herein as a CBoA) in order to allow for size scaling; and (c) shorts occurring between the source/drain contacts and the gate. Thus, there is a need in the art for an improved method of forming an IC structure with MOL contacts in a manner that avoids the occurrence of the above-described opens and shorts.
SUMMARY
In view of the foregoing, disclosed herein are methods of forming an integrated circuit (IC) structure with self-aligned middle of the line (MOL) contacts. Generally, these methods include forming at least one field effect transistor (FET). The FET can be formed so as to have a semiconductor body and, in the semiconductor body, source/drain regions and a channel region positioned laterally between the source/drain regions. The FET can further be formed so as to have a gate with a gate sidewall spacer above the semiconductor body at the channel region. A dielectric spacer can be formed above the gate sidewall spacer. A dielectric cap can be formed above the gate so as to be laterally surrounded by and immediately adjacent to the dielectric spacer. Additionally, metal plugs can be formed above the semiconductor body at the source/drain regions such that the metal plugs are positioned laterally adjacent to the dielectric spacer opposite the dielectric cap.
To complete the IC structure, both middle of the line (MOL) contacts and back end of the line (BEOL) metal levels can be formed. Specifically, at least one dielectric layer can be formed over the dielectric cap, the dielectric spacer and the metal plugs. Trenches can be formed in an upper portion of the dielectric layer(s) and contact openings can be formed that extend from the trenches through a lower portion of the dielectric layer(s). The contact openings can include, but are not limited to, a first contact opening that extends from a first trench through the lower portion of the dielectric layer(s) and the dielectric cap to the gate and a second contact opening that extends from a second trench through the lower portion of the dielectric layer(s) to a metal plug. After the trenches and contact openings are formed, metal can be deposited to form wires in the trenches and contacts in the contact openings (e.g., a first contact to the gate in the first contact opening and a second contact to a metal plug in the second contact opening). It should be noted, in these methods, the dielectric cap, the dielectric spacer, and the dielectric layer(s) are specifically made of different dielectric materials such that the first contact and second contact are each self-aligned (e.g., in two different dimensions).
The IC structures formed according to these methods can include, but are not limited to, IC structures that incorporate planar FET(s) or non-planar FET(s), IC structures that incorporate FET(s) with multiple semiconductor bodies, IC structures that incorporate FET(s) with conventional gate-first gate(s) or replacement metal gate(s), etc.
Thus, for example, one particular method embodiment disclosed herein can be used to form an IC structure with self-aligned MOL contacts to multiple non-planar FETs. This particular method embodiment can include forming the non-planar FETs. To form the FETs, multiple semiconductor body can be formed. Each semiconductor body can have multiple channel regions, each channel region positioned laterally between source/drain regions. Sacrificial gates with gate sidewall spacers can be formed on the semiconductor bodies adjacent to the channel regions. Raised source/drain regions can be formed on the semiconductor bodies at the source/drain regions such that the raised source/drain regions are positioned laterally adjacent to the gate sidewall spacers. A first interlayer dielectric (ILD) layer can be formed so as to cover the sacrificial gates, the gate sidewall spacers and the raised source/drain regions. After the first ILD layer is formed, it can be planarized to expose the tops of the sacrificial gates and gate sidewall spacers and the sacrificial gates can be replaced with replacement metal gates.
The replacement metal gates and the gate sidewall spacers can subsequently be recessed and dielectric spacers can be formed on exposed vertical surfaces of the first ILD layer above each gate sidewall spacer. Next, dielectric caps can be formed on the replacement metal gates such that each dielectric cap is laterally surrounded by and immediately adjacent to a dielectric spacer. Additionally, metal plug openings, which extend through the first ILD layer to the raised source/drain regions, can be formed. Metal plugs can be formed in the metal plug openings and then recessed, thereby forming recessed metal plugs.
To complete the IC structure, both middle of the line (MOL) contacts and back end of the line (BEOL) metal levels can be formed. Specifically, a stack of dielectric layers can be deposited over the dielectric caps, the dielectric spacers, and the recessed metal plugs. This stack of dielectric layers can include a second ILD layer and a hardmask layer on the second ILD layer. Trenches can be formed in an upper portion of the stack and contact openings can be formed that extend from the trenches through a lower portion of the stack. The contact openings can include, but are not limited to, a first contact opening that extends from a first trench through the lower portion of the stack and through a dielectric cap to a replacement metal gate and a second contact opening that extends from a second trench through the lower portion of the stack to a metal plug. After the trenches and contact openings are formed, metal can be deposited to form wires in the trenches and contacts in the contact openings (e.g., a first contact to the replacement metal gate in the first contact opening and a second contact to the metal plug in the second contact opening). In this method embodiment, the dielectric caps, the dielectric spacers, and each of the dielectric layers in the stack are specifically made of different dielectric materials so that the first contact and second contact will be self-aligned in two different dimensions.
Also disclosed herein are integrated circuit (IC) structures formed according to the methods described above. Generally, each IC structure includes at least one field effect transistor (FET). The FET can have at least one semiconductor body and, in the semiconductor body, a channel region positioned laterally between source/drain regions. A gate can be above the semiconductor body at the channel region. A gate sidewall spacer can be on the sidewalls of the gate, a dielectric cap can be on a top surface of the gate, and a dielectric spacer can be above the gate sidewall spacer so as to laterally surround and be immediately adjacent to the dielectric cap. Metal plugs can be above the source/drain regions and positioned laterally adjacent to the dielectric spacer.
Each IC structure can further have both middle of the line (MOL) contacts and back end of the line (BEOL) metal levels. That is, at least one dielectric layer can be above the dielectric cap, the dielectric spacer and the metal plugs. Wires can be in an upper portion of the at least one dielectric layer and contacts can extend from the wires through a lower portion of the at least one dielectric layer. These contacts can include, but are not limited to, a first contact that extends from a first wire through the lower portion of the at least one dielectric layer and through the dielectric cap to the gate and a second contact that extends from a second wire through the lower portion of the at least one dielectric layer to a metal plug. It should be noted that, in the IC structures, the dielectric cap, the dielectric spacer, and the at least one dielectric layer are all specifically made of different dielectric materials such that the first contact and the second contact are each self-aligned (e.g., in two different dimensions).
BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS
The present invention will be better understood from the following detailed description with reference to the drawings, which are not necessarily drawn to scale and in which:
FIG. 1 is a flow diagram illustrating methods of forming an integrated circuit (IC) structure with self-aligned middle of the line (MOL) contacts to at least one field effect transistor (FET);
FIGS. 2A-2B are top view and cross-section diagrams, respectively, illustrating a partially completed structure formed according to the methods of FIG. 1;
FIG. 3 is a top view diagram illustrating a partially completed structure formed according to the methods of FIG. 1;
FIG. 4 is a top view diagram illustrating a partially completed structure formed according to the methods of FIG. 1;
FIG. 5A is a top view diagram and FIGS. 5B-5D are different cross-section diagrams illustrating a partially completed structure formed according to the methods of FIG. 1;
FIG. 6A is a top view diagram and FIGS. 6B-6C are different cross-section diagrams illustrating a partially completed structure formed according to the methods of FIG. 1;
FIG. 7A is a top view diagram and FIGS. 7B-7C are different cross-section diagrams illustrating a partially completed structure formed according to the methods of FIG. 1;
FIG. 8A is a top view diagram and FIGS. 8B-8C are different cross-section diagrams illustrating a partially completed structure formed according to the methods of FIG. 1;
FIG. 9A is a top view diagram and FIGS. 9B-9C are different cross-section diagrams illustrating a partially completed structure formed according to the methods of FIG. 1;
FIG. 10A is a top view diagram and FIGS. 10B-10C are different cross-section diagrams illustrating a partially completed structure formed according to the methods of FIG. 1;
FIG. 11A is a top view diagram and FIGS. 11B-11C are different cross-section diagrams illustrating a partially completed structure formed according to the methods of FIG. 1;
FIG. 12A is a top view diagram and FIGS. 12B-12E are different cross-section diagrams illustrating a partially completed structure formed according to the methods of FIG. 1;
FIG. 13A is a top view diagram and FIGS. 13B-13C are different cross-section diagrams illustrating a partially completed structure formed according to the methods of FIG. 1;
FIG. 14A is a top view diagram and FIGS. 14B-14C are different cross-section diagrams illustrating a partially completed structure formed according to the methods of FIG. 1; and
FIGS. 15A-15D are different cross-section diagrams illustrating completed structure formed according to the methods of FIG. 1.
DETAILED DESCRIPTION
As mentioned above, integrated circuit (IC) structures have middle of the line (MOL) contacts that connect field effect transistors (FETs) to back end of the line (BEOL) metal levels. The MOL contacts include at least one gate contact (also referred to herein as a CB contact) and source/drain contacts (also referred to herein as CA contacts). Each gate contact extends vertically through the interlayer dielectric (ILD) material from a metal wire or via in the first BEOL metal level (also referred to herein as the M0 level) to the gate of the FET. Each source/drain contact extends vertically through the ILD material from a metal wire or via in the first BEOL metal level to a metal plug (also referred to herein as a TS contact), which is above and immediately adjacent to a source/drain region of the FET. Conventional techniques for forming these MOL contacts inherently include risks of the following: (a) opens (also referred to herein as voids) occurring between the first BEOL metal level and both the source/drain contacts and the gate contact; (b) shorts occurring between the gate contact and a metal plug, particularly, if the gate contact is to be formed on a portion of the gate above the active region of the FET (i.e., particularly, if the gate contact is a gate contact over active, also referred to herein as a CBoA) in order to allow for size scaling; and (c) shorts occurring between the source/drain contacts and the gate.
In view of the foregoing, disclosed herein are methods of forming an integrated circuit (IC) structure with self-aligned middle of the line (MOL) contacts to at least one field effect transistor (FET). In the methods, different, selectively etchable, dielectric materials are used above the gate level for at least the following: a dielectric cap above a gate; a dielectric spacer above a gate sidewall spacer and laterally surrounding the dielectric cap; and at least one dielectric layer that covers the dielectric cap, the dielectric spacer, and metal plugs positioned laterally adjacent to the dielectric spacer and above source/drain regions. Due to the different dielectric materials used above the gate level, subsequently formed gate and source/drain contacts will be self-aligned (e.g., in two different dimensions) to provide protection against the occurrence of opens between wires and/or vias in the first BEOL metal level and the various contacts and to further provide protection against the occurrence of shorts between the gate contact and any metal plugs and between the source/drain contacts and the gate. Also disclosed herein are IC structures formed according to the methods.
Referring to the flow diagram of FIG. 1, generally in the methods disclosed herein a semiconductor wafer is provided (101) and at least one field effect transistor (FET) can be formed on the semiconductor wafer (102). Each FET can be formed so that it has one or more semiconductor bodies. Each semiconductor body can be a planar semiconductor body for a planar FET or a non-planar semiconductor body (e.g., a semiconductor fin) for a non-planar FET, such as a fin-type FET (finFET) or a trigate FET. In any case, each semiconductor body can have areas designated for source/drain regions and for a channel region positioned laterally between the source/drain regions. The FET can further be formed so that it has a gate adjacent to the channel region (or channel regions in the case of multiple semiconductor bodies), a gate sidewall spacer adjacent to the gate and a first interlayer dielectric (ILD) layer positioned laterally immediately adjacent to the gate sidewall spacer and above the source/drain regions. The gate can be a conventional gate-first gate (e.g., a gate with a silicon dioxide gate dielectric layer and a polysilicon gate conductor layer or any other suitable gate-first gate configuration). Alternatively, this gate can be a replacement metal gate (e.g., a gate with a high-K gate dielectric layer and a metal gate conductor layer or any other suitable replacement metal gate configuration) formed by removing a previously formed sacrificial gate and replacing that sacrificial gate with a metal gate (as discussed in greater detail below). In any case, those skilled in the art will recognize that, in the case of a planar FET, the gate will be positioned adjacent to the top surface of each semiconductor body at the channel region, whereas, in the case of a non-planar FET, the gate will be positioned adjacent to opposing sidewalls and, optionally, above the top surface of each semiconductor body at the channel region.
In the methods described herein, the gate and the gate sidewall spacer can be recessed to expose vertical surfaces of the first ILD layer (104), a dielectric spacer can be formed on the exposed vertical surfaces of the first ILD layer above the gate sidewall spacer (106), and a dielectric cap can be formed above the gate such that it is laterally surrounded by and immediately adjacent to the dielectric spacer (108). Additionally, metal plugs can be formed within the first ILD layer above the source/drain regions such that the dielectric spacer is positioned laterally between each metal plug and the dielectric cap (110). After the metal plugs are formed, they too can be recessed to form recessed metal plugs and to expose additional vertical surfaces of the first ILD layer.
To complete the IC structure, both middle of the line (MOL) contacts and back end of the line (BEOL) metal levels can be formed. Specifically, at least one dielectric layer (e.g., a stack of dielectric layers including a second ILD layer and a hardmask layer on the second ILD layer) can be formed over the dielectric cap, the dielectric spacer and the recessed metal plugs (114). Trenches can then be formed in an upper portion of the dielectric layer(s) (116) and contact openings can be formed that extend from the trenches through a lower portion of the dielectric layer(s) (118). The contact openings can include, but are not limited to, a first contact opening that extends from a first trench through the lower portion of the dielectric layer(s) and through the dielectric cap to the gate (e.g., aligned above an active region of the FET or close thereto) (119) and a second contact opening that extends from a second trench through the lower portion of the dielectric layer(s) to a metal plug (120). After the trenches and contact openings are formed, metal can be deposited to form wires in the trenches and contacts in the contact openings (e.g., a first contact to the gate in the first contact opening and a second contact to a metal plug in the second contact opening) (122).
It should be noted that, at process 106-114 described above, the dielectric cap, the dielectric spacer, and the dielectric layer(s) are specifically made of different dielectric materials such that various selective etch processes can be used at process 118 to form the contact openings. As a result, the contacts, including the first contact and the second contact, will each be self-aligned (e.g., in two different dimensions), as discussed in greater detail below with regard to a particular method embodiment and illustrated in the Figures. Those skilled in the art will recognize that, due to the self-alignment of the contacts and, particularly, the self-alignment of the first contact to the gate, the first contact can be formed so that it lands on the gate above the active region of the FET (or close thereto) as opposed to above adjacent isolation material without risking the occurrence of a short between the first contact and any metal plugs. Thus, the methods allow for device size scaling.
The IC structures formed according to the disclosed methods can include, but are not limited to, IC structures that incorporate planar FET(s) or non-planar FET(s), IC structures that incorporate FET(s) with multiple semiconductor bodies, IC structures that incorporate FET(s) with conventional gate-first gate(s) or a replacement metal gate(s), etc. Thus, for purposes of illustration, the method steps 101-122 are described in greater detail below with reference to the formation of an IC structure with self-aligned MOL contacts to multiple non-planar FETs (e.g., multiple finFETs).
Referring again to the flow diagram of FIG. 1, this method embodiment can include providing a semiconductor wafer (101) and forming multiple non-planar FETs on the semiconductor wafer (102).
The semiconductor wafer provided at process 101 can be, for example, a semiconductor-on-insulator (SOI) wafer, as shown in FIG. 2B, that includes a semiconductor substrate 202 (e.g., a silicon substrate), an insulator layer 203 (e.g., a buried oxide (BOX) layer or other suitable insulator layer on the semiconductor substrate) and a semiconductor layer (e.g., a silicon layer or other suitable semiconductor layer) on the insulator layer 203. Alternatively, a bulk semiconductor wafer (e.g., a bulk silicon wafer or other suitable bulk semiconductor wafer) could be used.
Multiple semiconductor bodies 210 can be formed at process 102 such that each semiconductor body has areas designated for multiple channel regions 211 with each channel region being positioned laterally between a pair of source/drain regions 212. For purposes of illustration, FIGS. 2A-2B show a pair of semiconductor bodies 210, wherein each semiconductor body has a first channel region and a second channel region separated by a shared source/drain region. In any case, each semiconductor body 210 can be a fin-shaped semiconductor body (i.e., relatively thin rectangular semiconductor body). Fin-shaped semiconductor bodies can be patterned and etched from the semiconductor layer of the SOI wafer (or, alternatively, from the upper portion of a bulk semiconductor substrate, when isolation from the lower portion of the bulk semiconductor substrate is provided by buried well regions). Techniques for forming such fin-shaped semiconductor bodies (e.g., lithographic patterning techniques or sidewall image transfer techniques) are well known in the art and, thus, the details have been omitted from this specification in order to allow the reader to focus on the salient aspects of the disclosed method. It should be noted that the semiconductor bodies can be appropriately doped with a first dopant, either before or after formation, so that the channel regions 211 will have a first-type conductivity at a relatively low conductivity level.
At process 102, replacement metal gates with gate sidewall spacers can be formed across the semiconductor bodies 210 such that each replacement metal gate with a gate sidewall spacer is positioned above the top surfaces and adjacent to the opposing sides of the semiconductor bodies at adjacent channel regions 211 (104). To form the replacement metal gates at process 104, a blanket first sacrificial layer (e.g., a sacrificial polysilicon layer, a sacrificial amorphous silicon layer or other suitable sacrificial layer) can be formed above and adjacent to the opposing sides of each semiconductor body 210. A second sacrificial layer (e.g., a sacrificial nitride layer), which is different from the first sacrificial layer, can be formed on the top surface of the first sacrificial layer. The first and second sacrificial layers can then be patterned and etched to form sacrificial gates 231 (also referred to herein as dummy gates), wherein each sacrificial gate 231 is positioned above the top surfaces and adjacent to the opposing sides of the semiconductor bodies at adjacent channel regions and wherein each sacrificial gate 231 has a sacrificial cap 232 (see FIGS. 2A-2B).
Next, gate sidewall spacers 240 can be formed on the sidewalls of the sacrificial gates 231 (see FIG. 3). That is, a relatively thin conformal dielectric layer (e.g., a silicon nitride layer, a silicon carbon nitride layer, a silicon boron carbon nitride layer or other suitable conformal dielectric layer) can be deposited over the partially completed structure. Then, a directional etch process can be performed so as to remove the conformal dielectric layer from horizontal surfaces and from the sidewalls of the semiconductor bodies 210 at the source/drain regions 212. Those skilled in the art will recognize that the height of the sacrificial cap 232 on the sacrificial gates 231 should be approximately equal to or greater than the height of the semiconductor bodies 210 so that the conformal dielectric layer can be removed from the sidewalls of the semiconductor bodies 210 at the source/drain regions 212 without exposing the sidewalls of the sacrificial gates 231.
A dopant implantation process can subsequently be performed to dope the source/drain regions 212 with a second dopant so that the source/drain regions 212 have a second-type conductivity at a relatively high conductivity level. Additionally or alternatively, epitaxial semiconductor material (e.g., epitaxial silicon or any other suitable epitaxial semiconductor material) can be deposited on exposed portions of the semiconductor bodies 210 (i.e., on the source/drain regions 212) to form raised source/drain regions 213 (see FIG. 4). The epitaxial semiconductor material can be in-situ doped or subsequently implanted with the second dopant so that the source/drain regions 212 and the raised source/drain regions 213 have the second-type conductivity at the relatively high conductivity level. Optionally, before depositing the epitaxial semiconductor material, as described above, the source/drain regions 212 can be recessed (not shown), thereby ensuring that the source/drain regions 212 and the epitaxial source/drain regions 213 will be properly doped. If present, each raised source/drain region 213 will be positioned laterally immediately adjacent to at least one gate sidewall spacer 240 opposite a sacrificial gate 231 and, optionally, the epitaxial semiconductor material on adjacent source/drain regions 212 will be merged into a single region, as illustrated.
Next, a first interlayer dielectric (ILD) layer 250 can be formed over the partially completed structure and then planarized (see FIGS. 5A-5D). Specifically, a blanket first ILD dielectric layer 250 (e.g., a blanket silicon oxide layer or other suitable blanket ILD layer) can be deposited so as to cover the sacrificial caps 232 and gate sidewall spacers 240 on each sacrificial gate 231 as well as over each source/drain region 212 (or raised source/drain region 213, if applicable). A chemical mechanical polishing (CMP) process can then be performed in order to expose the top surfaces of the sacrificial gates 231 and the gate sidewall spacers 240 (see FIGS. 6A-6C). Optionally, before this CMP process is performed to expose the top surfaces of the sacrificial gates 231 and the gate sidewall spacers 240, a CMP process can be performed to expose the sacrificial caps 232 above the sacrificial gates 231 and the first ILD layer 250 can be recessed. In this case, the removed ILD material can be replaced with a material that is generally the same but with a different density (e.g., a greater density), which is more suitable for use with the CMP process that exposes the sacrificial gates.
Once the top surfaces of the sacrificial gates 231 and the gate sidewall spacers 240 are exposed, the sacrificial gates 231 can be selectively removed and replaced with replacement metal gates 260 for a pair of adjacent non-planar FETs 1 and 2 (see FIGS. 7A-7C). Specifically, the sacrificial material of the sacrificial gates 231 can be selectively etched over the dielectric materials used for the gate sidewall spacers 240 and the first ILD layer 250, thereby creating gate openings in the first ILD layer 250. The gate openings will have sidewalls lined with the gate sidewall spacers 240. Replacement metal gates 260 for each non-planar FET can be formed in each gate opening by depositing a conformal high-K gate dielectric layer 261 so as to line the gate openings and by further depositing one or more metal layers 262 onto the gate dielectric layer 261. Those skilled in the art will recognize that the materials and thicknesses of the dielectric and metal layers used for the replacement metal gates can be preselected to achieve desired work functions given the conductivity type of the FET. In any case, another chemical mechanical polishing (CMP) process can be performed to remove all gate materials from above the top surface of the first ILD layer 250.
The replacement metal gates 260 and the adjacent gate sidewall spacers 240 can then be recessed so that the top surfaces of these features are below the level of the top surface of the first ILD layer 250 and so that vertical surfaces of the first ILD layer 250 are exposed (104, see FIGS. 8A-8C). Those skilled in the art will recognize that the process 104 of recessing the replacement metals and adjacent gate sidewall spacers can include discrete etch processes. For example, a first selective etch process can be performed to recess the replacement metal gate(s) and a second selective etch process can be performed to recess the gate sidewall spacer(s).
Next, dielectric spacers 245 can be formed on exposed vertical surfaces of the first ILD layer 250 above each gate sidewall spacer 240 (106, see FIGS. 9A-9C). That is, a relatively thin conformal dielectric layer (e.g., a silicon oxycarbide layer or other suitable conformal dielectric layer, as discussed in greater detail below) can be deposited over the partially completed structure. Then, a directional etch process can be performed so as to remove the conformal dielectric layer from horizontal surfaces, thereby leaving dielectric spacers 245 on the exposed vertical surfaces of the first ILD 250 above the gate sidewall spacers 240, respectively.
After dielectric spacer formation at process 106, dielectric caps 246 can be formed on the replacement metal gates 260 such that each dielectric cap is laterally surrounded by and immediately adjacent to a dielectric spacer 245 (108, see FIGS. 10A-10C). Specifically, a dielectric cap layer can be deposited so as to fill the spaces above each recessed replacement metal gate 260 and laterally surrounded by the dielectric spacers 245 and a CMP process can be performed, thereby forming the dielectric caps 246 on the replacement metal gates 260. The dielectric caps 246 can be made of the same dielectric material as the gate sidewall spacers 240. For example, both the dielectric cap 246 and the gate sidewall spacer 240 could be made of silicon nitride. Alternatively, the dielectric caps 246 and gate sidewall spacers 240 could be made of different dielectric materials. For example, the gate sidewall spacers 240 could be made of silicon boron carbon nitride and the dielectric caps 246 could be made of silicon nitride. In any case, the dielectric caps 246 should be made of a different dielectric material than the dielectric spacers 245 and the first ILD layer 250.
Additionally, recessed metal plugs 248 can be formed in the first ILD layer 250 on and, particularly, above and immediately adjacent to the source/drain regions 212 (or, if applicable, above and immediately adjacent to the raised first source/drain regions 213, as illustrated) (110, see FIGS. 11A-11C). To form the recessed metal plugs 248 at process 110, metal plug openings can be formed (e.g., lithographically patterned and etched) through the first ILD layer 250 to the source/drain regions 212 (or, if applicable, to the raised first source/drain regions 213, as illustrated). Then, metal plugs can be formed in the metal plug openings. That is, metal (e.g., a tungsten, cobalt, aluminum or any other suitable metal material) can be deposited into the metal plug openings and a CMP process can be performed to remove the metal from above the top surfaces of the first ILD layer 250. Additionally, an etch process can be performed to recess the metal within the metal plugs openings, thereby forming the recessed metal plugs 248. It should be noted that this etch process can be performed so that the top surfaces of the recessed metal plugs 248 are level with, lower than, or higher than the top surfaces of the replacement metal gates 260.
To complete the IC structure, both middle of the line (MOL) contacts and back end of the line (BEOL) metal levels can subsequently be formed. Specifically, a stack of dielectric layers 255-256 can be deposited over the partially completed structure and trenches (e.g., see trenches 271-272) for wires and/or vias (not shown) in the first back end of the line (BEOL) metal level (referred to herein as M0) can be formed in an upper portion of the stack (114-116, see FIGS. 12A-12E). Specifically, at process 114, a blanket second interlayer dielectric (ILD) layer 255 (e.g., a silicon dioxide layer or other suitable ILD layer) can be deposited so that it covers the dielectric caps 246 on the replacement metal gates 260, so that it covers the dielectric spacers 245 on the gate sidewall spacers 240, and so that it fills the spaces within the first ILD layer 250 above each recessed metal plug 248. A CMP process can then be performed to level the top surface of the second ILD layer 255 and a hardmask layer 256 (e.g., a titanium nitride hardmask layer or other suitable hardmask layer) can be formed on the second ILD layer 255. Then, conventional lithographic patterning and etch processes can be used to form a pattern of shapes corresponding to the desired trenches in the hardmask layer 256 and to then transfer the pattern into the upper portion of the second ILD layer 255, thereby forming the trenches 271-272.
Following trench formation at process 116, contact openings, which extend from the trenches through a lower portion of the stack, can be formed (118, see FIGS. 13A-13C and 14A-14C). Formation of the contact openings is described in greater detail below and illustrated in the Figures with respect to formation of a first contact opening 281 from a first trench 271 to one of the replacement metal gates 260 and formation of a second contact opening 286 from a second trench 272 to one of the metal plugs 248. Specifically, a first contact opening 281 can be formed such that it extends from a first trench 271 through the lower portion of the stack and through the dielectric cap 246 to a replacement metal gate 260 (119, see FIGS. 13A-13C). For example, a first optical polymerization layer (OPL) 280 can be deposited onto the hardmask layer 256 and into the trenches 271-272. This first OPL 280 can be lithographically patterned with a first contact opening 281 and selective directional etch processes can be performed to extend the first contact opening 281 from the first trench 271 through the lower portion of the second ILD layer 255 to the dielectric cap 246 and then through the dielectric cap 246 to the replacement metal gate 260. Optionally, this first contact opening 281 can land on the replacement metal gate 260 above an active region (or close thereto), as opposed to being aligned above an isolation region. Additionally, a second contact opening 286 can be formed such that it extends from a second trench 272 through the lower portion of the stack to a metal plug 248 (120, see FIGS. 14A-14C). For example, the first OPL 280 can be selectively removed and a second optical polymerization layer (OPL) layer 285 can be deposited onto the hardmask layer 256 and into the trenches 271-272. This second OPL 285 can be lithographically patterned with a second contact opening 286 and a directional etch process can be performed to extend the second contact opening 286 from the second trench 272 through the lower portion of the second ILD layer 255 to a metal plug 248. While formation of the contact openings is described above and illustrated in the Figures with respect to formation of a first contact opening from a first trench to a replacement metal gate and formation of a second contact opening from a second trench to a metal plug, it should be understood that multiple first contact openings (e.g., to the same replacement metal gate or to another replacement metal gate) may be simultaneously formed and, similarly, multiple second contact openings (e.g., contact openings to the other metal plugs) may also be simultaneously formed.
After the trenches and contact openings are formed, metal can be deposited to form BEOL metal wires and/or vias (not shown) in the trenches and to form contacts in the contact openings (122, see FIGS. 15A-15D). The metal deposited at process 122 can be, for example, copper, aluminum or any other metal material suitable for BEOL metal level wire and/or via formation. Various different techniques for depositing metal to fill trenches and contacts openings are well known in the art. Thus, the details of these techniques are omitted from this specification in order to allow the reader to focus on the salient aspect of the disclosed methods. Following metal deposition, yet another CMP process can be performed to remove metal and the remaining dielectric layer 256 (i.e., the hardmask layer) from above the dielectric layer 255. In any case, the resulting IC structure 200 shown in FIGS. 15A-15D, following metal deposition and CMP, will include at least: a first contact 293, which is within the first contact opening 281 and which extends from a first wire 291 in the first trench 271 to one of the replacement metal gates 260; and a second contact 294, which is in the second contact opening 281 and which extends from a second wire 292 in the second trench 272 to one of the metal plugs 248.
In this method embodiment, the dielectric caps 246 on the replacement metal gates 260, the dielectric spacers 245 laterally surrounding and immediately adjacent to the dielectric caps 246, and each of the dielectric layers 255, 256 in the stack are specifically made, during the various process steps, of different dielectric materials. For example, as mentioned above, the dielectric caps 246 on the replacement metal gates 260 could be made of silicon nitride, the dielectric spacers 245 laterally surrounding and immediately adjacent to the dielectric caps 246 could be made of silicon oxycarbide, the first and second ILD layers 250 and 255 could be made of silicon oxide and the hardmask layer 256 could be made of titanium nitride. Due to these different dielectric materials, the widths and lengths of the contact openings 281, 286 are limited and the contacts 293, 294 formed therein will be self-aligned in two different dimensions.
More specifically, as shown in FIGS. 13A-13C, the first contact opening 281 within the first OPL 280 may have a width (w1.1), as measured in a first direction (e.g., along the X-X′ cross-section) and a length (l1.1), as measured in a second direction (e.g., along the W-W′ cross-section) that is perpendicular to the first direction. The width (w1.1) of the first contact opening 281 may be wider than that of the first trench 271 such that the first contact opening 281 extends in the first direction across opposing sides of the first trench 271 (as illustrated in FIG. 13B). Alternatively, the width (w1.1) of the first contact opening 281 may be the same or less than that of the first trench 271, but the first contact opening 281 may be misaligned so that it overlaps one side of the first trench 271 (not shown). Additionally, the length (l1.1) of the first contact opening 281 may be longer than the length of the replacement metal gate 260 such that the first contact opening 281 extends in the second direction across the full length of the replacement metal gate 260 and over the dielectric spacer 245 (as illustrated in see FIG. 13C). Alternatively, the length (l1.1) of the first contact opening 281 may be the same or less than that of the replacement metal gate 260, but the first contact opening 281 may be misaligned so that it overlaps the dielectric spacer 245 on one side of the replacement metal gate 260 (not shown). However, since the dielectric material of second ILD layer 255 is different from that of the hardmask layer 256 and can be selectively and directionally etched, the width (w1.2) of the first contact opening 281, as measured in the first direction, within the lower portion of the second ILD layer 255 will be no greater than the width of the first trench 271. Furthermore, since the dielectric material of the dielectric cap 246 is different from the dielectric material of the dielectric spacer 245 and the second ILD 255 and can be selectively and directionally etched, the length (l1.2) of the portion of the first contact opening 281 immediately above the replacement metal gate 260 will be no greater than the length of the replacement metal gate 260. Thus, the width (w1.2) and length (l1.2) dimensions of the first contact opening 281 are limited and, thus, the resulting contact 293, as shown in FIGS. 15A and 15B, is considered self-aligned in these two dimensions. It should be noted that, optimally, the selective etch process used to etch through the dielectric cap 246 will ensure that etching of the outer edges of the first contact opening 281 stops at the level of the dielectric spacer 245 (as shown in FIG. 13C). However, even if some etching of the outer edges of the first contact opening 281 occurs, metal plugs 248 are recessed, as discussed above, so as to avoid any shorting of the first contact 293 to the metal plug 248. Similarly, as shown in FIGS. 14A-14C, the second contact opening 286 within the second OPL 285 may have a width (w2.1), as measured in a first direction (e.g., along the Y-Y′ cross-section) and a length (l2.1), as measured in a second direction (e.g., along the Z-Z′ cross-section) that is perpendicular to the first direction. The width (w2.1) of the second contact opening 286 may be wider than that of the second trench 272 such that the second contact opening 286 extends in the first direction across opposing sides of the second trench 272 (as illustrated in FIG. 14B). Alternatively, the width (w2.1) of the second contact opening 286 may be the same or less than that of the second trench 272, but the second contact opening 286 may be misaligned so that it overlaps one side of the second trench 272 (not shown). Additionally, the length (l2.1) of the second contact opening 286 may be longer than the length of the metal plug 248 such that the second contact opening 286 extends in the second direction across the full length of the metal plug 248, over the dielectric spacer 245 and onto the dielectric cap 246 (as illustrated in see FIG. 14C). Alternatively, the length (l2.1) of the second contact opening 286 may be the same or less than that of the metal plug 248, but the second contact opening 286 may be misaligned so that it overlaps the dielectric spacer 245 and dielectric cap 246 on one side of the metal plug 248 (not shown). However, since the dielectric material of second ILD layer 255 is different from that of the hardmask layer 256 and can be selectively and directionally etched, the width (w2.2) of the second contact opening 286, as measured in the first direction, within the lower portion of the second ILD layer 255 will be no greater than the width of the second trench. Furthermore, since the dielectric material of the dielectric cap 246 and dielectric sidewall spacer is different from the dielectric material of the second ILD layer 255 and the second ILD 255 and can be selectively and directionally etched, the length (l2.2) of the portion of the second contact opening 286 immediately above the metal plug 248 will be no greater than the length of the metal plug 248 between the adjacent replacement metal gates 260. Thus, the width (w2.2) and length (l2.2) dimensions of the second contact opening 286 are limited and the resulting contact 294, as shown in FIGS. 15C and 15D, is considered self-aligned in these two dimensions.
The two-dimensional self-alignment of the contacts (i.e., the first contact 293 and the second contact 294) effectively eliminates (or at least significantly reduces) the risk of opens occurring between the wires 291, 292 and/or vias (not shown) in the first BEOL metal level and those contacts and further eliminates (or at least significantly reduces) the risk of shorts occurring between the first contact 293 and any metal plugs and between the second contact 294 and the replacement metal gate 260.
Also disclosed herein are integrated circuit (IC) structures formed according to the methods described above. As mentioned above, the IC structures formed according to the disclosed methods can include, but are not limited to, IC structures that incorporate planar FET(s) or non-planar FET(s), IC structures that incorporate FET(s) with multiple semiconductor bodies, IC structures that incorporate FET(s) with conventional gate-first gate(s) or a replacement metal gate(s), etc. For purposes of illustration, FIGS. 15A-15D show different cross-section drawings illustrating one exemplary IC structure 200 formed according to the methods described above. This IC structure 200 has self-aligned MOL contacts 293-294 to multiple non-planar FET 1 and FET 2 (e.g., finFETs), each FET incorporating multiple semiconductor bodies 210 (e.g., multiple semiconductor fins) and a corresponding replacement metal gate 260.
Referring to FIGS. 15A-15D, generally each IC structure disclosed herein can be formed, for example, on a semiconductor-on-insulator (SOI) wafer that includes a semiconductor substrate 202 (e.g., a silicon substrate) and an insulator layer 203 (e.g., a buried oxide (BOX) layer or other suitable insulator layer on the semiconductor substrate) and a semiconductor layer (e.g., a silicon layer or other suitable semiconductor layer) on the insulator layer 203 (as shown). Alternatively, each IC structure can be formed on a bulk semiconductor wafer (e.g., a bulk silicon wafer or other suitable bulk semiconductor wafer).
Each IC structure can include at least one field effect transistor (FET) (e.g., see non-planar FET 1 and FET 2). Each of FET 1 and FET 2 can incorporate one or more semiconductor bodies 210. Each semiconductor body 210 can be, for example, a fin-shaped semiconductor body (i.e., a relatively thing rectangular shaped semiconductor body). Each semiconductor body 210 can include at least one channel region 211 positioned laterally between source/drain regions 212. For purposes of illustration, in the IC structure 200 shown in FIGS. 15A-15D, each semiconductor body 210 includes two channel regions (one for each of FET 1 and FET 2) and further includes a shared source/drain region between the two channel regions. In any case, the channel region(s) 211 can, for example, be doped with a first dopant so as to have a first conductivity at a relatively low conductivity level.
Each FET can further have a gate 260 (e.g., a conventional gate-first gate or a replacement metal gate, as shown). For purposes of illustration, in the IC structure 200 shown in FIGS. 15A-15D, two gates 260 are shown (one for each of FET 1 and FET 2) and each gate 260 traverses the semiconductor bodies 210 at adjacent channel regions. A gate sidewall spacer 240 can be positioned laterally adjacent to the sidewalls of each gate 260 so as to laterally surround the gate 260. The gate sidewall spacer 240 can be made of a dielectric material. This dielectric material can be, for example, silicon nitride, silicon carbon nitride, silicon boron carbon nitride or any other suitable gate sidewall spacer material. Additionally, a dielectric cap 246 can be on the top surface of each gate 260. The dielectric cap 246 can be made of the same dielectric material as the gate sidewall spacer 240 or a different dielectric material. A dielectric spacer 245 can be above the gate sidewall spacer 240 so as to laterally surround and be immediately adjacent to the dielectric cap 246. The dielectric spacer 245 can be made of a different dielectric material than that used for the dielectric cap 246 and gate sidewall spacer 240. Thus, for example, the dielectric spacer 245 may be made of silicon oxycarbide or another other suitable dielectric material, as discussed in greater detail below.
Optionally, epitaxial semiconductor material (e.g., epitaxial silicon or any other suitable epitaxial semiconductor material) can be on each semiconductor body 210 at the source/drain regions 212, thereby creating a raised first source/drain region 213. For example, as illustrated in FIGS. 15A-15D, the epitaxial semiconductor material can be on the top surface and opposing sidewalls of each of the semiconductor bodies 210 at the source/drain regions 212 (including the source/drain regions that are shared by FET 1 and FET 2). Optionally, the epitaxial semiconductor material on the source/drain regions 212 of adjacent semiconductor bodies 210 can be merged into a single region, as illustrated. In any case, the source/drain regions 212 and, if applicable, the raised source/drain regions 213 can, for example, be doped with a second dopant so as to have a second type conductivity at a relatively high conductivity level.
Each IC structure can further include a first interlayer dielectric (ILD) layer 250 positioned laterally immediately adjacent to each gate sidewall spacer 240 and above the source/drain regions 212 (or, if applicable, above the raised source/drain regions 213). The first ILD layer 250 can be, for example, a silicon oxide layer or a layer of any other suitable dielectric material that is different from that of the dielectric spacer 245 and dielectric cap 246 adjacent each gate 260.
Recessed metal plugs 248 can be within metal plug openings in the first ILD layer 250 above and immediately adjacent to the source/drain regions 212 (or, if applicable, above and immediately adjacent to the raised source/drain regions 213, as illustrated). The recessed metal plugs 248 may have top surfaces that are at the same level, lower than, or higher than the top surface of an adjacent gate 260. In any case, the recessed metal plugs 248 will have top surfaces that are below the level of the top surface of the dielectric cap 246 on that adjacent gate 260. Thus, each recessed metal plug 248 will be positioned laterally adjacent to a gate sidewall spacer 240 opposite a gate 260 and, depending upon the height of the metal plugs 248, also positioned laterally adjacent to a dielectric spacer 245 opposite a dielectric cap 246 (as illustrated).
Each IC structure can further have both middle of the line (MOL) contacts and back end of the line (BEOL) metal levels. That is, at least one dielectric layer can be above the first ILD layer 250. For example, a second ILD layer 255 can be above the first ILD layer 250. More specifically, a second ILD layer 255 can cover the top surfaces of the first ILD layer 250, the dielectric cap 246 on each gate 260, and the dielectric spacer 245 on each gate sidewall spacer 240. This second ILD layer 255 can also fill the recesses above the metal plugs 248 such that second ILD layer 255 covers the top surfaces of the metal plugs 248 and is also positioned laterally adjacent to the dielectric spacer 240 opposite each dielectric cap 246. This second ILD layer 255 can, for example, be the same dielectric material (e.g., silicon oxide) as the first ILD layer 250.
Metal wires 291-292 can fill trenches 271-272 that are patterned and etched into an upper portion of the second ILD layer 255. Additionally, contacts 293-294 can extend from the metal wires 291-292 through a lower portion of the second ILD layer 255. The contacts can include, but are not limited to, a first contact 293 that extends from a first wire 291 through the lower portion of the stack and through one of the dielectric caps 246 to a gate 260 and a second contact 294 that extends from a second wire 292 through the lower portion of the stack to a metal plug 248. It should be noted that, in the IC structures, the dielectric cap 246 on each gate 260, the dielectric spacer 245 that laterally surrounds and is immediately adjacent to a dielectric cap 246, and the dielectric layers in the stack are all specifically made of different dielectric materials such that the first contact 293 and the second contact 294 are each self-aligned (e.g., in two different dimensions, as discussed in greater detail above with regard to the method).
In order to avoid clutter in the drawings used to illustrate the disclosed methods and structures and, particularly, in order to adequately illustrate each of the different types of self-aligned MOL contacts (i.e., a first contact 293 to a gate 260 and a second contact 294 to a meal plug 248) that can be formed according to the disclosed methods and that can be incorporated into the disclosed structures, only two such contacts 293, 294 are shown in the Figures. However, it should be understood that an IC structure formed according to the disclosed methods can include multiple instances of the contacts 293, 294 such that the gates and source/drain regions of the IC structure are contacted, as necessary, to ensure proper functioning.
In the methods and structures described above, for an N-type FET, the first type conductivity of the channel region(s) can be a P-type conductivity and the second type conductivity of the source/drain regions can be an N-type conductivity; whereas, for a P-type FET, the first type conductivity of the channel region(s) can be an N-type conductivity and the second type conductivity of the source/drain regions can be a P-type conductivity. Those skilled in the art will recognize that different dopants can be used to achieve the different conductivity types and that the dopants may vary depending upon the different semiconductor materials used. For example, a silicon-based semiconductor material having N-type conductivity is typically doped with an N-type dopant (e.g., a Group V dopant, such as arsenic (As), phosphorous (P) or antimony (Sb)), whereas a silicon-based semiconductor material having P-type conductivity is typically doped with a P-type dopant (e.g., a Group III dopant, such as boron (B) or indium (In)). Alternatively, a gallium nitride (GaN)-based semiconductor material having P-type conductivity is typically doped with magnesium (Mg), whereas a gallium nitride (GaN)-based semiconductor material having an N-type conductivity is typically doped with silicon (Si). Those skilled in the art will also recognize that different conductivity levels will depend upon the relative concentration levels of the dopants.
The methods as described above are used in the fabrication of integrated circuit chips. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case, the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
It should be understood that the terminology used herein is for the purpose of describing the disclosed methods and structures and is not intended to be limiting. For example, as used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. Additionally, as used herein, the terms “comprises” “comprising”, “includes” and/or “including” specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. Furthermore, as used herein, terms such as “right”, “left”, “vertical”, “horizontal”, “top”, “bottom”, “upper”, “lower”, “under”, “below”, “underlying”, “over”, “overlying”, “parallel”, “perpendicular”, etc., are intended to describe relative locations as they are oriented and illustrated in the drawings (unless otherwise indicated) and terms such as “touching”, “on”, “in direct contact”, “abutting”, “directly adjacent to”, etc., are intended to indicate that at least one element physically contacts another element (without other elements separating the described elements). The corresponding structures, materials, acts, and equivalents of all means or step plus function elements in the claims below are intended to include any structure, material, or act for performing the function in combination with other claimed elements as specifically claimed.
The descriptions of the various embodiments of the present invention have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiments, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.
Therefore, disclosed above are methods of forming an integrated circuit (IC) structure with self-aligned middle of the line (MOL) contacts to at least one field effect transistor (FET). In the methods, different, selectively etchable, dielectric materials are used above the gate level for at least the following: a dielectric cap above a gate; a dielectric spacer above a gate sidewall spacer and laterally surrounding the dielectric cap; and at least one dielectric layer that covers the dielectric cap, the dielectric spacer, and metal plugs positioned laterally adjacent to the dielectric spacer and above source/drain regions. Trenches can be formed in an upper portion of the at least one dielectric layer and contact openings can be formed from the trenches to the gate and the metal plugs. Metal can then be deposited to form wires and/or vias in the trenches for a first back end of the line (BEOL) metal level and to form contacts, including a gate contact and a source/drain contact, in the contact openings. Due to the different dielectric materials used above the gate level, the gate and source/drain contacts will be self-aligned (e.g., in two different dimensions) to provide protection against the occurrence of opens between wires and/or vias in the first BEOL metal level and the various contacts and to further provide protection against the occurrence of shorts between the gate contact and any metal plugs and between the source/drain contacts and the gate. Also disclosed herein are IC structures formed according to the methods.

Claims (20)

What is claimed is:
1. A method comprising:
forming at least one dielectric layer over a dielectric cap, a dielectric spacer, and metal plugs,
wherein the dielectric cap is above and immediately adjacent to a gate,
wherein a gate sidewall spacer is positioned laterally immediately adjacent to the gate,
wherein the gate is above a channel region,
wherein the channel region is positioned laterally between source/drain regions,
wherein the dielectric spacer is above and immediately adjacent to a top surface of the gate sidewall spacer and laterally surrounds and is immediately adjacent to the dielectric cap, and
wherein the metal plugs are above the source/drain regions and positioned laterally adjacent to the gate sidewall spacer and the dielectric spacer that is above the gate sidewall spacer;
forming trenches in an upper portion of the at least one dielectric layer;
forming contact openings that extend from the trenches through a lower portion of the at least one dielectric layer, the contact openings comprising at least a first contact opening that extends through the lower portion and the dielectric cap to the gate and a second contact opening that extends through the lower portion to a metal plug; and
depositing metal to form wires in the trenches, a first contact in the first contact opening and a second contact in the second contact opening,
wherein the dielectric cap, the dielectric spacer, and the at least one dielectric layer comprise different dielectric materials.
2. The method of claim 1, the different dielectric materials ensuring that the first contact and the second contact are self-aligned.
3. The method of claim 1, the first contact opening landing on the gate adjacent to an active region.
4. The method of claim 1,
the at least one dielectric layer comprising: an interlayer dielectric layer; and a hardmask layer above the interlayer dielectric layer, and
the different dielectric materials being preselected so that the interlayer dielectric layer is selectively etchable against the hardmask layer, the dielectric cap and the dielectric spacer and
the different dielectric materials further being preselected so that the dielectric cap is selectively etchable against the interlayer dielectric layer, the hardmask layer and the dielectric spacer.
5. The method of claim 4, the different dielectric materials comprising titanium nitride for the hardmask layer, silicon oxide for the interlayer dielectric layer, silicon nitride for the dielectric cap and silicon oxycarbide for the dielectric spacer.
6. The method of claim 1, the gate sidewall spacer and the dielectric cap comprising a same dielectric material.
7. The method of claim 1, the gate sidewall spacer and the dielectric cap comprising two different dielectric materials.
8. The method of claim 1, the gate and the metal plugs having top surfaces at different levels.
9. A method comprising:
planarizing a first interlayer dielectric layer to expose sacrificial gates and gate sidewall spacers, each sacrificial gate being above channel regions in adjacent semiconductor bodies;
replacing the sacrificial gates with replacement metal gates;
recessing the replacement metal gates and the gate sidewall spacers;
forming dielectric spacers on exposed vertical surfaces of the first interlayer dielectric layer above the gate sidewall spacers;
forming dielectric caps on the replacement metal gates, each dielectric cap being laterally surrounded by and immediately adjacent to a dielectric spacer;
forming metal plug openings that extend through the first interlayer dielectric layer to raised source/drain regions, the raised source/drain regions being positioned laterally adjacent to the gate sidewall spacers and above source/drain regions that are in the semiconductor bodies on opposing sides of the channel regions;
forming metal plugs in the metal plug openings;
recessing the metal plugs;
forming a stack of dielectric layers over the dielectric caps, the dielectric spacers, and the metal plugs, the stack comprising a second interlayer dielectric layer and a hardmask layer on the second interlayer dielectric layer;
forming trenches in an upper portion of the stack;
forming contact openings that extend from the trenches through a lower portion of the stack, the contact openings comprising at least a first contact opening that extends through the lower portion and a dielectric cap to a replacement metal gate and a second contact opening that extends through the lower portion to a metal plug; and
depositing metal to form wires in the trenches, a first contact in the first contact opening and a second contact in the second contact opening,
wherein the dielectric caps, the dielectric spacers, and each of the dielectric layers in the stack comprise different dielectric materials.
10. The method of claim 9, the different dielectric materials ensuring that the first contact and the second contact are self-aligned in two dimensions.
11. The method of claim 9, the first contact opening landing on the replacement metal gate adjacent to an active region.
12. The method of claim 9,
the different dielectric materials being preselected so that the second interlayer dielectric layer is selectively etchable against the hardmask layer, the dielectric caps and the dielectric spacers, and
the different dielectric materials further being preselected so that the dielectric caps are selectively etchable against the second interlayer dielectric layer, the hardmask layer and the dielectric spacers.
13. The method of claim 9, the different dielectric materials comprising titanium nitride for the hardmask layer, silicon oxide for the second interlayer dielectric layer, silicon nitride for the dielectric caps and silicon oxycarbide for the dielectric spacers.
14. The method of claim 9, the gate sidewall spacers and the dielectric caps comprising a same dielectric material.
15. The method of claim 9, the gate sidewall spacers and the dielectric caps comprising two different dielectric materials.
16. The method of claim 9, the recessing being performed such that the replacement metal gates and the metal plugs having top surfaces at different levels.
17. A method comprising:
forming at least one dielectric layer over a dielectric cap, a dielectric spacer, and metal plugs,
wherein the dielectric cap is above a gate,
wherein the gate has gate sidewall spacers and is adjacent to a channel region,
wherein the channel region is positioned laterally between source/drain regions,
wherein the dielectric spacer is above the gate sidewall spacer and laterally surrounds and is immediately adjacent to the dielectric cap, and
wherein the metal plugs are above the source/drain regions and positioned laterally adjacent to the dielectric spacer;
forming trenches in an upper portion of the at least one dielectric layer;
forming contact openings that extend from the trenches through a lower portion of the at least one dielectric layer, the contact openings comprising at least a first contact opening that extends through the lower portion and the dielectric cap to the gate and a second contact opening that extends through the lower portion to a metal plug; and
depositing metal to form wires in the trenches, a first contact in the first contact opening and a second contact in the second contact opening,
wherein the at least one dielectric layer comprises: an interlayer dielectric layer; and a hardmask layer above the interlayer dielectric layer, and
wherein the hardmask layer, the interlayer dielectric layer, the dielectric cap and the dielectric spacer comprise different dielectric materials, and
wherein the different dielectric materials comprise titanium nitride for the hardmask layer, silicon oxide for the interlayer dielectric layer, silicon nitride for the dielectric cap and silicon oxycarbide for the dielectric spacer.
18. The method of claim 17, the different dielectric materials ensuring that the first contact and the second contact are self-aligned.
19. The method of claim 17, the first contact opening landing on the gate adjacent to an active region.
20. The method of claim 17,
the different dielectric materials being preselected so that the interlayer dielectric layer is selectively etchable against the hardmask layer, the dielectric cap and the dielectric spacer, and
the different dielectric materials further being preselected so that the dielectric cap is selectively etchable against the interlayer dielectric layer, the hardmask layer and the dielectric spacer.
US15/388,400 2016-12-22 2016-12-22 Middle of the line (MOL) contacts with two-dimensional self-alignment Active US9929048B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US15/388,400 US9929048B1 (en) 2016-12-22 2016-12-22 Middle of the line (MOL) contacts with two-dimensional self-alignment
US15/851,774 US10283408B2 (en) 2016-12-22 2017-12-22 Middle of the line (MOL) contacts with two-dimensional self-alignment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/388,400 US9929048B1 (en) 2016-12-22 2016-12-22 Middle of the line (MOL) contacts with two-dimensional self-alignment

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/851,774 Division US10283408B2 (en) 2016-12-22 2017-12-22 Middle of the line (MOL) contacts with two-dimensional self-alignment

Publications (1)

Publication Number Publication Date
US9929048B1 true US9929048B1 (en) 2018-03-27

Family

ID=61629758

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/388,400 Active US9929048B1 (en) 2016-12-22 2016-12-22 Middle of the line (MOL) contacts with two-dimensional self-alignment
US15/851,774 Active US10283408B2 (en) 2016-12-22 2017-12-22 Middle of the line (MOL) contacts with two-dimensional self-alignment

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/851,774 Active US10283408B2 (en) 2016-12-22 2017-12-22 Middle of the line (MOL) contacts with two-dimensional self-alignment

Country Status (1)

Country Link
US (2) US9929048B1 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110828554A (en) * 2018-08-13 2020-02-21 格芯公司 Forming self-aligned gate and source/drain contacts and resulting devices
US20200111895A1 (en) * 2018-10-04 2020-04-09 International Business Machines Corporation Bottom contact formation for vertical transistor devices
US10629492B2 (en) * 2018-04-27 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure having a dielectric gate and methods thereof
US20200135872A1 (en) * 2018-10-24 2020-04-30 Globalfoundries Inc. Scaled gate contact and source/drain cap
US10832964B1 (en) 2019-07-15 2020-11-10 International Business Machines Corporatior Replacement contact formation for gate contact over active region with selective metal growth
US10879118B2 (en) * 2017-05-26 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US11004750B2 (en) 2019-09-16 2021-05-11 International Business Machines Corporation Middle of the line contact formation
EP3836196A1 (en) * 2019-12-13 2021-06-16 Imec VZW Self-aligned contacts for nanosheet field effect transistor devices
EP3840054A1 (en) * 2019-12-20 2021-06-23 Imec VZW Self-aligned contacts for walled nanosheet and forksheet field effect transistor devices
US11164782B2 (en) 2020-01-07 2021-11-02 International Business Machines Corporation Self-aligned gate contact compatible cross couple contact formation
US11239115B2 (en) 2019-10-30 2022-02-01 International Business Machines Corporation Partial self-aligned contact for MOL

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019066768A1 (en) * 2017-09-26 2019-04-04 Intel Corporation Directional spacer removal for integrated circuit structures
US10685872B2 (en) * 2018-05-30 2020-06-16 International Business Machines Corporation Electrically isolated contacts in an active region of a semiconductor device
US10832943B2 (en) 2019-04-02 2020-11-10 International Business Machines Corporation Gate contact over active region with self-aligned source/drain contact
US10832961B1 (en) 2019-04-22 2020-11-10 International Business Machines Corporation Sacrificial gate spacer regions for gate contacts formed over the active region of a transistor
US11935784B2 (en) 2021-06-11 2024-03-19 Sandisk Technologies Llc Three-dimensional memory device containing self-aligned bit line contacts and methods for forming the same

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6787469B2 (en) 2001-12-28 2004-09-07 Texas Instruments Incorporated Double pattern and etch of poly with hard mask
US20090065861A1 (en) 2005-02-11 2009-03-12 Alpha & Omega Semiconductor Limited MOS device with low injection diode
US20090065814A1 (en) 2005-02-11 2009-03-12 Alpha & Omega Semiconductor Limited MOS device with schottky barrier controlling layer
US20090065855A1 (en) 2005-02-11 2009-03-12 Alpha & Omega Semiconductor Limited MOS device with integrated schottky diode in active region contact trench
US20140077305A1 (en) 2012-09-19 2014-03-20 Abhijit Jayant Pethe Gate contact structure over active gate and method to fabricate same
US20140264444A1 (en) * 2013-03-13 2014-09-18 International Business Machines Corporation Stress-enhancing selective epitaxial deposition of embedded source and drain regions
US20140284671A1 (en) * 2013-03-22 2014-09-25 United Microelectronics Corp. Semiconductor structure and process thereof
US20150214113A1 (en) 2014-01-27 2015-07-30 GlobalFoundries, Inc. Methods for fabricating finfet integrated circuits with simultaneous formation of local contact openings
US20160293485A1 (en) 2015-03-31 2016-10-06 Qualcomm Incorporated Self-aligned structure

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
EP3100743A4 (en) * 2014-01-29 2017-08-30 Nitto Denko Corporation Composition for accelerating penetration through skin, preparation for transdermal administration, and skin patch preparation
US9853110B2 (en) * 2015-10-30 2017-12-26 Globalfoundries Inc. Method of forming a gate contact structure for a semiconductor device
US10163704B2 (en) 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10276674B2 (en) * 2016-06-28 2019-04-30 Globalfoundries Inc. Method of forming a gate contact structure and source/drain contact structure for a semiconductor device
US9824921B1 (en) * 2016-07-06 2017-11-21 Globalfoundries Inc. Method and apparatus for placing a gate contact inside a semiconductor active region having high-k dielectric gate caps
US9917009B2 (en) * 2016-08-04 2018-03-13 Globalfoundries Inc. Methods of forming a through-substrate-via (TSV) and a metallization layer after formation of a semiconductor device
US10079173B2 (en) * 2016-10-04 2018-09-18 Globalfoundries Inc. Methods of forming metallization lines on integrated circuit products and the resulting products
US10177241B2 (en) * 2016-10-28 2019-01-08 Globalfoundries Inc. Methods of forming a gate contact for a transistor above the active region and an air gap adjacent the gate of the transistor
US10049930B2 (en) * 2016-11-28 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and operation method thereof

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6787469B2 (en) 2001-12-28 2004-09-07 Texas Instruments Incorporated Double pattern and etch of poly with hard mask
US20090065861A1 (en) 2005-02-11 2009-03-12 Alpha & Omega Semiconductor Limited MOS device with low injection diode
US20090065814A1 (en) 2005-02-11 2009-03-12 Alpha & Omega Semiconductor Limited MOS device with schottky barrier controlling layer
US20090065855A1 (en) 2005-02-11 2009-03-12 Alpha & Omega Semiconductor Limited MOS device with integrated schottky diode in active region contact trench
US20140077305A1 (en) 2012-09-19 2014-03-20 Abhijit Jayant Pethe Gate contact structure over active gate and method to fabricate same
US20140264444A1 (en) * 2013-03-13 2014-09-18 International Business Machines Corporation Stress-enhancing selective epitaxial deposition of embedded source and drain regions
US20140284671A1 (en) * 2013-03-22 2014-09-25 United Microelectronics Corp. Semiconductor structure and process thereof
US20150214113A1 (en) 2014-01-27 2015-07-30 GlobalFoundries, Inc. Methods for fabricating finfet integrated circuits with simultaneous formation of local contact openings
US20160293485A1 (en) 2015-03-31 2016-10-06 Qualcomm Incorporated Self-aligned structure

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
U.S. Appl. No. 15/354,212, Notice of Allowance dated Jan. 5, 2018, 22 pages.
U.S. Appl. No. 15/354,212, Restriction Requirement dated Oct. 4, 2017, 7 pages.

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10879118B2 (en) * 2017-05-26 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10629492B2 (en) * 2018-04-27 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure having a dielectric gate and methods thereof
US10998237B2 (en) 2018-04-27 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method with dielectric gates and gate-cut features
US11791217B2 (en) 2018-04-27 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method with dielectric gates and gate-cut features
US11037831B2 (en) 2018-04-27 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
CN110828554A (en) * 2018-08-13 2020-02-21 格芯公司 Forming self-aligned gate and source/drain contacts and resulting devices
US20200111895A1 (en) * 2018-10-04 2020-04-09 International Business Machines Corporation Bottom contact formation for vertical transistor devices
US10727317B2 (en) * 2018-10-04 2020-07-28 International Business Machines Corporation Bottom contact formation for vertical transistor devices
US11569356B2 (en) 2018-10-24 2023-01-31 Globalfoundries U.S. Inc. Scaled gate contact and source/drain cap
US20200135872A1 (en) * 2018-10-24 2020-04-30 Globalfoundries Inc. Scaled gate contact and source/drain cap
US10892338B2 (en) * 2018-10-24 2021-01-12 Globalfoundries Inc. Scaled gate contact and source/drain cap
US10832964B1 (en) 2019-07-15 2020-11-10 International Business Machines Corporatior Replacement contact formation for gate contact over active region with selective metal growth
US11004750B2 (en) 2019-09-16 2021-05-11 International Business Machines Corporation Middle of the line contact formation
US11239115B2 (en) 2019-10-30 2022-02-01 International Business Machines Corporation Partial self-aligned contact for MOL
US11887890B2 (en) 2019-10-30 2024-01-30 International Business Machines Corporation Partial self-aligned contact for MOL
US11462443B2 (en) 2019-12-13 2022-10-04 Imec Vzw Self-aligned contacts for nanosheet field effect transistor devices
EP3836196A1 (en) * 2019-12-13 2021-06-16 Imec VZW Self-aligned contacts for nanosheet field effect transistor devices
EP3840054A1 (en) * 2019-12-20 2021-06-23 Imec VZW Self-aligned contacts for walled nanosheet and forksheet field effect transistor devices
US11515399B2 (en) 2019-12-20 2022-11-29 Imec Vzw Self-aligned contacts for walled nanosheet and forksheet field effect transistor devices
US11164782B2 (en) 2020-01-07 2021-11-02 International Business Machines Corporation Self-aligned gate contact compatible cross couple contact formation

Also Published As

Publication number Publication date
US10283408B2 (en) 2019-05-07
US20180182668A1 (en) 2018-06-28

Similar Documents

Publication Publication Date Title
US10283408B2 (en) Middle of the line (MOL) contacts with two-dimensional self-alignment
US10249728B2 (en) Air-gap gate sidewall spacer and method
US10411010B2 (en) Tall single-fin FIN-type field effect transistor structures and methods
US10014298B1 (en) Method of forming field effect transistors with replacement metal gates and contacts and resulting structure
US10304832B1 (en) Integrated circuit structure incorporating stacked field effect transistors and method
US9786507B2 (en) Methods of forming field effect transistors using a gate cut process following final gate formation
US10128334B1 (en) Field effect transistor having an air-gap gate sidewall spacer and method
US10074564B2 (en) Self-aligned middle of the line (MOL) contacts
US10109714B2 (en) Buried contact structures for a vertical field-effect transistor
US10068987B1 (en) Vertical field effect transistor (VFET) having a self-aligned gate/gate extension structure and method
US10121788B1 (en) Fin-type field effect transistors with single-diffusion breaks and method
US10283621B2 (en) Method of forming vertical field effect transistors with self-aligned gates and gate extensions and the resulting structure
US11955475B2 (en) Resistor with doped regions and semiconductor devices having the same
US9911738B1 (en) Vertical-transport field-effect transistors with a damascene gate strap
US10062692B1 (en) Field effect transistors with reduced parasitic resistances and method
US10818659B2 (en) FinFET having upper spacers adjacent gate and source/drain contacts
US8610249B2 (en) Non-planar capacitor and method of forming the non-planar capacitor
US20180277652A1 (en) Field effect transistor (fet) with a gate having a recessed work function metal layer and method of forming the fet
US10553486B1 (en) Field effect transistors with self-aligned metal plugs and methods
US10699957B2 (en) Late gate cut using selective dielectric deposition
US10916470B2 (en) Modified dielectric fill between the contacts of field-effect transistors
US10727067B2 (en) Late gate cut using selective conductor deposition
US10269812B1 (en) Forming contacts for VFETs
US10164010B1 (en) Finfet diffusion break having protective liner in fin insulator

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:XIE, RUILONG;PARK, CHANRO;LABONTE, ANDRE;AND OTHERS;SIGNING DATES FROM 20161206 TO 20161221;REEL/FRAME:041178/0880

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:054633/0001

Effective date: 20201022

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4