DE102021112077A1 - Floating-gate-vorrichtungen in hochspannungsanwendungen - Google Patents

Floating-gate-vorrichtungen in hochspannungsanwendungen Download PDF

Info

Publication number
DE102021112077A1
DE102021112077A1 DE102021112077.2A DE102021112077A DE102021112077A1 DE 102021112077 A1 DE102021112077 A1 DE 102021112077A1 DE 102021112077 A DE102021112077 A DE 102021112077A DE 102021112077 A1 DE102021112077 A1 DE 102021112077A1
Authority
DE
Germany
Prior art keywords
gate
vertically stacked
stacked capacitor
structure according
capacitor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021112077.2A
Other languages
English (en)
Inventor
Alban Zaka
Tom Herrmann
Frank Schlaphof
Nan Wu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
Original Assignee
GlobalFoundries Dresden Module One LLC and Co KG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Dresden Module One LLC and Co KG filed Critical GlobalFoundries Dresden Module One LLC and Co KG
Publication of DE102021112077A1 publication Critical patent/DE102021112077A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors having potential barriers
    • H01L29/94Metal-insulator-semiconductors, e.g. MOS
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/10Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

Die vorliegende Offenbarung betrifft Halbleiterstrukturen und insbesondere Floating-Gate-Vorrichtungen und Herstellungsverfahren. Die Struktur umfasst: eine Gate-Struktur umfassend ein Gate-Dielektrikumsmaterial und eine Gate-Elektrode; und einen vertikal gestapelten Kondensator über und in elektrischer Verbindung mit der Gate-Elektrode.

Description

  • GEBIET DER ERFINDUNG
  • Die vorliegende Offenbarung betrifft Halbleiterstrukturen und insbesondere Floating-Gate-Vorrichtungen und Herstellungsverfahren.
  • HINTERGRUND
  • Hochspannungshalbleitervorrichtungen werden in einer breiten Vielfalt von Anwendungen verwendet. Diese Anwendungen umfassen z.B. nichtflüchtige Speichervorrichtungen. Die elektronischen Vorrichtungen, die einen nichtflüchtigen Speicher benutzen, nehmen an Größe ab, während sie eine zunehmende Menge an nichtflüchtiger Datenspeicherkapazität erfordern.
  • Nichtflüchtige Speicherzellen können unter Verwendung einer „Doppel-Poly“-Struktur gebildet werden, bei der ein Steuer-Gate und ein Floating-Gate jeweils in einer separaten polykristallinen Silizium-(auch als Polysilizium bezeichnet)-Schicht gebildet sind. Jedoch sind aufgrund der zusätzlichen Fertigungsschritte, die erforderlich sind, um die mehreren Polysilizium-Schichten zu bilden, Doppel-Poly-Prozesse teuer. Alternativ können Speicherzellen durch Bereitstellen eines sehr dicken Gate-Oxids, z.B. in der Größenordnung von 820 Å oder größer, verglichen mit 600 Å bei herkömmlichen Vorrichtungen, gefertigt werden. Jedoch ist die Fertigung eines dickeren Gate-Oxids auch ein zeitaufwändiger und teurer Prozess.
  • Bei einer anderen nichtflüchtigen Speicherzelle ist das Gate eines Transistors, das als ein Floating-Gate agiert, mit einem Kondensator gekoppelt, der als ein Steuer-Gate agiert. Diese Speicherzellen verbrauchen eine sehr große Menge an Halbleiteroberflächenbereich, da die Kondensatoren in dem Halbleitersubstrat implementiert und lateral zu den Transistoren ausgelegt werden müssen. Nichtflüchtige Speicherzellen mit Kondensatoren erfordern auch minimale Abstandserfordernisse, die zum Verbrauch des Halbleiterbereichs beitragen.
  • KURZER ABRISS
  • In einem Aspekt der Offenbarung umfasst eine Struktur: eine Gate-Struktur umfassend ein Gate-Dielektrikumsmaterial und eine Gate-Elektrode; und einen vertikal gestapelten Kondensator über und in elektrischer Verbindung mit der Gate-Elektrode.
  • In einem Aspekt der Offenbarung umfasst eine Struktur: ein Substrat; eine Floating-Gate-Struktur auf dem Substrat und umfassend ein Gate-Dielektrikumsmaterial und eine Gate-Elektrode; und einen vertikal gestapelten Kondensator über der Floating-Gate-Struktur, der einen gleichen oder kleineren Fußabdruck als die Floating-Gate-Struktur aufweist.
  • In einem Aspekt der Offenbarung umfasst ein Verfahren: Bilden einer Gate-Struktur auf einem Substrat; und Bilden eines Kondensators vertikal über und in elektrischer Verbindung mit der Gate-Struktur.
  • Figurenliste
  • Die vorliegende Offenbarung wird in der folgenden detaillierten Beschreibung unter Bezugnahme auf die genannte Vielzahl von Zeichnungen anhand nicht beschränkender Beispiele exemplarischer Ausführungsformen der vorliegenden Offenbarung beschrieben.
    • 1 zeigt eine Gate-Struktur und jeweilige Fertigungsprozesse gemäß Aspekten der vorliegenden Offenbarung.
    • 2 zeigt einen auf der Gate-Struktur gestapelten Spannungsteiler und jeweilige Fertigungsprozesse gemäß Aspekten der vorliegenden Offenbarung.
    • 3 zeigt eine Darstellung einer Floating-Gate-Spannung (fg) und eines Eingangs-Steuer-Gates (control gate; Cg) gemäß Aspekten der vorliegenden Offenbarung.
    • 4 zeigt eine Draufsicht des auf der Gate-Struktur gestapelten Spannungsteilers gemäß Aspekten der vorliegenden Offenbarung.
    • 5-7 zeigen Graphen, die den auf der Gate-Struktur gestapelten Spannungsteiler mit einer konventionellen Gate-Elektrode vergleichen.
  • DETAILLIERTE BESCHREIBUNG
  • Die vorliegende Offenbarung betrifft Halbleiterstrukturen und insbesondere Floating-Gate-Vorrichtungen und Herstellungsverfahren. Insbesondere betrifft die vorliegende Offenbarung Floating-Gate-Vorrichtungen, die in Hochspannungsanwendungen, z.B. 25 V+, verwendet werden. Bei Ausführungformen umfasst die Floating-Gate-Vorrichtung eine Gate-Struktur mit einem vertikal gestapelten Kondensator oben auf der Gate-Struktur. Vorteilhafterweise ermöglicht die vorliegende Offenbarung eine Hochspannungsanwendung, z.B. eine 25 V+-Vorrichtung, an dem Gate-Stapel ohne Modifikation des Gate-Dielektrikums des Gate-Stapels. Die Floating-Gate-Vorrichtung weist auch keinen vergrößerten Fußabdruck auf, und verringert ferner die Komplexität des Gate-Fertigungsprozesses.
  • Bei Ausführungsformen ist ein Spannungsteiler (z.B. Back-End-of-Line (BEOL)-Kondensator) vertikal oben auf der Gate-Elektrode eines Feldeffekttransistors (field effect transistor; FET) gestapelt, der auf einem Substrat gebildet ist. Vorzugsweise ist der BEOL-Kondensator innerhalb eines Fußabdrucks der Gate-Elektrode gebildet, obwohl auch in Betracht gezogen wird, dass sich der BEOL-Kondensator über den Fußabdruck der Gate-Elektrode hinaus erstrecken könnte. Bei einer Implementierung kann der BEOL-Kondensator (d.h. Spannungsteiler) eine an die Gate-Elektrode des FET angelegte Spannung durch kapazitive Kopplung mit der Gate-Elektrode steuern. Beispielsweise ist es möglich, durch Koppeln von Verbindungen des BEOL-Kondensators direkt mit der Gate-Elektrode des FET und, bei Ausführungsformen, Aufrechterhalten einiger Floating-Verbindungen, die an die Gate-Elektrode des FET angelegte Spannung zu steuern.
  • Die Floating-Gate-Vorrichtungen der vorliegenden Offenbarung können auf mehrere Arten unter Verwendung mehrerer unterschiedlicher Werkzeuge gefertigt werden. Im Allgemeinen werden jedoch die Methodologien und Werkzeuge zum Bilden von Strukturen mit Dimensionen im Micrometer- und Nanometermaßstab verwendet. Die Methodologien, d.h. Technologien, die eingesetzt werden, um die Floating-Gate-Vorrichtungen der vorliegenden Offenbarung zu fertigen, wurden aus der Technologie eines integrierten Schaltkreises (integrated circuit; IC) übernommen. Beispielsweise werden die Strukturen auf Wafern gefertigt und in Materialfilmen realisiert, die durch fotolithografische Prozesse auf der Oberseite eines Wafers strukturiert werden. Insbesondere verwendet die Fertigung der Floating-Gate-Vorrichtungen drei grundlegende Bausteine: (i) Abscheidung von dünnen Materialfilmen auf einem Substrat, (ii) Aufbringen einer strukturierten Maske auf die Oberseite der Filme durch fotolithografische Bildgebung, und (iii) Ätzen des Films selektiv bezüglich der Maske.
  • 1 zeigt eine Gate-Struktur und jeweilige Fertigungsprozesse gemäß Aspekten der vorliegenden Offenbarung. Insbesondere umfasst die in 1 gezeigte Struktur 10 eine Gate-Struktur 12, die auf einem Substrat 14 gebildet ist. Bei Ausführungsformen kann das Substrat 14 irgendein geeignetes Halbleitermaterial sein. Beispielsweise kann das Substrat 14 aus irgendeinem geeigneten Material zusammengesetzt sein, das Si, SiGe, SiGeC, SiC, GaAs, InAs, InP, und andere III/V- oder II/VI-Verbindungshalbleiter umfasst, aber nicht darauf beschränkt ist. Außerdem kann das Substrat 14 für ein einzelnes halbleitendes Material, wie etwa Bulk-Silizium, repräsentativ sein. Alternativ kann das Substrat Halbleiter-auf-Isolator (semiconductor-on-insulator; SOI)-Technologien umfassen, wie in der Technik bekannt, so dass keine weitere Erklärung für ein vollständiges Verstehen der vorliegenden Offenbarung erforderlich ist.
  • Noch unter Bezugnahme auf 1 umfasst die Gate-Struktur 12 ein Gate-Dielektrikumsmaterial 16 und eine Gate-Elektrode 18. Bei Ausführungsformen kann das Gate-Dielektrikumsmaterial 16 ein High-k-Gate-Dielektrikumsmaterial, z.B. HfO2 Al2O3, Ta2O3, TiO2, La2O3, SrTiO3, LaAlO3, ZrO2, Y2O3, Gd2O3, und Kombinationen umfassend Multischichten von diesen, sein. Das Gate-Dielektrikumsmaterial 16 kann eine Dicke von etwa 200 Ä oder größer aufweisen, obwohl hierin auch andere Dicken in Betracht gezogen werden. Die Gate-Elektrode 18 kann z.B. ein Polymaterial sein.
  • Bei Ausführungsformen sind das Gate-Dielektrikumsmaterial 16 und die Gate-Elektrode 18 durch konventionelle Abscheidungs-, Lithographie- und Ätzprozesse gebildet. Zum Beispiel kann das Gate-Dielektrikumsmaterial 16 beispielsweise durch einen konventionellen Atomlagenabscheidungs (atomic layer deposition; ALD)-Prozess oder einen Prozess einer plasmaverstärkten chemischen Dampfabscheidung (plasma enhanced chemical vapor deposition; PECVD) abgeschieden werden. Die Gate-Elektrode 18 kann durch einen CVD-Abscheidungsprozess eines Polymaterials auf dem Gate-Dielektrikumsmaterial 16 gebildet werden. Folgend auf die Abscheidungsprozesse werden die Materialien des Gate-Dielektrikumsmaterials 16 und der Gate-Elektrode 18 unter Verwendung konventioneller Lithographie- und Ätzprozesse strukturiert, so dass keine weitere Erklärung für ein vollständiges Verstehen der vorliegenden Offenbarung erforderlich ist. Durch konventionelle Abscheidungsprozesse werden Seitenwand-Spacer 20 auf der Gate-Struktur 12 gebildet, gefolgt von einem anisotropen Ätzprozess. Die Seitenwand-Spacer 20 können z.B. ein Nitridmaterial sein.
  • 1 zeigt ferner Source-und-Drain-Bereiche 22, die durch konventionelle Ionenimplantationsprozesse oder einen dotierten Epitaxiewachstumsprozess gebildet wurden, um angehobene Source-und-Drain-Bereiche 22 zu bilden. Bei dem Epitaxiewachstumsprozess werden beispielsweise Epitaxiebereiche (Source/Drain-Bereiche) durch selektives Aufwachsen eines Halbleitermaterials auf dem Substrat 14 gebildet. Obwohl nicht gezeigt, kann das Substrat 14 Wells umfassen, die durch Einführen eines Dotierstoffs durch beispielsweise eine Ionenimplantation gebildet wurden, die eine Konzentration eines Dotierstoffs in das Substrat 14 einführt. Neben anderen geeigneten Beispielen ist ein P-Well mit p-Typ-Dotierstoffen, z.B. Bor (B), dotiert, und ist der N-Well mit n-Typ-Dotierstoffen, z.B. Arsen (As), Phosphor (P) und Sb, dotiert.
  • 2 zeigt einen Spannungsteiler 24, der vertikal auf der Gate-Struktur 12 gestapelt ist, und jeweilige Fertigungsprozesse. Insbesondere ist der Spannungsteiler 24 ein BEOL-Kondensator, der vertikal auf der Gate-Struktur 12 gestapelt ist. Wie in 2 gezeigt, vergrößert der BEOL-Kondensator 24 nicht den Fußabdruck der Vorrichtung, z.B. der Gate-Struktur 12, da er vertikal über der Gate-Struktur 12 positioniert ist; das heißt, der BEOL-Kondensator 24 kann den gleichen oder einen kleineren Fußabdruck aufweisen als die Gate-Struktur 12. Bei einer nicht-beschränkenden exemplarischen Ausführungsform kann der BEOL-Kondensator 24 ein Metall-Oxid-Metall (MOM)-Kondensator oder ein Metall-Oxid-Metall-Kondensator mit abwechselnder Polarität (alternate polarity metal-oxide-metal; APMOM)-Kondensator sein. Beim letzteren Szenario wechselt sich die Verdrahtung 28 auf einem gleichen Verdrahtungsniveau zwischen positiv und negativ ab.
  • Der BEOL-Kondensator 24 kann abhängig von den Designparametern eine oder mehrere Schichten von Verdrahtung 28 umfassen. Beispielsweise erhöht eine Erhöhung der Anzahl der Schichten von Verdrahtung 28 die Kapazität; wohingegen eine Verringerung der Schicht von Verdrahtung 28 die Kapazität senkt. Außerdem können der Abstand zwischen Verdrahtungen 28 auf dem gleichen Niveau oder der gleichen Schicht oder unterschiedlichen Niveaus oder die Dimensionen der Verdrahtungen 28 angepasst werden, um eine Kapazitätsdichte zu erhöhen oder zu senken. Beispielsweise resultiert die Verwendung von fünf Metallschichten mit einer Anwendung von 8V in einer 1:4 Kapazitäts-Kopplung, was ein existierendes 20V-Hochspannungs (high voltage; HV)-Gate-Oxid zu einer 25V-HV-Anwendung erstreckt. In ähnlicher Weise resultiert die Verwendung von drei Metallschichten mit einer Anwendung von 12V in einer 1:1,67-Kapazitäts-Kopplung, was ein existierendes 20V-Hochspannungs (HV)-Gateoxid zu einer 32V-HV-Anwendung erstreckt. Nachfolgend werden detailliertere Beispiele gegeben.
  • Der BEOL-Kondensator 24 ist mit der Gate-Elektrode 18 der Gate-Struktur 12 durch eine Verdrahtung oder Interconnect-Strukturen 26 verbunden. Beispielsweise sind wenigstens eine oder mehrere Verdrahtungen 28 des BEOL-Kondensators 24 durch die Verdrahtung oder Interconnect-Strukturen 26 direkt mit der Gate-Elektrode 18 verbunden. Auf diese Weise wird nach einem Anlegen einer Spannung die Gate-Struktur 12 zu einem Floating-Node (z.B. einer Floating-Gate-Vorrichtung). Außerdem können die eine oder mehreren Schichten von Verdrahtung 28 optional durch eine Nitridschicht 30 getrennt sein. Der BEOL-Kondensator 24 und die Verdrahtung oder Durchkontaktierungsstruktur 26 sind innerhalb des Dielektrikumsmaterials 32 gebildet. Bei Ausführungsformen ist das Dielektrikumsmaterial 32 ein Zwischenniveau-Dielektrikumsmaterial umfassend z.B. SiO2. Außerdem kann als ein Beispiel ein Verhältnis der Dicke des Gate-Dielektrikumsmaterials 16 zu einer Dicke eines Back-End-of-Line-Dielektrikumsmaterials, z.B. des Dielektrikumsmaterials 32, 1:1 bis 1:4 sein.
  • Der BEOL-Kondensator 24, z.B. Verdrahtungs-Strukturen und Interconnect-Strukturen, kann unter Verwendung konventioneller Lithographie-, Ätz- und Abscheidungsverfahren, die den Fachleuten bekannt sind, mit dem gleichen Fußabdruck oder kleiner als die Gate-Struktur 12 gebildet werden. Beispielsweise wird ein über einer Schicht des Dielektrikumsmaterials 32 gebildetes Resist einer Energie (Licht) ausgesetzt, um eine Struktur (Öffnung) zu bilden. Ein Ätzprozess mit einer selektiven Chemie, z.B. reaktives Ionenätzen (reactive ion etching; RIE), wird verwendet, um durch die Öffnungen des Resists einen oder mehrere Gräben in dem Dielektrikumsmaterial 32 zu bilden. Folgend auf die Entfernung des Resists durch einen konventionellen Sauerveraschungsprozess oder andere bekannte Strippmittel, kann leitendes Material durch irgendwelche konventionellen Abscheidungsprozesse, z.B. chemische Dampfabscheidungs (chemical vapor deposition; CVD)-Prozesse, abgeschieden werden. Vor dem Bilden einer nächsten Schicht kann jegliches restliche Material auf der Oberfläche des Isolatormaterials durch konventionelle chemisch-mechanische Polier (chemical mechanical polishing; CMP)-Prozesse entfernt werden, gefolgt von einer optionalen Abscheidung des Nitridmaterials 30. Dieser Prozess kann weitergehen, um die Anzahl an gewünschten Lagen von Verdrahtung 28 zu bilden. Demgemäß wird abhängig von den Designparametern, z.B. einer Kopplungskapazität, erforderlichen Spannung am Gate Oxid etc., obwohl in der 2 drei Lagen von Verdrahtung 28 gezeigt sind, hierin irgendeine Anzahl von Verdrahtungsschichten in Betracht gezogen.
  • Bei Ausführungsformen wird die Floating-Gate-Spannung (fg) durch kapazitive Kopplung mit den Interconnect-Strukturen 26 und dem Eingangssteuer-Gate (Cg) gesteuert, wie repräsentativ in 3 gezeigt. Das Kopplungsverhältnis kann durch eine richtige Wahl des BEOL-Kondensators 24 (MOM oder APMOM), z.B. Abstand und Anzahl und Dimensionen von verschiedenen Verdrahtungen, konstruiert werden, wobei die anfänglichen Ladungen in der Floating-Gate-Spannung mit einem finalen Ausheilen (z.B. 400°C) in der BEOL-Struktur evakuiert werden.
  • Beispielsweise wird die kapazitive Kopplung durch die verschiedenen Anschlüsse (z.B. Verdrahungen 28) gesteuert, ohne dass irgendein effektiver Ladungstransfer durch Dielektrika (d.h. Tunneln) von der Gate-Struktur 12, z.B. Floating-Gate, zu entweder dem Substrat 14 oder anderen Verdrahtungen 28, die die Gate-Elektrode (z. B. Polysilizium) 18 kontaktieren, stattfindet. Auf diese Weise wird die Vth der Vorrichtung durch die Menge an Ladungen moduliert, die in dem Floating-Gate 12 durch kapazitive Kopplung aufgebaut wurden. Und durch Verwenden des BEOL-Kondensators 24 zum Steuern der Spannungsteilung durch akkurate kapazitive Kopplung ist es nun möglich, höhere Eingangsspannungen (z. B. 25V/30V) zu ermöglichen.
  • 4 zeigt eine Draufsicht des auf der Gate-Struktur gestapelten Spannungsteilers gemäß Aspekten der vorliegenden Offenbarung. Der Spannungsteiler 24, z. B. BEOL-Kondensator, umfasst eine Vielzahl von Verdrahtungen 28, die als Finger konfiguriert sind. Es ist auch gezeigt, dass der BEOL-Kondensator 24 vertikal auf der Gate-Struktur 12, z.B. über der Gate-Elektrode, gestapelt ist und einen gleichen oder kleineren Fußabdruck als die Gate-Struktur 12 aufweist. Außerdem umfasst der BEOL-Kondensator 24 zwei Freiheitsgrade: (i) Abstand zwischen horizontalen Metallinien und die Metalliniendicke selbst; und (ii) die Anzahl von Metallschichten.
  • Die nachstehende Tabelle 1 stellt Beispiele von verschiedenen Anwendungen, z.B. Eingangsspannung von 25V und 30V, bereit, die die hierin beschriebenen BEOL-Kondensatoren implementieren. TABELLE 1
    CHV-GOX ~ 0,6 fF/um2
    Eingang Spannung am Gate (Vgate) αfg-Cg Kapazität (CAPMOM) Kondensator-Layout (APMOM)
    25V 20 V 0,8 4 × CGOX = 2,4 fF/um2 5 Verdrahtungsschichten: 80 nm Abstand zwischen Verdrahtungen auf einer gleichen Schicht/80 nm Verdrahtungslagendicke
    30V 20 V 0,666 2 × CGOX = 1,2 fF/um2 5 Verdrahtungsschichten / 3 Verdrahtungsschichten: 100 nm Abstand zwischen Verdrahtungen auf einer gleichen Schicht /100 nm Verdrahtungslagendicke
  • 5-7 zeigen Graphen, die die Beispiele in der Tabelle 1 vergleichen (z.B. eine Gate-Vorrichtung mit einer Gate-Dielektrikumsdicke von 600 Ä, in Kombination mit dem in der vorliegenden Offenbarung beschriebenen BEOL-Kondensator). Insbesondere zeigt 5 einen Graphen, bei dem die X-Achse eine Eingangsspannung (V) repräsentiert und die Y-Achse eine Floating-Gate-Spannung (V) repräsentiert. Wie in diesem Graphen gezeigt, stellen jedes der Beispiele „B“ und „C“, wie in der Tabelle 1 repräsentiert, und die konventionelle Vorichtung „A“ eine Floating-Gate-Spannung von ungefähr 20V bereit. Dies zeigt, dass die Dimensionen und die Verwendung des im obigen Beispiel gezeigten Kondensator-Layouts die gewünschte Gate-Spannung an dem HV-Transistor, z. B. Gate-Oxid 16 der Vorrichtung 12, ergibt.
  • 6 und 7 zeigen jeweils einen Graphen, wobei die X-Achse eine Eingangsspannung (V) repräsentiert und die Y-Achse einen Drain-Strom repräsentiert (A/µm). Wie in dem Graphen von 6 gezeigt, weisen jedes der Beispiele „B“ und „C“, wie in der Tabelle 1 repräsentiert, und die konventionelle Vorrichtung „A“ ähnliche Schalteigenschaften auf. Auf der anderen Seite weisen, wie in der 7 gezeigt, jedes der Beispiele „B“ und „C“, wie in der Tabelle 1 repräsentiert, und die konventionelle Vorrichtung „A“ ähnliche Drain-Ströme auf. Dies zeigt, dass demgemäß der Idsat für die Beispiele „B“ und „C“ fast unverändert gegenüber der konventionellen Vorrichtung „A“ ist.
  • Die Floating-Gate-Vorrichtungen können in einer System-auf-Chip (system on chip; SoC)-Technologie verwendet werden. Es sollte für die Fachleute klar sein, dass SoC ein integrierter Schaltkreis (auch bekannt als ein „Chip“) ist, der alle Komponenten eines elektronischen Systems auf einem einzelnen Chip oder Substrat integriert. Da die Komponenten auf einem einzelnen Substrat integriert sind, verbrauchen SoCs viel weniger Energie und nehmen viel weniger Raum ein als Multi-Chip-Designs mit äquivalenter Funktionalität. Aus diesem Grund werden SoCs die dominante Kraft in den Mobile-Computing (wie etwa in Smartphones)- und Edge-Computing-Märkten. SoC wird auch üblicherweise in eingebetteten Systemen und im Internet of Things verwendet.
  • Das (Die) oben beschriebene(n) Verfahren wird (werden) bei der Fertigung von Chips mit integriertem Schaltkreis verwendet. Die resultierenden Chips mit integriertem Schaltkreis können durch den Fertiger in Roh-Wafer-Form (das heißt, als einzelner Wafer, der mehrere ungehäuste Chips aufweist), als nackter Chip (bare die), oder in einer gehäusten Form vertrieben werden. Im letzteren Fall ist der Chip in einer Einzelchipbaugruppe (wie etwa ein Kunststoffträger, mit Leitern, die an einem Motherboard oder einem anderen Träger eines höheren Levels befestigt werden) oder in einer Multichip-Baugruppe montiert (wie etwa ein keramischer Träger, der einen oder beide Oberflächenzwischenverbindungen oder vergrabene Zwischenverbindungen aufweist). In jedem Fall ist der Chip dann mit anderen Chips, diskreten Schaltelementen und/oder anderen Signalverarbeitungsvorrichtungen als Teil von entweder (a) einem Zwischenprodukt, wie einem Motherboard, oder (b) einem Endprodukt integriert. Das Endprodukt kann irgendein Produkt sein, das Chips mit integriertem Schaltkreis umfasst, und von Spielzeugen und anderen Low-End-Anwendungen bis zu fortgeschrittenen Computerprodukten reicht, die ein Display, ein Keyboard oder eine andere Eingabevorrichtung, und einen zentralen Prozessor aufweisen.
  • Die Beschreibungen der verschiedenen Ausführungsformen der vorliegenden Erfindung wurden zum Zwecke der Veranschaulichung präsentiert, sollen aber nicht erschöpfend oder auf die geoffenbarten Ausführungsformen beschränkt sein. Viele Modifikationen und Variationen sind für die gewöhnlichen Fachleute offensichtlich, ohne dass vom Umfang und der Idee der beschriebenen Ausführungsformen abgewichen würde. Die hierin verwendete Terminologie wurde gewählt, um die Prinzipien der Ausführungsformen, die praktische Anwendung oder technische Verbesserung gegenüber auf dem Markt erhältlichen Technologien am besten zu erklären, oder es anderen gewöhnlichen Fachleuten zu ermöglichen, die hierin geoffenbarten Ausführungsformen zu verstehen.

Claims (20)

  1. Struktur umfassend: eine Gate-Struktur umfassend ein Gate-Dielektrikumsmaterial und eine Gate-Elektrode; und einen vertikal gestapelten Kondensator über und in elektrischer Verbindung mit der Gate-Elektrode.
  2. Struktur nach Anspruch 1, wobei der vertikal gestapelte Kondensator über der Gate-Elektrode eine oder mehrere Verdrahtungsschichten umfasst.
  3. Struktur nach Anspruch 2, wobei der vertikal gestapelte Kondensator mehrere vertikal gestapelte Verdrahtungsschichten umfasst.
  4. Struktur nach einem der Ansprüche 1 bis 3, wobei der vertikal gestapelte Kondensator mit der Gate-Elektrode durch Interconnects elektrisch verbunden ist.
  5. Struktur nach einem der Ansprüche 1 bis 4, wobei das Gate-Dielektrikumsmaterial eine Dicke von 200 Å und größer aufweist, und ein Verhältnis der Dicke des Gate-Dielektrikumsmaterials zu einer Dicke eines Back-End-of-Line-Dielektrikumsmaterials 1:1 bis 1:4 ist.
  6. Struktur nach einem der Ansprüche 1 bis 5, wobei der vertikal gestapelte Kondensator den gleichen Fußabdruck wie die Gate-Struktur aufweist.
  7. Struktur nach einem der Ansprüche 1 bis 5, wobei der vertikal gestapelte Kondensator einen kleineren Fußabdruck als die Gate-Struktur aufweist.
  8. Struktur nach einem der Ansprüche 1 bis 7, wobei die Gate-Struktur eine Floating-Gate-Vorrichtung ist.
  9. Struktur nach Anspruch 8, wobei der vertikal gestapelte Kondensator sowohl eine Floating-Gate-Spannung (fg) als auch eine Steuer-Gate-Spannung umfasst, die durch den vertikal gestapelten Kondensator angelegt werden.
  10. Struktur nach Anspruch 9, wobei der vertikal gestapelte Kondensator eine kapazitive Kopplung bereitstellt, die durch verschiedene Verdrahtungen des vertikal gestapelten Kondensators gesteuert wird, der einen Ladungstransfer von der Gate-Struktur zu entweder einem Substrat oder Anschlüssen induziert, die die Gate-Elektrode kontaktieren.
  11. Struktur nach einem der Ansprüche 1 bis 10, wobei der vertikal gestapelte Kondensator ein Metall-Oxid-Metall (MOM)-Kondensator ist.
  12. Struktur nach einem der Ansprüche 1 bis 10, wobei der vertikal gestapelte Kondensator ein Metall-Oxid-Metall-Kondensator mit abwechselnder Polarität (alternate polarity metal-oxide-metal; APMOM) ist, der eine Verdrahtung auf einem gleichen Verdrahtungsniveau umfasst, die zwischen positiv und negativ abwechselt.
  13. Struktur umfassend: ein Substrat; eine Floating-Gate-Struktur auf dem Substrat und umfassend ein Gate-Dielektrikumsmaterial und eine Gate-Elektrode; und einen vertikal gestapelten Kondensator über der Floating-Gate-Struktur, der einen gleichen oder kleineren Fußabdruck als die Floating-Gate-Struktur aufweist.
  14. Struktur nach Anspruch 13, wobei der vertikal gestapelte Kondensator mehrere vertikal gestapelte Verdrahtungsschichten umfasst.
  15. Struktur nach Anspruch 13 oder 14, wobei das Gate-Dielektrikumsmaterial eine Dicke von 200 Å und größer aufweist, und ein Verhältnis der Dicke des Gate-Dielektrikumsmaterials zu einer Dicke eines Back-End-of-Line-Dielektrikumsmaterials 1:1 bis 1:4 ist.
  16. Struktur nach einem der Ansprüche 13 bis 15, wobei der vertikal gestapelte Kondensator sowohl eine Floating-Gate-Spannung (fg) als auch eine Steuer-Gate-Spannung umfasst, die durch den vertikal gestapelten Kondensator angelegt werden.
  17. Struktur nach einem der Ansprüche 13 bis 16, wobei der vertikal gestapelte Kondensator eine kapazitive Kopplung bereitstellt, die durch verschiedene Verdrahtungen des vertikal gestapelten Kondensators gesteuert wird, der einen Ladungstransfer von der Gate-Struktur zu entweder einem Substrat oder Anschlüssen induziert, die die Gate-Elektrode kontaktieren.
  18. Struktur nach einem der Ansprüche 13 bis 17, wobei der vertikal gestapelte Kondensator ein Metall-Oxid-Metall (MOM)-Kondensator ist.
  19. Struktur nach einem der Ansprüche 13 bis 17, wobei der vertikal gestapelte Kondensator ein Metall-Oxid-Metall-Kondensator mit abwechselnder Polarität (APMOM) ist, der eine Verdrahtung auf einem gleichen Verdrahtungsniveau umfasst, die zwischen positiv und negativ abwechselt.
  20. Verfahren umfassend: Bilden einer Gate-Struktur auf einem Substrat; and Bilden eines Kondensators vertikal über und in elektrischer Verbindung mit der Gate-Struktur.
DE102021112077.2A 2020-06-10 2021-05-10 Floating-gate-vorrichtungen in hochspannungsanwendungen Pending DE102021112077A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/897,955 US11610999B2 (en) 2020-06-10 2020-06-10 Floating-gate devices in high voltage applications
US16/897,955 2020-06-10

Publications (1)

Publication Number Publication Date
DE102021112077A1 true DE102021112077A1 (de) 2021-12-16

Family

ID=78718875

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021112077.2A Pending DE102021112077A1 (de) 2020-06-10 2021-05-10 Floating-gate-vorrichtungen in hochspannungsanwendungen

Country Status (3)

Country Link
US (1) US11610999B2 (de)
CN (1) CN113782535A (de)
DE (1) DE102021112077A1 (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11950430B2 (en) * 2020-10-30 2024-04-02 Ferroelectric Memory Gmbh Memory cell, capacitive memory structure, and methods thereof

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5330928A (en) * 1992-09-28 1994-07-19 Industrial Technology Research Institute Method for fabricating stacked capacitors with increased capacitance in a DRAM cell
US5550077A (en) * 1995-05-05 1996-08-27 Vanguard International Semiconductor Corporation DRAM cell with a comb-type capacitor
US5637523A (en) * 1995-11-20 1997-06-10 Micron Technology, Inc. Method of forming a capacitor and a capacitor construction
US6168987B1 (en) * 1996-04-09 2001-01-02 Vanguard International Semiconductor Corp. Method for fabricating crown-shaped capacitor structures
TW345714B (en) * 1997-03-22 1998-11-21 United Microelectronics Corp Capacitive structure of DRAM and process for producing the same
TW383447B (en) * 1997-04-02 2000-03-01 United Microelectronics Corp Capacitor structure and manufacturing method for DRAM
TW369694B (en) * 1997-04-22 1999-09-11 United Microelectronics Corp DRAM capacitor structure and its process
TW427013B (en) * 1997-05-06 2001-03-21 United Microelectronics Corp The structure of the capacitors of DRAM and the manufacturing method of the same
US5981335A (en) * 1997-11-20 1999-11-09 Vanguard International Semiconductor Corporation Method of making stacked gate memory cell structure
US6146962A (en) * 1998-03-17 2000-11-14 National Semiconductor Corporation Method for forming a DRAM cell with a stacked capacitor
US6380581B1 (en) * 1999-02-26 2002-04-30 Micron Technology, Inc. DRAM technology compatible non volatile memory cells with capacitors connected to the gates of the transistors
US6259126B1 (en) * 1999-11-23 2001-07-10 International Business Machines Corporation Low cost mixed memory integration with FERAM
US6602749B2 (en) * 2001-09-17 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitor under bitline (CUB) memory cell structure with reduced parasitic capacitance
JP4342131B2 (ja) * 2001-10-30 2009-10-14 富士通マイクロエレクトロニクス株式会社 容量素子の製造方法及び半導体装置の製造方法
US6528842B1 (en) * 2001-12-31 2003-03-04 Jet City Electronics, Inc. Electronically erasable memory cell using CMOS technology
JP2003318269A (ja) * 2002-04-24 2003-11-07 Mitsubishi Electric Corp 半導体装置およびその製造方法
US8076707B1 (en) * 2002-05-09 2011-12-13 Synopsys, Inc. Pseudo-nonvolatile direct-tunneling floating-gate device
KR100481870B1 (ko) * 2002-12-06 2005-04-11 삼성전자주식회사 일회적 프로그래밍이 가능한 롬을 구비하는 반도체 장치및 그 제조방법
US6730950B1 (en) * 2003-01-07 2004-05-04 Texas Instruments Incorporated Local interconnect using the electrode of a ferroelectric
US6806529B1 (en) * 2003-01-30 2004-10-19 National Semiconductor Corporation Memory cell with a capacitive structure as a control gate and method of forming the memory cell
US20040188777A1 (en) * 2003-03-31 2004-09-30 Macronix International Co., Ltd. Mixed signal embedded mask ROM with virtual ground array and method for manufacturing same
US7282757B2 (en) * 2003-10-20 2007-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. MIM capacitor structure and method of manufacture
US7378702B2 (en) * 2004-06-21 2008-05-27 Sang-Yun Lee Vertical memory device structures
US7345915B2 (en) * 2005-10-31 2008-03-18 Hewlett-Packard Development Company, L.P. Modified-layer EPROM cell
US8169014B2 (en) 2006-01-09 2012-05-01 Taiwan Semiconductor Manufacturing Co., Ltd. Interdigitated capacitive structure for an integrated circuit
US20070235788A1 (en) * 2006-04-04 2007-10-11 Ching-Hung Kao Poly-Insulator-Poly Capacitor and Fabrication Method for Making the Same
US7423903B2 (en) * 2006-04-14 2008-09-09 Yield Microelectronics Corp. Single-gate non-volatile memory and operation method thereof
KR100764741B1 (ko) * 2006-06-08 2007-10-08 삼성전자주식회사 반도체 장치 및 그 형성 방법
US8243510B2 (en) 2006-08-30 2012-08-14 Broadcom Corporation Non-volatile memory cell with metal capacitor
US7440311B2 (en) * 2006-09-28 2008-10-21 Novelics, Llc Single-poly non-volatile memory cell
US7605092B2 (en) * 2007-06-29 2009-10-20 Silicon Storage Technology, Inc. Passive elements, articles, packages, semiconductor composites, and methods of manufacturing same
US8247861B2 (en) * 2007-07-18 2012-08-21 Infineon Technologies Ag Semiconductor device and method of making same
US8470164B2 (en) * 2008-06-25 2013-06-25 Life Technologies Corporation Methods and apparatus for measuring analytes using large scale FET arrays
US8120086B2 (en) * 2008-09-30 2012-02-21 Taiwan Semiconductor Manufacturing Co., Ltd Low leakage capacitors including portions in inter-layer dielectrics
US20100301398A1 (en) * 2009-05-29 2010-12-02 Ion Torrent Systems Incorporated Methods and apparatus for measuring analytes
US8193605B2 (en) * 2009-05-07 2012-06-05 United Microelectronics Corp. Bipolar junction transistor integrated with PIP capacitor and method for making the same
US8776573B2 (en) * 2009-05-29 2014-07-15 Life Technologies Corporation Methods and apparatus for measuring analytes
JP2011054708A (ja) * 2009-09-01 2011-03-17 Elpida Memory Inc 絶縁膜およびその製造方法、半導体装置、ならびにデータ処理システム
KR101057746B1 (ko) * 2010-04-12 2011-08-19 매그나칩 반도체 유한회사 비휘발성 메모리 장치 및 그 제조방법
KR101658492B1 (ko) * 2010-08-13 2016-09-21 삼성전자주식회사 미세 패턴의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
KR101635828B1 (ko) * 2010-08-19 2016-07-04 삼성전자주식회사 커패시터 장치 및 그 제조 방법
JP5731858B2 (ja) * 2011-03-09 2015-06-10 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置及び半導体装置の製造方法
US20130161702A1 (en) * 2011-12-25 2013-06-27 Kun-Lung Chen Integrated mems device
JP6161267B2 (ja) * 2012-11-28 2017-07-12 ルネサスエレクトロニクス株式会社 コンデンサ、およびチャージポンプ回路
JP6255709B2 (ja) * 2013-04-26 2018-01-10 株式会社Jvcケンウッド 液晶表示装置
KR102267094B1 (ko) * 2013-08-19 2021-06-18 이데미쓰 고산 가부시키가이샤 산화물 반도체 기판 및 쇼트키 배리어 다이오드
US9698201B2 (en) * 2014-07-09 2017-07-04 Crossbar, Inc. High density selector-based non volatile memory cell and fabrication
US9685483B2 (en) * 2014-07-09 2017-06-20 Crossbar, Inc. Selector-based non-volatile cell fabrication utilizing IC-foundry compatible process
US20160064510A1 (en) * 2014-08-26 2016-03-03 Globalfoundries Inc. Device including a floating gate electrode and a layer of ferroelectric material and method for the formation thereof
US9831171B2 (en) * 2014-11-12 2017-11-28 Infineon Technologies Ag Capacitors with barrier dielectric layers, and methods of formation thereof
US9870939B2 (en) * 2014-11-30 2018-01-16 Globalfoundries Singapore Pte. Ltd. RC-stacked MOSFET circuit for high voltage (HV) electrostatic discharge (ESD) protection
US9570456B1 (en) * 2015-07-22 2017-02-14 United Microelectronics Corp. Semiconductor integrated device including capacitor and memory cell and method of forming the same
US9541521B1 (en) * 2015-10-30 2017-01-10 Nxp Usa, Inc. Enhanced sensitivity ion sensing devices
US9871134B2 (en) * 2015-12-21 2018-01-16 Taiwan Semiconductor Manufacturing Company Ltd. Power MOSFETs and methods for manufacturing the same
CN108886021B (zh) * 2016-02-12 2023-07-25 株式会社半导体能源研究所 半导体装置及其制造方法
US10741719B2 (en) * 2016-03-12 2020-08-11 Faquir Chand Jain Quantum dot channel (QDC) quantum dot gate transistors, memories and other devices
US9905707B1 (en) * 2016-10-28 2018-02-27 Globalfoundries Inc. MOS capacitive structure of reduced capacitance variability
JPWO2018109821A1 (ja) * 2016-12-13 2019-10-24 オリンパス株式会社 固体撮像装置および撮像装置
KR20200029526A (ko) * 2017-07-14 2020-03-18 가부시키가이샤 한도오따이 에네루기 켄큐쇼 급전 장치 및 비접촉 급전 시스템
US11251270B2 (en) * 2017-08-02 2022-02-15 Faquir Chand Jain Quantum dot channel (QDC) quantum dot gate transistors, memories and other devices
US10679936B2 (en) * 2017-09-28 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. MIM structure
US11367731B2 (en) * 2017-11-24 2022-06-21 Taiwan Semiconductor Manufacturing Company Ltd. Memory device and method of manufacturing the same
US10468427B2 (en) * 2018-01-23 2019-11-05 Globalfoundries Singapore Pte. Ltd. Poly-insulator-poly (PIP) capacitor
US11398545B2 (en) * 2018-06-25 2022-07-26 Intel Corporation Single-mask, high-q performance metal-insulator-metal capacitor (MIMCAP)
US11723188B2 (en) * 2018-06-29 2023-08-08 Intel Corporation Replacement metal COB integration process for embedded DRAM
US10707295B2 (en) * 2018-09-17 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and fabrication method thereof
FR3087027A1 (fr) * 2018-10-08 2020-04-10 Stmicroelectronics (Rousset) Sas Element capacitif de puce electronique
FR3093591B1 (fr) * 2019-03-06 2021-04-02 St Microelectronics Rousset Procédé de fabrication d’un élément capacitif haute tension, et circuit intégré correspondant
JP7414411B2 (ja) * 2019-06-14 2024-01-16 キオクシア株式会社 半導体記憶装置
KR20200143623A (ko) * 2019-06-14 2020-12-24 삼성디스플레이 주식회사 디스플레이 장치 및 그 제조방법
CN110634845A (zh) * 2019-09-12 2019-12-31 上海华力集成电路制造有限公司 Mim电容的制造方法及一mim电容
US10830731B1 (en) * 2019-09-17 2020-11-10 Globalfoundries Singapore Pte. Ltd. Sensor devices and methods of forming the same
US11158643B2 (en) * 2019-11-26 2021-10-26 Globalfoundries Singapore Pte. Ltd. Non-volatile memory bit cells with non-rectangular floating gates
US11437406B2 (en) * 2019-12-20 2022-09-06 Globalfoundries Singapore Pte. Ltd. Semiconductor device having a capacitive structure and method of forming the same
CN111403392B (zh) * 2020-03-26 2023-08-15 上海华力微电子有限公司 一种堆叠电容、闪存器件及其制造方法
US11094699B1 (en) * 2020-05-28 2021-08-17 Micron Technology, Inc. Apparatuses including stacked horizontal capacitor structures and related methods, memory devices, and electronic systems
US11641739B2 (en) * 2020-06-01 2023-05-02 Globalfoundries Singapore Pte. Ltd. Semiconductor non-volatile memory devices

Also Published As

Publication number Publication date
US20210391457A1 (en) 2021-12-16
CN113782535A (zh) 2021-12-10
US11610999B2 (en) 2023-03-21

Similar Documents

Publication Publication Date Title
DE102015213529B4 (de) Vorrichtung mit einer Floating-Gate-Elektrode und einer Schicht aus ferroelektrischem Material und Verfahren zu ihrer Herstellung
DE102018202253B4 (de) Verfahren zum Ätzen einer Tiefgrabenisolationsstruktur mit einem in einem Zwischenebenen-Dielektrikumsmaterial endenden Luftspalt und zugehörige Strukturen
DE102012003118A1 (de) Tiefgrabenkondensator mit konform aufgebrachten leitenden Schichten, die Druckspannung aufweisen
DE102020133646B4 (de) Feldeffekttransistoren mit back-gate-kontakt und vergrabener hochresistivitätsschicht
DE112020000199T5 (de) Transistorkanal mit Vertikal gestapelten Nanoschichten, die durch finnenförmige Brückenzonen verbunden sind
DE102015118176A1 (de) Interdigitalkondensator in Split-Gate-Flash-Technologie
DE102019216082B4 (de) Skalierter gate-kontakt und source/drain-kappe sowie verfahren zu dessen herstellung
DE102019103422A1 (de) Verwenden von Maskenschichten zum Erleichtern der Herstellung von selbstjustierten Kontakten und Durchkontaktierungen
DE112020000190T5 (de) Fin-feldeffekttransistoren mit vertikalem transport kombiniert mit resistiven speicherstrukturen
DE102018208546A1 (de) Strukturen aus dem mittleren bereich der fertigungslinie
DE102019207381A1 (de) Unterschiedliche untere und obere Abstandshalter für einen Kontakt
DE112020000212T5 (de) Transistorkanal mit vertikal gestapelten Nanoschichten, die durch finnenförmige Brückenzonen verbunden sind
DE102019204967A1 (de) Angeschrägte Austauschgatestrukturen
DE102021112077A1 (de) Floating-gate-vorrichtungen in hochspannungsanwendungen
DE102023107737A1 (de) Bipolartransistor
DE102019200831A1 (de) Kontaktstrukturen
DE102022109711A1 (de) Elektrostatische entlade (esd)-vorrichtung mit verbesserter einschaltspannung
DE102015206175A1 (de) Halbleiterbauelement mit Dünnschicht-Widerstand
DE102021106165A1 (de) Ko-integrierte hochspannungs (hv)- und mittelspannungs (mv)-feldeffekttransistoren
DE102020127831A1 (de) Speicherarray-gatestrukturen
DE102021118267A1 (de) Metall-oxid-halbleitervorrichtungen mit erweitertem drain mit einer multi-dicken-buffer-dielektrikumsschicht
DE102021127166A1 (de) Mim-kondensatorstrukturen
DE102020132373A1 (de) Ferroelektrische speichervorrichtung und deren ausbildungsverfahren
DE102020209922A1 (de) Feldeffekttransistoren mit unabhängig voneinander abgestimmten schwellenspannungen
DE4223313A1 (de) Halbleitervorrichtung und verfahren zu deren herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication