KR101658492B1 - 미세 패턴의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법 - Google Patents

미세 패턴의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법 Download PDF

Info

Publication number
KR101658492B1
KR101658492B1 KR1020100078474A KR20100078474A KR101658492B1 KR 101658492 B1 KR101658492 B1 KR 101658492B1 KR 1020100078474 A KR1020100078474 A KR 1020100078474A KR 20100078474 A KR20100078474 A KR 20100078474A KR 101658492 B1 KR101658492 B1 KR 101658492B1
Authority
KR
South Korea
Prior art keywords
sacrificial
patterns
mask
film
forming
Prior art date
Application number
KR1020100078474A
Other languages
English (en)
Other versions
KR20120021722A (ko
Inventor
김남건
조성일
김윤재
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020100078474A priority Critical patent/KR101658492B1/ko
Priority to US13/087,208 priority patent/US9012326B2/en
Publication of KR20120021722A publication Critical patent/KR20120021722A/ko
Application granted granted Critical
Publication of KR101658492B1 publication Critical patent/KR101658492B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/318DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor the storage electrode having multiple segments
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/102Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components
    • H01L27/1021Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components including diodes only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/10Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having two electrodes, e.g. diodes or MIM elements
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/25Multistable switching devices, e.g. memristors based on bulk electronic defects, e.g. trapping of electrons
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides

Abstract

미세 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조 방법이 제공된다. 미세 패턴 형성 방법은 하부막 상에, 트렌치들을 정의하는 제 1 희생막을 형성하고, 트렌치들을 채우는 제 2 희생막을 형성하고, 제 2 희생막을 패터닝하여, 상부 개구부를 정의하는 제 2 희생 패턴들을 트렌치 내에 국소적으로 형성하고, 그리고, 하부막을 패터닝함으로써 상부 개구부 아래의 하부막에 하부 개구부를 형성하는 것을 포함한다.

Description

미세 패턴의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법{Method for forming fine patterns and method for manufacturing a semiconductor device by using the same}
본 발명은 미세 패턴의 형성 방법 및 반도체 소자의 제조 방법에 관한 것으로서, 보다 상세하게는 탄소를 포함하는 물질로 이루어진 마스크 구조체를 이용한 미세 패턴의 형성 방법 및 이를 이용한 반도체 소자의 형성 방법에 관한 것이다.
반도체 소자는 반도체 집적회로(IC)를 구현하는 미세 패턴들을 포함한다. 반도체 소자를 구성하는 패턴들은, 패턴을 형성하기 위한 소정의 하부막 상에 포토레지스트 패턴을 형성한 후, 포토레지스트 패턴을 식각 마스크로 이용하여 하부막을 식각함으로써 형성될 수 있다.
한편, 반도체 소자의 디자인 룰(design rule)이 급격히 감소함에 따라, 포토레지스트 패턴의 종횡비(aspect ratio)가 증가하여 포토레지스트 패턴들의 쓰러짐이 발생할 수 있다. 포토레지스트 패턴들의 쓰러짐을 방지하면서 미세한 선폭을 가질 수 있도록 포토레스트 패턴들의 두께가 감소되고 있다. 이로 인해, 패턴들을 형성하기 위한 하부막을 식각하여 종횡비가 큰 미세 패턴들을 형성할 때, 포토레지스트 패턴이 마스크로서의 충분한 역할을 할 수 없다. 따라서, 포토레지스트 패턴 대신 마스크 역할을 할 수 있는 하드 마스크를 이용하여 미세 패턴들을 형성할 수 있다.
본원 발명이 해결하고자 하는 과제는 고집적도의 미세 패턴들을 형성하는 방법을 제공하는데 있다.
본원 발명이 해결하고자 하는 과제는 고집적도의 미세 패턴들을 형성하는 방법을 이용한 반도체 소자의 제조 방법을 제공하는데 있다.
본 발명이 해결하고자 하는 과제는 이상에서 언급한 과제에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 해결하고자 하는 과제를 달성하기 위하여 본 발명의 일 실시예에 따른 미세 패턴 형성 방법은 하부막 상에, 제 1 희생막 및 제 1 마스크 패턴들을 차례로 형성하고, 제 1 마스크 패턴들을 식각 마스크로 사용하여 제 1 희생막을 패터닝하여, 제 1 희생막에 트렌치들을 형성하고, 트렌치들을 채우는 제 2 희생막을 형성하고, 제 1 마스크 패턴들이 노출되도록 제 2 희생막을 식각하여, 제 2 희생막을 트렌치들 내에 잔류시키고, 제 1 마스크 패턴들 상에 트렌치들을 가로지르는 제 2 마스크 패턴들을 형성하고, 그리고 제 2 마스크 패턴들을 식각 마스크로 사용하여 제 2 희생막을 패터닝함으로써, 트렌치들 내에 상부 개구부들을 정의하는 제 2 희생 패턴들을 형성하는 것을 포함한다.
상기 해결하고자 하는 과제를 달성하기 위하여 본 발명의 다른 실시예에 따른 미세 패턴 형성 방법은 하부막 상에, 트렌치들을 정의하는 제 1 희생막을 형성하고, 트렌치들을 채우는 제 2 희생막을 형성하고, 제 2 희생막을 패터닝하여, 상부 개구부를 정의하는 제 2 희생 패턴들을 트렌치 내에 국소적으로 형성하고, 그리고 하부막을 패터닝함으로써 상부 개구부 아래의 하부막 에 하부 개구부를 형성하는 것을 포함한다.
상기 해결하고자 하는 과제를 달성하기 위하여, 워드 라인들 및 워드 라인들을 가로지르는 비트 라인들을 포함하는 반도체 소자의 제조 방법은, 하부막 상에 게이트 라인들 또는 비트 라인들을 가로지르는 트렌치들을 정의하는 제 1 희생 패턴을 형성하고, 트렌치들 내에 제 2 희생막을 형성하고, 제 2 희생막을 패터닝하여 트렌치들 내에 국소적으로 상부 개구부들을 정의하는 제 2 희생 패턴들을 형성하고, 그리고, 제 1 및 제 2 희생 패턴들을 이용하여 하부막을 패터닝함으로써 상부 개구부들 아래의 하부막에 하부 개구부들을 형성하는 것을 포함한다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
본 발명의 실시예들에 따른 미세 패턴의 형성 방법에 따르면, 탄소를 주성분으로 하는 물질로 형성된 마스크 구조체를 이용하여 약 6:1 내지 16:1의 종횡비를 갖는 미세 패턴들을 형성할 수 있다. 또한, 10nm 내지 40nm의 폭을 갖는 미세 패턴들이 형성될 수 있다.
도 1 내지 도 9는 본 발명의 제 1 실시예에 따른 미세 패턴 형성 방법을 순서대로 나타내는 사시도들이다.
도 10 내지 도 13은 본 발명의 제 2 실시예에 따른 미세 패턴 형성 방법을 순서대로 나타내는 사시도들이다.
도 14 내지 도 16은 본 발명의 제 1 및 제 2 실시예들에 따른 미세 패턴 형성 방법에 의해 형성된 다양한 형태의 미세 패턴들을 나타내는 사시도들이다.
도 17a 내지 도 17g 본 발명의 제 3 실시예에 따른 미세 패턴 형성 방법을 순서대로 나타내는 사시도들이다.
도 18은 본 발명의 실시예들에 따른 미세 패턴의 형성 방법에 따라 형성된 하부 패턴을 보여주는 SEM 이미지이다.
도 19는 본 발명의 실시예들에 따른 미세 패턴 형성 방법을 이용하여 형성된 반도체 소자의 셀 영역을 나타내는 평면도이다.
도 20a 내지 도 20g는 본 발명의 실시예들에 따른 미세 패턴 형성 방법을 이용하여 반도체 소자를 제조하는 방법을 나타내는 단면도들로서, 도 19의 Ⅰ-Ⅰ',Ⅱ-Ⅱ' 및 Ⅲ-Ⅲ' 선을 따라 자른 단면들이다.
도 21은 본 발명의 실시예들을 이용하여 형성된 콘택 플러그를 나타내는 도면이다.
도 22a 내지 도 22e는 본 발명의 실시예들에 따른 미세 패턴 형성 방법을 이용하여 DRAM 소자의 캐패시터를 형성하는 방법을 나타내는 단면도들이다.
도 23은 본 발명의 실시예들을 이용하여 형성된 핀(fin) 타입의 전계 효과 트랜지스터를 나타내는 사시도이다.
도 24는 본 발명의 실시예들에 따른 미세 패턴 형성 방법을 이용하여 형성된 수직 채널을 갖는 트랜지스터의 사시도이다.
도 25는 본 발명의 실시예들에 따른 미세 패턴 형성 방법을 이용하여 형성된 3차원 반도체 메모리 장치를 나타내는 사시도이다.
도 26a 및 도 26b는 본 발명의 실시예들에 따른 미세 패턴 형성 방법을 이용하여 형성된 가변 저항 메모리 소자의 단면도들이다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예를 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시예는 본 발명의 개시가 완전하도록 하고, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전문에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 '포함한다(comprises)' 및/또는 '포함하는(comprising)'은 언급된 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다.
또한, 본 명세서에서 기술하는 실시예들은 본 발명의 이상적인 예시도인 단면도 및/또는 평면도들을 참고하여 설명될 것이다. 도면들에 있어서, 막 및 영역들의 두께는 기술적 내용의 효과적인 설명을 위해 과장된 것이다. 따라서, 제조 기술 및/또는 허용 오차 등에 의해 예시도의 형태가 변형될 수 있다. 따라서, 본 발명의 실시예들은 도시된 특정 형태로 제한되는 것이 아니라 제조 공정에 따라 생성되는 형태의 변화도 포함하는 것이다. 예를 들면, 직각으로 도시된 식각 영역은 라운드지거나 소정 곡률을 가지는 형태일 수 있다. 따라서, 도면에서 예시된 영역들은 개략적인 속성을 가지며, 도면에서 예시된 영역들의 모양은 소자의 영역의 특정 형태를 예시하기 위한 것이며 발명의 범주를 제한하기 위한 것이 아니다.
본 발명의 일 실시예에 따르면, 하부막(즉, 식각 대상막)을 식각하기 위한 마스크 구조체(Mask structure)가 하부막 상에 형성될 수 있다. 마스크 구조체를 이용함으로써 하부막에 미세 패턴들을 형성할 수 있다.
이하, 도 1 내지 도 9를 참조하여 본 발명의 제 1 실시예에 따른 미세 패턴 형성 방법에 대해 상세히 설명한다. 도 1 내지 도 9는 본 발명의 제 1 실시예에 따른 미세 패턴 형성 방법을 순서대로 나타내는 사시도들이다.
도 1을 참조하면, 기판(10) 상에 하부막(20) 및 제 1 희생막(30)이 순서대로 적층될 수 있다.
하부막(20)은 반도체 물질, 도전 물질, 절연 물질 중에서 선택된 어느 하나 또는 이들의 조합으로 이루어질 수 있다. 예를 들어, 하부막(20)이 반도체 물질로 이루어진 경우, 하부막(20)은 기판(10) 또는 에피택셜층일 수도 있다. 예를 들어, 하부막(20)이 도전 물질로 이루어진 경우, 하부막(20)은 도핑된 폴리실리콘, 금속 실리사이드, 금속, 금속 질화물, 또는 이들의 조합으로 이루어질 수 있다. 예를 들어, 하부막(20)이 절연 물질로 이루어진 경우, 하부막(20)은 고밀도플라즈마(HDP) 산화막, TEOS(TetraEthylOrthoSilicate), PE-TEOS(Plasma Enhanced TetraEthylOrthoSilicate), O3-TEOS(O3-Tetra Ethyl Ortho Silicate), USG(Undoped Silicate Glass), PSG(PhosphoSilicate Glass), BSG(Borosilicate Glass), BPSG(BoroPhosphoSilicate Glass), FSG(Fluoride Silicate Glass), SOG(Spin On Glass), TOSZ(Tonen SilaZene) 또는 이들의 조합으로 이루어질 수 있다. 또한, 하부막(20)은 실리콘 질화물, 실리콘 산질화물 또는 낮은 유전율을 가지는 low-k 물질로 이루어질 수도 있다.
또한, 하부막(20)은 단일막으로 형성되거나, 복수 개의 막들이 적층된 적층막일 수 있다. 또한, 하부막(20)은 적층된 복수개의 절연막들을 포함할 수 있으며, 적층된 절연막들 사이에 도전막 또는 반도체막을 포함할 수 있다. 또한, 하부막(20)은 반도체 패턴, 도전 패턴 및 절연 패턴 중 적어도 어느 하나를 포함할 수 있다.
제 1 희생막(30)은 하부막(20)을 식각하는 공정에서 하부막(20)에 대해 식각 선택비를 갖는 물질로 형성될 수 있다. 상세하게, 제 1 희생막(30)은 탄소를 주성분으로 하는 물질로 형성될 수 있다. 그리고, 제 1 희생막(30)은 제 1 희생막(30)을 구성하는 화합물의 총 중량을 기준으로 약 80 ∼ 99 중량%의 비교적 높은 탄소 함량을 가지는 막으로 이루어질 수 있다. 또한, 제 1 희생막(30)은 화학 기상 증착(chemical vapor deposition) 방법을 이용하여 하부막(20) 상에 증착될 수 있으며, 약 1000 ∼ 10000Å의 두께를 가질 수 있다. 일 실시예에 따르면, 제 1 희생막(30)은 화학 기상 증착 방법으로 이용하여 형성된 비정질 탄소층(amorphous carbon layer)일 수 있다.
이어서, 제 1 희생막(30)을 패터닝한다. 제 1 희생막(30)을 패터닝하는 것은, 제 1 희생막(30) 상에 직접 포토레지스트 패턴을 이용하여 수행될 수 있으나, 두꺼운 제 1 희생막(30)을 식각하는 동안 포토레지스트 패턴이 함께 소모되어 원하는 깊이의 트렌치 (또는 오프닝)을 형성하지 못할 수 있다. 즉, 포토레지스트 패턴을 이용하여 제 1 희생막(30)에 종횡비가 큰 홀을 형성하는데 어려움이 있다.
한편, 본 발명의 실시예들에서는 제 1 희생막(30)을 식각하는 공정에서 제 1 희생막(30)에 대해 약 10:1 이상의 식각 선택비를 갖는 물질로 형성된 제 1 마스크 패턴들(42)을 이용하여 제 1 희생막(30)을 패터닝할 수 있다. 이에 따라, 제 1 희생막(30)을 식각하는 식각 공정에서 제 1 희생막(30)의 식각 속도가 제 1 마스크 패턴들(42)의 식각 속도보다 빠를 수 있다. 이에 따라, 제 1 마스크 패턴들(42)을 이용하여 제 1 희생막(30)을 식각할 때, 얇은 두께의 제 1 마스크 패턴들(42)을 이용하여 두꺼운 제 1 희생막(30)을 식각할 수 있다.
상세하게, 도 1을 참조하면, 제 1 희생막(30)을 패터닝하는데 이용되는 제 1 마스크 패턴들(42)이 제 1 희생막(30) 상에 형성된다.
제 1 마스크 패턴들(42)을 형성하는 것은, 제 1 희생막(30) 상에 제 1 마스크층을 형성하는 것, 제 1 마스크층 상에 제 1 포토레지스트 패턴들(54)을 형성하는 것, 제 1 포토레지스트 패턴들(54)을 이용하여 제 1 마스크층을 식각하는 것을 포함한다. 또한, 제 1 마스크층과 제 1 포토레지스트 패턴(54) 사이에는 제 1 반사 방지 패턴(52)이 형성될 수 있다.
제 1 마스크층은 제 1 희생막(30)에 대해 식각 선택비를 갖는 물질로 형성될 수 있다. 예를 들어, 제 1 마스크층은 SiON, SiO2, Si3N4, SiCN, 폴리실리콘 등과 같은 실리콘 함유 물질 중에서 선택되는 어느 하나의 물질로 이루어질 수 있다. 또한, 제 1 마스크층은 화학기상증착 공정과 같은 증착 방법 또는 스핀 코팅 방법을 이용하여 형성될 수 있다. 제 1 마스크층의 두께는 제 1 희생막(30)의 두께의 약 0.1 내지 0. 5배일 수 있다. 예를 들어, 제 1 마스크층은 화학기상증착 방법을 이용하여 제 1 희생막(30) 상에 약 300 내지 600Å의 두께로 증착될 수 있다.
제 1 포토레지스트 패턴들(54)은, 제 1 마스크층 상에 레지스트 물질을 도포하여 제 1 포토레지스트층을 형성하고, 제 1 포토레지스트층에 대해 노광(Exposure) 및 현상(development) 공정을 수행하여 형성될 수 있다. 일 실시예에 다르면, 제 1 포토레지스트층에 라인 앤 스페이스(line and space) 패턴을 형성하기 위한 포토리소그래피 공정이 수행될 수 있다. 또한, 포토리소그래피 공정시, 불화크립톤(KrF) 레이저, 불화아르곤(ArF) 레이저, 불소(F2) 레이저 또는 EUV(extreme ultraviolet)를 이용하는 노광 공정이 수행될 수 있다. 한편, 제 1 포토레지스트 패턴들(54)은 라인 형태 대신 홀 형상의 개구들을 가질 수도 있다.
제 1 반사 방지 패턴(52)은, 제 1 마스크층에 대해 식각 선택비를 가지며, 노광 공정시 빛을 흡수하여 광반사를 방지하는 물질로 형성될 수 있다. 제 1 반사 방지 패턴(52)은 유기 화합물 또는 무기 화합물로 이루어질 수 있다. 일 실시예에 따르면, 제 1 반사 방지 패턴(52)은 포토레지스트와 그 식각 특성이 유사한 유기 물질로 형성될 수 있다. 이러한 제 1 반사 방지 패턴(52)을 형성하는 것은, 스핀 온 코팅 방법을 이용하여 제 1 마스크층 상에 제 1 반사 방지막을 코팅하는 것, 코팅된 제 1 반사 방지막을 경화시키는 베이크(bake)하는 것, 및 제 1 포토레지스트 패턴들(54)을 이용하여 식각하는 것을 포함한다. 이와 같이, 제 1 마스크층과 제 1 포토레지스트 패턴들(54) 사이에 제 1 반사 방지 패턴들(52)막을 형성함으로써, 제 1 포토레지스트 패턴들(54)을 형성하는 포토리소그래피 공정시 포토레지스트층에 조사되는 빛의 반사 및 산란에 의해 의해 제 1 포토레지스트 패턴(54)의 선폭이 변동되는 것을 방지할 수 있다.
다음으로, 제 1 포토레지스트 패턴들(54) 및 제 1 반사 방지 패턴들(52)을 이용하여 제 1 희생막(30) 상의 제 1 마스크층을 식각한다. 이에 따라, 소정 간격 이격되어 배치되며 서로 평행하는 라인 형태의 제 1 마스크 패턴들(42)이 제 1 희생막(30) 상에 형성될 수 있다. 일 실시예에 따르면, 제 1 마스크 패턴들(42) 사이의 간격은 제 1 마스크 패턴들(42)의 폭보다 클 수 있다. 다른 실시예에 따르면, 제 1 마스크 패턴들(42) 사이의 간격은 제 1 마스크 패턴들(42)의 폭의 약 2배 이하일 수 있다.
도 2를 참조하면, 제 1 마스크 패턴들(42)을 이용하여 제 1 희생막(30)에 트렌치들(T)을 형성한다.
트렌치들(T)을 형성하는 것은, 제 1 마스크 패턴들(42)을 식각 마스크로 이용하여, 제 1 희생막(30)의 일부분을 식각하는 것을 포함한다. 트렌치들(T)을 형성하기 위해 제 1 희생막(30)을 식각하는 공정은 에치백(etch back) 공정과 같은 이방성 식각 공정이 수행될 수 있다. 이 때, 플로린 계열의 식각 가스를 이용하여, 제 1 희생막(30)이 식각될 수 있다. 상세하게, 제 1 희생막(30)을 이방성 식각하는 공정은 플로린 계열의 식각 가스와 O2의 혼합 가스, 또는 플로린 계열의 식각 가스와, O2 및 Ar의 혼합 가스가 이용될 수 있다. 여기서, 플로린 계열의 식각 가스로는 C3F6, C4F6, C4F8, 또는 C5F8가 이용될 수 있다. 또한, 플로린 계열의 식각 가스와 함께 공급되는 O2- 가스는 식각 공정 중에 발생되는 폴리머 부산물을 제거할 수 있으며, Ar 가스는 캐리어 가스로서 이온 충돌을 발생시킨다. 또한, 제 1 희생막(30)을 건식 식각하는 공정은 약 50V 내지 200V의 DC 전압 조건, 약 60℃ 이하의 온도 조건 및 약 2000sccm 이하의 유량 조건에서 수행될 수 있다. 일 실시예에 따르면, 제 1 희생막(30)을 건식 식각하는 공정은 식각 가스의 플라즈마를 발생시켜 플라즈마 분위기에서 식각될 수 있다. 예를 들어, 건식 플라즈마 식각 공정은 ICP(inductively coupled plasma) 방식 또는 이중 주파수 CCP(dual frequency capacitively coupled plasma) 방식의 플라즈마 식각 설비를 이용하여 수행될 수 있다.
제 1 희생막(30)에 트렌치들(T)을 형성함에 따라, 제 1 마스크 패턴들(42)에 의해 노출된 제 1 희생막(30)의 상면이 리세스될 수 있다. 일 실시예에서, 트렌치들(T)은 라인 형태를 가질 수 있다. 트렌치(T)의 폭은 제 1 마스크 패턴(42)의 폭보다 클 수 있다. 예를 들어, 트렌치(T)의 폭은 제 1 마스크 패턴(42)의 폭의 약 2배 이상일 수 있다. 트렌치(T)의 깊이는 제 1 마스크 패턴(42)의 두께와 같거나 클 수 있으며, 예를 들어, 약 200 내지 300 Å일 수 있다.
이와 같이, 제 1 희생막(30)에 트렌치들(T)을 형성하는 동안, 제 1 마스크 패턴들(42) 상의 제 1 포토레지스트 패턴들(54) 및 제 1 반사 방지 패턴들(52)이 제거될 수 있다.
도 3을 참조하면, 제 1 희생막(30)에 형성된 트렌치들(T)을 채우는 코팅막(60)을 형성한다.
코팅막(60)은 스핀-온-코팅(spin on coating) 방법을 이용하여 형성될 수 있으며, 하부막(20) 및 제 1 마스크 패턴들(42)을 식각하는 공정에서 하부막(20) 및 제 1 마스크 패턴들(42)에 대해 식각 선택비를 갖는 물질로 형성될 수 있다. 상세하게, 코팅막(60)은 탄소를 주성분으로 하는 물질로 형성될 수 있다. 일 실시예에 따르면, 코팅막(60)은, 코팅막(60)을 구성하는 물질의 총 중량을 기준으로 약 80 ∼ 99 중량%의 비교적 높은 탄소 함량을 가지는 막으로 이루어질 수 있다.
코팅막(60)은 제 1 희생막(30)에 형성된 트렌치들(T)을 채울 수 있으며, 스핀 온 코팅 방법에 의해 형성되므로 코팅막(60)의 상면의 거칠기(roughness)가 작을 수 있다. 즉, 코팅막(60)은 제 1 희생막(30)의 트렌치들(T)을 채우면서 실질적으로 평탄한 상면을 가질 수 있다. 이를 위해, 코팅막(60)은 제 1 희생막(30)에 정의된 트렌치(T)의 깊이보다 두껍게 코팅될 수 있다. 예를 들어, 코팅막(60)은 제 1 마스크 패턴들(42) 상에서 약 800Å 내지 1000Å의 두께를 가질 수 있다.
일 실시예에 따르면, 코팅막(60)은 제 1 마스크 패턴들(42) 상에 약 800Å 내지 1000Å의 두께로 코팅한 후, 약 100 ∼ 500℃의 온도 조건에서 약 30 ∼ 300초 동안 베이크(bake)하여 형성될 수 있다. 이 때, 베이크 공정은 서로 다른 온도 조건에서 1회 이상 수행될 수 있다.
이와 같이, 트렌치들(T)이 형성된 제 1 희생막(30) 상에 스핀 온 코팅 방법을 이용하여 코팅막(60)을 형성함에 따라, 트렌치들(T)이 형성된 제 1 희생막(30)의 프로파일에 영향을 받지 않고 평탄한 상면을 갖는 막이 형성될 수 있다.
도 4를 참조하면, 코팅막(60)을 식각하여 트렌치들(T)에 제 2 희생막(62)을 형성한다.
제 2 희생막(62)은 제 1 마스크 패턴들(42)의 상면이 노출될 때까지 코팅막(60)에 대한 이방성 식각 공정을 수행함으로써 형성될 수 있다. 코팅막(60)을 이방성 식각하는 동안, 코팅막(60)은 제 1 마스크 패턴(42)에 대해 약 10:1 이상의 식각 선택비를 가질 수 있다. 즉, 코팅막(60)을 이방성 식각할 때, 제 1 마스크 패턴들(42)은 식각 정지막으로 이용될 수 있다.
일 실시예에 따르면, 제 2 희생막(62)의 상면은 제 1 마스크 패턴들(42)의 상면과 실질적으로 동일한 레벨에 위치할 수 있다. 이에 따라, 제 2 희생막들(62)을 형성한 후 하부막(20) 상에 형성된 마스크 구조물의 높이가 균일할 수 있다. 다른 실시예에 따르면, 제 2 희생막(62)을 식각하는 동안 제 1 마스크 패턴(42)의 상면이 리세스될 수도 있다. 이러한 경우, 제 1 마스크 패턴들(42)의 상면들이 2 희생막(62)의 상면 아래에 위치할 수도 있다. 여기서, 제 2 희생막(62)의 상면은 제 1 마스크 패턴(42)의 상면과 제 1 희생막(30)의 상면 사이에 위치할 수 있다.
트렌치(T) 내에 형성된 제 2 희생막들(62)은 라인 형태를 가지며, 서로 평행할 수 있다. 제 2 희생막들(62)은 평면적으로 제 1 마스크 패턴들(42) 사이에 배치될 수 있다. 일 실시예에서, 제 2 희생막(62)의 양측벽은 트렌치(T)의 내벽에 직접 접촉될 수 있다. 즉, 제 2 희생막(62)의 폭은 트렌치(T)의 폭과 실질적으로 동일할 수 있다. 또한, 제 2 희생막(62)의 두께는 제 1 희생막(30)의 트렌치(T)의 깊이보다 클 수 있다.
이어서, 도 5를 참조하면, 제 2 희생막(62)을 패터닝하는데 이용되는 제 2 마스크 패턴들(72)을 형성한다.
상세히 설명하면, 도 5에 도시된 바와 같이, 제 1 마스크 패턴들(42) 및 제 2 희생막들(62)을 덮는 제 2 마스크층을 형성한다. 또한, 제 2 마스크층 상에는 제 2 포토레지스트 패턴들(84)이 형성될 수 있으며, 제 2 마스크층과 제 2 포토레지스트 패턴(84) 사이에는 제 2 반사 방지 패턴(82)이 형성될 수 있다.
상세하게, 제 2 마스크층은 화학기상증착 공정과 같은 증착 방법을 이용하여 형성될 수 있으며, 제 1 및 제 2 희생막들(30, 62)을 식각하는 공정에서 제 1 및 제 2 희생막들(30, 62)에 대해 식각 선택비를 갖는 물질로 형성될 수 있다. 예를 들어, 제 2 마스크층은 SiON, SiO2, Si3N4, SiCN, 폴리실리콘 등과 같은 실리콘 함유 물질 중에서 선택되는 어느 하나의 물질로 이루어질 수 있다.
일 실시예에 따르면, 제 2 마스크층은 제 1 마스크 패턴(42)과 동일한 물질로 형성될 수 있다. 이와 달리 제 2 마스크층은, 제 2 마스크층을 식각하는 동안 제 1 마스크 패턴(42)에 대해 식각 선택비를 갖는 물질로 형성될 수도 있다.
제 2 마스크층의 두께는 제 1 희생막(30)의 두께의 약 0.1 내지 0. 5배일 수 있다. 그리고, 제 2 마스크층의 두께는 제 1 마스크 패턴(42)의 두께와 동일하거나 작을 수 있다. 예를 들어, 제 2 마스크층은 화학기상증착 방법을 이용하여 제 1 희생막(30) 상에 약 200 내지 400Å의 두께로 증착될 수 있다.
일 실시예에서, 제 2 포토레지스트 패턴들(84)은 제 1 마스크 패턴들(42)을 가로지르는 라인 형태의 패턴들일 수 있다. 제 2 포토레지스트 패턴들(84)의 피치는 제 1 포토레지스트 패턴(54)의 피치와 동일할 수 있다. 이러한 제 2 포토레지스트 패턴들(84)은 제 2 마스크층 상에 레지스트 물질을 도포하여 제 2 포토레지스트층을 형성하고, 제 2 포토레지스트층에 대해 노광(Exposure) 및 현상(development) 공정을 수행하여 형성될 수 있다. 예를 들면, 제 2 포토레지스트 패턴(84)은 불화크립톤(KrF) 레이저, 불화아르곤(ArF) 레이저, 불소(F2) 레이저 또는 EUV (extreme ultraviolet)를 이용하는 노광 공정을 통해 형성될 수 있다.
제 2 반사 방지 패턴(82)은, 제 2 마스크층에 대해 식각 선택비를 가지며, 제 2 포토레지스트 패턴들(84)을 형성하기 위한 노광 공정시 빛을 흡수하여 광반사를 방지하는 물질로 형성될 수 있다. 제 2 반사 방지 패턴(82)은 유기 화합물 또는 무기 화합물로 이루어질 수 있다. 일 실시예에 따르면, 제 2 반사 방지 패턴(82)은 포토레지스트와 그 식각 특성이 유사한 유기 물질로 형성될 수 있다. 이러한 제 2 반사 방지 패턴(82)을 형성하는 것은, 스핀 온 코팅 방법을 이용하여 제 2 마스크층 상에 제 2 반사 방지막을 코팅하는 것, 코팅된 제 2 반사 방지막을 경화시키는 베이크(bake)하는 것, 및 제 2 포토레지스트 패턴들(84)을 이용하여 식각하는 것을 포함한다. 이와 같이, 제 2 마스크층과 제 2 포토레지스트 패턴(84) 사이에는 제 2 반사 방지 패턴(82)이 형성됨에 따라, 제 2 포토레지스트 패턴들(84)을 형성하는 포토리소그래피 공정시 포토레지스트층에 조사되는 빛의 반사 및 산란에 의해 의해 제 2 포토레지스트 패턴(84)의 선폭이 변동되는 것을 방지할 수 있다.
이어서, 제 2 포토레지스트 패턴들(84) 및 제 2 반사 방지 패턴들(82)을 이용하여 제 2 마스크 패턴들(72)을 형성한다. 제 2 마스크 패턴들(72)은 제 2 희생막(62)이 노출될 때까지 제 2 마스크층을 이방성 식각함으로써 형성될 수 있다.
제 2 마스크 패턴들(72)은 제 1 마스크 패턴들(42) 및 제 2 희생막들(62)을 가로지를 수 있다. 즉, 제 1 및 2 마스크 패턴들(42, 72)은 평면적으로 격자 형태를 가질 수 있다. 그리고, 제 2 마스크 패턴들(72)은 제 1 마스크 패턴(42)의 일부분 및 제 2 희생막(62)의 일부분과 직접 접촉될 수 있다.
이와 같이 형성된 제 2 마스크 패턴들(72)은 제 1 희생막(30)에 정의된 트렌치들 및 제 2 희생막들(62)을 가로질러 형성될 수 있으며, 서로 소정 간격 이격될 수 있다. 제 2 마스크 패턴들(72) 사이의 간격은 제 2 마스크 패턴들(72)의 폭보다 크거나 동일할 수 있다. 예를 들어, 제 2 마스크 패턴들(72) 사이의 간격은 제 2 마스크 패턴들(72)의 폭의 약 2배 이하일 수 있다. 또한, 제 2 마스크 패턴들(72)의 폭은 리소그래피 공정에 의해 구현 가능한 최소 피처의 폭일 수 있다. 제 2 마스크 패턴들(72)의 피치는 제 1 마스크 패턴들(42)의 피치와 실질적으로 동일할 수 있다. 또한, 제 2 마스크 패턴들(72)의 폭이 제 1 마스크 패턴들(42)의 폭과 실질적으로 동일할 수 있다.
일 실시예에서, 제 2 마스크 패턴들(72)을 형성할 때, 제 2 마스크 패턴들(72)이 제 1 마스크 패턴들(42)과 동일한 물질로 형성되는 경우, 오버 에치(over etch)에 의해 제 1 마스크 패턴들(42)의 두께가 감소될 수도 있다. 이 때, 제 1 마스크 패턴들(42)의 두께가 제 2 마스크 패턴들(72)의 두께보다 크므로, 제 1 마스크 패턴들(42)이 제 2 마스크 패턴들(72) 사이의 제 1 희생막(30) 상에 잔류할 수 있다.
다른 실시예에서, 제 2 마스크 패턴들(72)과 제 1 마스크 패턴들(42)의 두께가 실질적으로 동일할 경우, 제 2 마스크 패턴들(72)이 제 1 마스크 패턴들(42)에 대해 식각 선택비를 갖는 물질로 형성됨으로써, 제 1 마스크 패턴들(42)이 제 2 마스크 패턴들(72) 사이의 제 1 희생막(30) 상에 잔류할 수 있다. 즉, 제 2 마스크 패턴들(72)에 의해 제 1 희생막(30)이 노출되지 않는다.
한편, 제 2 포토레지스트 패턴들(84) 및 제 2 반사 방지 패턴들(82)은 제 2 마스크 패턴들(72) 및 후속해서 제 2 희생막들(62)을 식각하는 동안에 제거될 수 있다.
도 6 내지 도 7을 참조하면, 제 1 및 제 2 마스크 패턴들(42, 72)을 이용하여 제 1 및 제 2 희생막들(30, 62)을 식각한다.
보다 상세히 설명하면, 도 6에 도시된 바와 같이, 제 1 및 제 2 마스크 패턴들(42, 72)을 이용하여 제 2 희생막(62)을 선택적으로 이방성 식각함으로써, 제 2 희생 패턴들(64)이 형성될 수 있다. 구체적으로, 제 2 희생막(62)을 식각하는 공정은 에치백(etch back) 공정과 같은 이방성 식각 공정이 수행될 수 있다. 이 때, 플로린 계열의 식각 가스를 이용하여, 탄소를 주성분으로 하는 제 2 희생막(62)을 식각할 수 있다. 상세하게, 제 2 희생막(62)을 이방성 식각하는 동안, 플로린 계열의 식각 가스와 O-2의 혼합 가스, 또는 플로린 계열의 식각 가스와, O2 및 Ar의 혼합 가스가 이용될 수 있다. 여기서, 플로린 계열의 식각 가스로는 C3F6, C4F6, C4F8, 또는 C5F8가 이용될 수 있다. 또한, 플로린 계열의 식각 가스와 함께 공급되는 O2 가스는 식각 공정 중에 발생되는 폴리머 부산물을 제거할 수 있으며, Ar 가스는 캐리어 가스로서 이온 충돌을 발생시킨다. 또한, 제 1 희생막(30)을 건식 식각하는 공정은 약 50V 내지 200V의 DC 전압 조건, 약 60℃ 이하의 온도 조건 및 약 2000sccm 이하의 유량 조건에서 수행될 수 있다. 또한, 일 실시예에 따르면, 제 2 희생막(62)을 건식 식각하는 공정은 식각 가스의 플라즈마를 발생시켜 플라즈마 분위기에서 식각될 수 있다. 예를 들어, 건식 플라즈마 식각 공정은 ICP(inductively coupled plasma) 방식 또는 이중 주파수 CCP(dual frequency capacitively coupled plasma) 방식의 플라즈마 식각 설비를 이용하여 수행될 수 있다.
이와 같이, 제 1 및 제 2 마스크 패턴들(42, 72)에 의해 노출된 제 2 희생막(62)의 소정 영역을 식각함에 따라, 하나의 트렌치(T) 내에 복수 개의 제 2 희생 패턴들(64)이 형성될 수 있다. 즉, 트렌치(T) 내에 제 2 희생 패턴들(64)이 국소적으로 형성될 수 있다. 그리고, 트렌치(T) 내에서 제 2 희생 패턴들(64)의 간격은 제 1 마스크 패턴들(42)의 간격과 실질적으로 동일할 수 있다. 또한, 제 2 마스크 패턴(72) 아래에 배치된 제 2 희생 패턴들(64)은 제 1 희생막(30)에 의해 서로 이격될 수 있다. 그리고, 제 2 마스크 패턴들(72) 아래에서 제 2 희생 패턴들(64) 한 쌍의 양측벽들이 제 1 희생막(30)과 직접 접촉될 수 있다. 다시 말해, 제 2 마스크 패턴들(72) 아래에서 제 2 희생 패턴들(64)의 간격은 제 1 마스크 패턴들(42)의 폭과 실질적으로 동일할 수 있다.
이와 같이, 제 2 희생 패턴들(64)을 형성함에 따라, 제 2 희생 패턴들(64) 사이에는 제 1 희생막(30)을 노출시키는 개구부들(O)이 정의될 수 있다. 즉, 제 1 및 제 2 마스크 패턴들(42, 72)에 의해 노출되는 영역에 제 1 희생막(30)을 노출시키는 개구부들(O)이 형성될 수 있다. 개구부(O)에 의해 노출된 영역의 평면적은 제 1 마스크 패턴들(42)의 간격과, 제 2 마스크 패턴들(72)의 간격에 따라 달라질 수 있다. 그리고, 개구부들(O) 간의 간격은 제 1 마스크 패턴들(42)의 폭과 제 2 마스크 패턴들(72)의 폭에 따라 달라질 수 있다.
이어서, 도 7을 참조하면, 제 1 및 제 2 마스크 패턴들(42, 72)을 이용하여 제 1 희생막(30)을 패터닝한다. 이에 따라 하부막(20) 상에 제 1 희생 패턴(32)이 형성될 수 있다.
상세히 설명하면, 제 1 희생 패턴(32)은 제 1 및 제 2 마스크 패턴들(42, 72)을 이용하여 제 1 희생막(30)을 이방성 식각함으로써 형성될 수 있다. 일 실시예에 따르면, 제 1 희생 패턴(32)은 제 2 희생 패턴들(64)을 형성하는 식각 공정을 하부막(20)이 노출될 때까지 연속적으로 수행하여 형성될 수 있다. 이에 따라, 제 2 희생 패턴(64)에 의해 정의된 개구부(O) 아래에 하부막(20)을 노출시키는 상부 개구부(UH)가 형성될 수 있다.
이와 같이, 제 1 및 제 2 희생 패턴들(32, 64)을 형성하는 식각 공정에서, 제 1 및 제 2 마스크 패턴들(42, 72)은 제 1 및 제 2 희생 패턴들(32, 64)에 대해 약 10: 1 이상의 높은 식각 선택비를 가질 수 있다. 이에 따라, 제 1 희생막(30)의 두께를 유지하면서 미세한 폭을 갖는 홀들이 형성될 수 있다. 즉, 얇은 두께의 제 1 및 제 2 마스크 패턴들(42, 72)을 이용하여 종횡비가 큰 상부 개구부들(UH)을 갖는 제 1 희생 패턴(32)을 형성할 수 있다. 또한, 제 1 및 제 2 마스크 패턴들(42, 72)은 제 1 및 제 2 희생 패턴들(32, 64)에 대해 약 10: 1 이상의 높은 식각 선택비를 가지므로, 제 1 희생 패턴(32)을 형성할 때 인접한 상부 개구부들(UH)이 서로 연결되거나, 상부 개구부(UH)에 의해 하부막(20)이 노출되지 않는 현상을 방지할 수 있다.
이와 같이 형성된 제 1 희생 패턴(32)은 라인 형태를 갖는 제 1 부분들(32a)과 제 1 부분들(32a) 사이에서 서로 이격된 제 2 부분들(32b)을 포함한다. 이 때, 하부막(20) 상에서 제 1 부분들(32a)의 높이와 제 2 부분들(32b)의 높이가 다를 수 있다. 즉, 제 1 희생 패턴(32)에서 제 1 부분(32a)의 상면은 제 2 부분(32b)의 상면보다 위에 위치할 수 있다. 다시 말해, 제 1 부분(32a)과 제 2 부분(32b) 사이에 높이 차가 존재한다. 또한, 제 1 희생 패턴(32)의 제 2 부분들(32b) 상에 제 2 희생 패턴(64)이 위치 할 수 있다. 여기서, 제 2 희생 패턴(64)의 높이는 제 1 부분들(32a)과 제 2 부분들 간의 높이 차보다 클 수 있다. 이에 따라, 제 2 희생 패턴(64)의 상면이 제 1 희생 패턴(32)의 제 1 부분(32a)의 상면보다 위에 위치할 수 있다.
도 8을 참조하면, 제 1 및 제 2 마스크 패턴들(42, 72)이 제거될 수 있다. 이 때, 하부막(20) 상에 제 1 및 제 2 희생 패턴들(32, 64)로 구성된 마스크 구조체(MS)가 잔류할 수 있다.
상세히 설명하면, 제 1 및 제 2 마스크 패턴들(42, 72)은 건식 식각 또는 습식 식각 공정에 의해 제거될 수 있다. 이 때, 제 1 및 제 2 마스크 패턴들(42, 72)은 제 1 및 제 2 희생 패턴들(32, 64)에 대해 높은 식각 선택비를 가지므로, 제 1 및 제 2 희생 패턴들(32, 64) 상에서 제 1 및 제 2 마스크 패턴들(42, 72)을 선택적으로 제거할 수 있다. 그리고, 제 1 및 제 2 마스크 패턴들(42, 72)이 동일한 물질로 형성된 경우, 식각 공정에 의해 제 1 및 제 2 마스크 패턴들(42, 72)이 동시에 식각될 수 있다. 이와 달리, 제 1 및 제 2 마스크 패턴들(42, 72)이 서로 다른 물질로 형성된 경우, 제 1 및 제 2 마스크 패턴들(42, 72)이 순차적으로 식각될 수 있다. 또한, 제 1 및 제 2 마스크 패턴들(42, 72)을 제거하는 동안, 도 8에 도시된 것처럼, 제 1 및 제 2 희생 패턴들(32, 64)에 의해 노출된 하부막(20)의 일부분이 식각될 수도 있다.
한편, 일 실시예에 따르면, 제 1 및 제 2 마스크 패턴들(42, 72)은, 별도의 식각 공정 없이, 제 1 및 제 2 희생 패턴들(32, 64)을 이용하여 하부막(20)을 패터닝하는 동안에 제거될 수도 있다. 다른 실시예에 따르면, 제 1 및 제 2 마스크 패턴들(42, 72)은, 별도의 식각 공정 없이, 제 1 및 제 2 희생 패턴들(32, 64)과 함께 하부막(20)을 패터닝하는데 이용될 수도 있다.
제 1 및 제 2 희생 패턴들(32, 64)은 탄소를 주성분으로 하는 물질로 이루어져 있으므로, 제 1 및 제 2 희생 패턴들(32, 64)은 우수한 식각 내성을 가질 수 있다. 그러므로, 두꺼운 하부막을 패터닝할 때, 제 1 및 제 2 희생 패턴들(32, 64)이 하드 마스크로 이용될 수 있다.
이와 같이 형성된 마스크 구조체(MS)는 탄소를 포함하는 제 1 및 제 2 희생 패턴들(32, 64)로 구성될 수 있다. 앞에서 설명한 것처럼, 제 1 및 제 2 희생 패턴들(32, 64)에 의해 상부 개구부들(UH)이 정의될 수 있다. 그리고, 제 1 희생 패턴(32)은 제 1 부분들 (32a)과 제 1 부분들(32a) 보다 높이가 작은 제 2 부분들(32b)로 구성될 수 있으며, 제 1 희생 패턴(32)의 제 2 부분들(32b) 상에 제 2 희생 패턴들(64)이 위치한다. 그리고, 제 2 희생 패턴(64)의 높이는 제 1 부분(32a)과 제 2 부분(32b) 간의 높이 차보다 클 수 있다. 이에 따라, 제 2 희생 패턴(64)의 상면이 제 1 희생 패턴(32)의 제 1 부분(32a)의 상면보다 위에 위치한다.
탄소를 포함하는 제 1 및 제 2 희생 패턴들(32, 64)은 식각 내성 또는 식각 선택성이 우수하므로, 하부막(20)을 식각할 때 제 1 및 제 2 희생 패턴들(64)이 쓰러지거나, 두께가 감소되는 것이 억제될 수 있다. 이에 따라, 제 1 및 제 2 희생 패턴들(64)을 이용하여 미세 선폭을 가지며 종횡비가 큰 패턴들을 안정적으로 형성할 수 있다.
이어서, 도 9를 참조하면, 본 발명의 일 실시예에 따라 형성된 마스크 구조체(MS)를 식각 마스크로 이용하여 하부막(20)이 패터닝될 수 있다. 즉, 마스크 구조체(MS)에 형성된 상부 개구부들(UH)이 하부막(20)에 전사됨으로써, 하부막(20) 하부 개구부들(LH)이 형성될 수 있다.
상세하게, 하부 패턴(22)은 도 9에 도시된 것처럼, 매트릭스 형태로 배열된 하부 개구부들(LH)을 가질 수 있다. 즉, 격자(또는 그물망) 형상의 상면을 갖는 하부 패턴(22)이 형성될 수 있다. 상세하게, 하부 패턴(22)은 일 방향(y축 방향)으로 연장되는 제 1 부분들(22a)과, 제 1 부분들(22a) 사이에서 서로 이격되어 국소적으로 배치되는 제 2 부분들(22b)을 포함할 수 있다. 이와 같이, 탄소를 포함하는 제 1 및 제 2 희생 패턴들(32, 64)을 이용함으로써, 약 2:1 내지 16:1의 종횡비를 갖는 하부 개구부들(LH)이 하부막(20)에 형성될 수도 있다. 또한, 일 실시예에서 하부 개구부들(LH)은 약 10nm 내지 40nm의 폭을 가질 수 있다.
하부막(20)에 형성되는 하부 개구부들(LH)의 평면적은 도 1 및 도 6을 참조하여 설명된 제 1 및 제 2 마스크 패턴들(42, 72)의 피치와 선폭에 따라 달라질 수 있다. 일 실시예에 따르면, 도 1 및 도 6에서, 제 1 및 제 2 마스크 패턴들(42, 72)의 피치와 선폭이 실질적으로 동일할 수 있다. 이와 달리, 제 1 마스크 패턴들(42)의 피치와 선폭은 제 1 마스크 패턴들(42)의 피치와 선폭과 다를 수 있다.
이와 같이 하부 패턴(22)을 형성한 후에 마스크 구조체(MS)는 애싱(ashing) 공정 및/또는 스트리핑(stripping) 공정에 의해 제거될 수 있다.
이하, 도 10 내지 도 13을 참조하여, 본 발명의 제 2 실시예에 따른 미세 패턴 형성 방법에 대해 상세히 설명한다.
도 10 내지 도 13은 본 발명의 제 2 실시예에 따른 미세 패턴 형성 방법을 순서대로 나타내는 사시도들이다. 도 1 내지 도 9에 도시된 실시예와 실질적으로 동일한 구성 요소에 대해서는 동일한 도면 부호를 사용하며, 해당 구성 요소에 대한 상세한 설명은 생략하기로 한다.
다른 실시예에 따르면, 도 1을 참조하여 설명한 것처럼, 기판(10) 상에 하부막(20) 및 제 1 희생막을 순서대로 형성하고, 제 1 희생막 상에 제 1 마스크 패턴들(42)을 형성한다. 제 1 마스크 패턴들(42)은 라인 형태를 가질 수 있으며, 서로 평행하게 소정 간격 이격되어 배치될 수 있다.
이어서, 도 2를 참조하여 설명한 것처럼, 제 1 마스크 패턴들(42)을 식각 마스크로 이용하여 제 1 희생막을 식각한다. 이 때, 식각 공정은 제 1 희생막은 하부막(20)이 노출될 때까지 식각될 수 있다. 이에 따라, 도 10에 도시된 것처럼, 하부막(20) 상에 라인 형태의 제 1 희생 패턴들(31)이 형성될 수 있다.
다음으로, 도 11을 참조하면, 라인 형태의 제 1 희생 패턴들(31) 사이에 제 2 희생막들(62)을 형성한다.
제 2 희생막들(62)을 형성하는 것은 도 3 및 도 4를 참조하여 설명한 것처럼, 스핀 온 코팅 방법을 이용하여 제 1 희생 패턴들(31) 사이를 채우는 코팅막을 형성하는 것과, 제 1 마스크 패턴들(42)이 노출될 때까지 코팅막을 에치 백하는 것을 포함한다.
이와 같이 형성된 제 2 희생막들(62)은 제 1 희생 패턴들(31) 사이에 배치되며 하부막(20)의 상면과 직접 접촉될 수 있다. 그리고, 제 2 희생막들(62)의 폭은 제 1 희생 패턴들(31) 간의 간격과 동일할 수 있다. 또한, 제 2 희생막들(62)과 제 1 희생 패턴들(31) 사이에는 제 1 마스크 패턴들(42)의 두께에 해당하는 높이 차가 존재할 수 있다.
도 12를 참조하면, 도 5를 참조하여 설명한 것처럼, 제 2 희생막 상에 제 1 마스크 패턴들(42)을 가로지르는 제 2 마스크 패턴들(72)이 형성될 수 있다. 이어서, 제 1 및 제 2 마스크 패턴들(42, 72)을 이용하여 제 2 희생막을 패터닝함으로써 제 2 희생 패턴들(64)을 형성한다. 이 실시예에서, 제 2 희생 패턴들(64)을 형성하기 위한 이방성 식각 공정은 하부막(20)의 상면이 노출될 때가지 수행될 수 있다. 이에 따라, 제 2 희생 패턴들(64)은 인접하는 한 쌍의 제 1 희생 패턴들(31) 사이에서 서로 이격되어 형성될 수 있다.
도 13을 참조하면, 제 1 및 제 2 마스크 패턴들(42, 72)이 제거되어, 라인 형태의 제 1 희생 패턴들(31)과, 매트릭스 형태로 배열된 제 2 희생 패턴들(64)로 구성된 마스크 구조체(MS)가 하부막(20) 상에 형성될 수 있다. 이와 같이 형성된 마스크 구조체(MS)는 도 9를 참조하여 설명한 것처럼, 하부막(20)을 패터닝하는데 이용될 수 있다. 이와 달리, 마스크 구조체(MS)와 함께 제 1 및 제 2 마스크 패턴들(42, 72)이 하부막(20)을 패터닝하는데 이용될 수도 있다.
이하, 도 14 내지 도 16을 참조하여, 제 1 및 제 2 실시예들에 따른 미세 패턴 형성 방법을 이용하여 형성된 다양한 형태의 미세 패턴들에 대해 설명한다.
도 14 내지 도 16은 본 발명의 제 1 및 제 2 실시예들에 따른 미세 패턴 형성 방법에 의해 형성된 다양한 형태의 미세 패턴들을 나타내는 사시도들이다.
도 14 내지 도 16을 참조하면, 기판(10) 상에 하부 개구부들(LH)을 갖는 하부 패턴(22)이 형성될 수 있다. 하부 패턴(22)은 상술한 것처럼, 반도체 물질, 절연 물질 및 도전 물질로 형성될 수 있다. 또한 하부 패턴(22)은 복수 개의 막들이 적층된 적층막일 수 있다. 하부 패턴(22)는 도 9를 참조하여 설명한 것처럼, 일 방향(y축 방향)으로 연장되는 제 1 부분들(22a)과, 제 1 부분들(22a) 사이에서 서로 이격되어 국소적으로 배치되는 제 2 부분들(22b)을 포함할 수 있다.
도 14에 도시된 실시예에 따르면, 하부 패턴(22)은 2차원적으로 배열되는 하부 개구부들(LH)을 정의할 수 있다. 하부 패턴(22)에 정의된 하부 개구부들(LH)은 제 1 방향(x축 방향)에서의 폭과, 제 1 방향에 수직한 제 2 방향(y축 방향)에서의 폭이 서로 다를 수 있다. 이 실시예에 따르면, 하부 패턴(22)에서, 제 1 부분들(22a) 간의 피치(P1)와 제 2 부분들(22b) 간의 피치(P2)가 서로 다를 수 있다. 그리고, 제 1 방향에서 하부 개구부들(LH) 간의 간격과, 제 2 방향에서 하부 개구부들(LH) 간의 간격은 실질적으로 동일할 수 있다.
이와 같은 하부 패턴(22)을 형성하기 위해, 도 1 내지 도 9에서, 제 1 마스크 패턴들(42)의 피치(P1)와, 제 2 마스크 패턴들(72)의 피치(P2)가 서로 다르게 형성될 수 있다. 그리고, 제 1 마스크 패턴들(42)의 폭과 제 2 마스크 패턴들(72)의 폭은 실질적으로 동일할 수 있다.
도 15에 도시된 실시예에 따르면, 제 1 방향에서 하부 개구부들(LH) 간의 간격과, 제 2 방향에서 하부 개구부들(LH) 간의 간격이 서로 다를 수 있다. 다시 말해, 하부 패턴(22)에서 제 1 부분들(22a)의 폭(W1)과, 제 2 부분들(22b)의 폭(W2)이 서로 다를 수도 있다.
이와 같은 하부 패턴(22)을 형성하기 위해, 도 1 내지 도 9에서, 제 1 마스크 패턴(42)의 선폭(W1)과, 제 2 마스크 패턴들(72)의 피치의 선폭(W2)이 다르게 형성될 수 있다.
도 16에 도시된 실시예에 따르면, 실질적으로 마름모 형상의 하부 개구부(LH)를 정의하는 하부 패턴(22)이 기판(10) 상에 형성될 수 있다. 즉, 하부 패턴(22)에서, 제 2 부분들(22b)은 평면적으로 제 1 부분들(22a)에 대해 소정 각도를 가질 수 있다. 다시 말해, 제 1 부분들(22a)과 제 2 부부들(22b)은 서로 비평행(non-parallel)하고, 비수직(non-perpendicular)하게 형성될 수 있다.
이와 같은 하부 패턴(22)을 형성하기 위해, 도 1 내지 도 9에서, 평면적으로 제 1 마스크 패턴(42)에 대해 소정 각도를 갖는 제 2 마스크 패턴(72)이 형성될 수 있다. 즉, 제 2 마스크 패턴(72)은 평면적으로 제 1 마스크 패턴(42)에 대해 0도 이상 90도 이하 또는 90도 이상 180 이하의 각도를 가질 수 있다.
이하, 도 17a 내지 도 17g를 참조하여, 발명의 제 3 실시예에 따른 미세 패턴 형성 방법에 대해 상세히 설명한다. 도 17a 내지 도 17g 본 발명의 제 3 실시예에 따른 미세 패턴 형성 방법을 나타내는 사시도들이다. 도 1 내지 도 9에 도시된 실시예와 실질적으로 동일한 구성 요소에 대해서는 동일한 도면 부호를 사용하며, 해당 구성 요소에 대한 상세한 설명은 생략하기로 한다.
도 17a 내지 도 17g에 도시된 실시예에 따르면, 하부막(20) 상에 형성되는 마스크 구조체(MS)에 의해 라인 형상의 하부 개구부들(LH)이 하부막(20)에 정의될 수 있다.
도 17a를 참조하면, 하부막(20) 상에 제 1 희생막(30)이 형성될 수 있으며, 제 1 희생막(30) 상에는 일 방향으로 연장된 라인 형상의 제 1 마스크 패턴들(42)이 형성될 수 있다. 제 1 마스크 패턴들(42)은 도 1을 참조하여 설명한 것처럼, 제 1 희생막(30)을 식각하는 공정에서 제 1 희생막(30)에 대해 식각 선택비를 가질 수 있는 물질로 형성될 수 있다. 이 실시예에서, 제 1 마스크 패턴들(42)은 폭이 서로 다른 제 1 영역과 제 2 영역을 포함한다. 그리고, 제 1 마스크 패턴들(42)의 최소 선폭은 포토리소그래피 공정에서의 해상도에 의해 구현될 수 있는 최소 선폭일 수 있다. 제 1 마스크 패턴들(42) 사이의 간격은 제 1 마스크 패턴들(42)의 선폭의 약 2배 이하일 수 있다.
이어서, 제 1 마스크 패턴들(42)을 이용하여 제 1 희생막(30)에 트렌치들(T)이 정의될 수 있다. 트렌치들(T)을 형성하는 것은, 도 2를 참조하여 설명한 것처럼, 제 1 마스크 패턴들(42)을 식각 마스크로 이용하여 제 1 희생막(30)을 이방성 식각하는 것을 포함한다. 제 1 마스크 패턴들(42)은 소정 영역들에서 서로 다른 폭을 가지므로, 제 1 희생막(30)에 정의되는 트렌치(T)의 폭 또한 소정 영역들에서 서로 다를 수 있다.
도 17b를 참조하면, 제 1 희생막(30)에 정의된 트렌치들(T) 내에 제 2 희생막들(62)을 형성한다. 제 2 희생막들(62)을 형성하는 것은, 도 3 및 도 4를 참조하여 설명한 것처럼, 스핀 온 코팅 방법을 이용하여 탄소 주성분으로 하는 물질로 이루어진 코팅막을 제 1 마스크 패턴들(42) 상에 형성하고, 제 1 마스크 패턴들(42)이 노출될 때까지 코팅막을 이방성 식각함으로써 형성될 수 있다. 이 실시예에서, 트렌치들(T) 내에 채워진 제 2 희생막들(62) 또한 소정 영역들에서 서로 다른 폭을 가질 수 있다.
도 17c를 참조하면, 제 2 희생막들(62) 상에 제 2 마스크 패턴들(72)을 형성한다. 즉, 제 2 마스크 패턴들(72)은 제 1 마스크 패턴들(42)보다 위에 위치할 수 있다.
제 2 마스크 패턴들(72)은 도 5를 참조하여 설명한 것처럼, 화학기상증착 공정과 같은 증착 방법을 이용하여 형성될 수 있으며, 제 1 및 제 2 희생막들(30, 62)에 대해 식각 선택비를 갖는 물질로 형성될 수 있다. 이와 달리, 제 2 마스크 패턴들(72)은 제 1 마스크 패턴들(42)과 동일한 물질로 형성될 수 있다.
이 실시예에서, 제 2 마스크 패턴들(72)은 인접하는 한 쌍의 제 1 마스크 패턴들(42) 사이에서 제 1 마스크 패턴들(42)과 나란하게 형성될 수 있다. 그리고, 제 2 마스크 패턴들(72)의 폭은 제 2 희생막들(62)의 폭보다 작을 수 있다. 그러므로, 제 2 마스크 패턴들(72)에 의해 제 2 희생막들(62)의 상면 일부분이 노출될 수 있다.
이 실시예에서, 제 2 마스크 패턴들(72)은 제 1 마스크 패턴들(42)처럼 소정 영역들에서 서로 다른 선폭을 가질 수 있다. 이와 달리, 제 2 마스크 패턴들(72)은 균일한 선폭을 가질 수도 있다.
이 실시예에서, 제 1 또는 제 2 마스크 패턴들(42, 72)의 피치는, 서로 인접하는 제 1 마스크 패턴들(42)과 제 2 마스크 패턴들(72) 사이의 최대 간격보다 클 수 있다. 이에 따라, 제 1 또는 제 2 마스크 패턴들(42, 72)을 형성하는 포토리소그래피 공정시 공정 마진이 향상될 수 있다.
도 17d 및 도 17e를 참조하면, 제 1 및 제 2 마스크 패턴들(42, 72)을 이용하여 제 1 및 제 2 희생 패턴들(34, 64)을 형성할 수 있다.
상세히 설명하면, 도 6을 참조하여 설명한 것처럼, 제 1 및 제 2 마스크 패턴들(42, 72)을 식각 마스크로 이용하여 제 1 및 제 2 마스크 패턴들(42, 72) 사이에 노출된 제 2 희생막들(62)을 선택적으로 식각한다. 이에 따라 제 2 마스크 패턴들(72)이 전사된 제 2 희생 패턴들(64)이 형성될 수 있다.
제 2 희생 패턴들(64)을 형성한 후, 연속적으로 제 1 희생막(30)에 대한 식각 공정이 진행될 수 있다. 이에 따라, 도 17e에 도시된 것처럼, 제 1 및 제 2 마스크 패턴들(42, 72)이 전사된 제 1 희생 패턴들(34)이 형성될 수 있다. 구체적으로, 제 1 희생막(30)은 높이가 서로 다른 라인 형상의 제 1 및 제 2 패턴들(34a, 34b)로 분리될 수 있다. 제 1 희생 패턴들(34)은, 제 1 패턴들(34a)과 제 1 패턴들(34a)보다 높이가 작은 제 2 패턴들(34b)로 구성될 수 있다. 또한, 제 2 패턴(34b)은 인접하는 제 1 패턴들(34a) 사이에 배치되며, 제 2 패턴들(34b) 상에는 제 2 희생 패턴들(64)이 위치한다. 또한, 이 실시예에 따르면, 제 1 및 제 2 패턴들(34a, 34b)은 제 1 폭을 갖는 제 1 영역과, 제 1 폭보다 큰 제 2 폭을 갖는 제 2 영역을 포함할 수 있다.
이 실시예에 따르면, 인접하는 제 1 희생 패턴들(34)과 제 2 희생 패턴들(64) 사이의 간격은 제 1 또는 제 2 마스크 패턴들(42, 72)의 피치보다 작을 수 있다. 그리고, 제 1 및 제 2 희생 패턴들(34, 64) 사이의 최소 간격은 포토리소그래피 공정에서 최소 피처의 폭보다 작을 수 있다. 또한, 제 1 희생 패턴들(34)과 제 2 희생 패턴들(64) 사이의 간격은 소정 영역들에서 서로 다를 수 있다.
이와 같이, 제 1 및 제 2 희생 패턴들(34, 64)을 형성함에 따라, 하부막(20) 상에는 실질적으로 라인 형태의 상부 개구부들(UH)을 갖는 마스크 구조체가 형성될 수 있다. 그리고, 상부 개구부들(UH)은 제 1 및 제 2 희생 패턴들(34, 64) 사이에 하부막(20)을 노출시킬 수 있다. 마스크 구조체에 정의된 상부 개구부들(UH)의 폭은 소정 영역들에서 서로 다르게 형성될 수 있다.
이어서, 도 17f를 참조하면, 실질적으로 라인 형태의 상부 개구부들(UH)을 갖는 마스크 구조체를 이용하여 하부막(20)이 패터닝될 수 있다. 즉, 마스크 구조체에 정의된 상부 개구부들(UH)이 하부막(20)에 전사됨으로써, 하부막(20)에 하부 개구부들(LH)이 형성될 수 있다.
이후, 하부 패턴(22)을 형성한 후에 마스크 구조체(MS)는 애싱(ashing) 공정 및/또는 스트리핑(stripping) 공정에 의해 제거될 수 있다.
이와 같이 형성된 하부 패턴들(22)은 도 17g에 도시된 것처럼, 서로 나란하게 배치되는 라인 패턴들일 수 있다. 하부 패턴들(22) 각각은 서로 다른 폭을 갖는 제 1 및 제 2 영역들을 포함할 수 있다. 인접하는 하부 패턴들(22) 간의 간격은 하부 패턴들(22)의 폭보다 작을 수 있다. 즉, 하부 패턴들 간의 간격은 포토리소그래피 공정의 한계 해상도에서 구현되는 최소 선폭보다 작을 수 있다.
도 18은 본 발명의 실시예들에 따른 미세 패턴의 형성 방법에 따라 형성된 하부 패턴을 보여주는 SEM 이미지이다. 도 18에서 하부 패턴은 하부 개구부들이 정의된 절연 패턴이다. 도 18을 참조하면, 본 발명의 실시예들에 따른 미세 패턴의 형성 방법을 이용하여 형성된 하부 개구부들(LH)은 약 30nm의 폭을 갖는다. 또한, 하부 개구부들(LH)은 약 8:1의 종횡비를 갖는다.
이하, 본 발명의 실시예들에 따른 미세 패턴의 형성 방법을 이용한 반도체 소자의 제조 방법에 대해 설명한다. 본 명세서에서 언급하는 반도체 소자는 DRAM(Dynamic Random Access Memory), SRAM(Static RAM), PRAM(Phase change RAM), RRAM(Resistance RAM), MRAM(Magnetic RAM), FRAM(Ferroelectric RAM) 및 플래시(Flash) 메모리 등의 고집적 반도체 메모리 소자, MEMS(Micro Electro Mechanical Systems) 소자, 광전자(optoelectronic) 소자, 또는 CPU, DSP 등의 프로세서 등을 포함한다. 또한, 반도체 소자는 동일 종류의 반도체 소자로만 구성될 수도 있고, 하나의 완전한 기능을 제공하기 위해서 필요한 서로 다른 종류의 반도체 소자들로 구성된 SOC(System On Chip)와 같은 단일 칩 데이터 처리 소자일 수도 있다.
도 19는 본 발명의 실시예들을 이용하여 형성된 DRAM 소자의 셀 영역을 나타내는 평면도이다. 도 20a 내지 도 20g는 본 발명의 실시예들에 따른 미세 패턴 형성 방법을 이용하여 DRAM 소자를 형성하는 방법을 나타내는 단면도들로서, 도 19의 Ⅰ-Ⅰ', Ⅱ-Ⅱ' 및 Ⅲ-Ⅲ'선을 따라 자른 단면들 및 주변 회로 영역의 단면을 나타낸다.
도 19 및 도 20a를 참조하면, 셀 영역 및 주변회로 영역(PERI)을 포함하는 반도체 기판(100)이 제공된다. 반도체 기판(100)은 벌크(bulk) 실리콘 기판, 실리콘-온-인슐레이터(silicon on insulator: SOI) 기판, 게르마늄 기판, 게르마늄-온-인슐레이터(germanium on insulator: GOI) 기판, 실리콘-게르마늄 기판, 또는 선택적 에피택셜 성장(selective epitaxial growth: SEG)을 수행하여 획득한 에피택셜 박막의 기판일 수 있다.
반도체 기판(100) 내에 활성 영역들(ACT)을 정의하는 소자 분리막(102)이 형성된다. 활성 영역의 반도체 기판(100)에는 리세스 영역들이 형성될 수 있다. 리세스 영역들은 반도체 기판(100)의 표면으로부터 소정 깊이 리세스되고, 활성 영역(ACT)을 가로지르도록 배치될 수 있다. 리세스 영역 내에는 게이트 절연막을 개재하여 워드 라인들(WL)이 형성된다. 워드 라인들(WL)은 리세스 영역 내에 매립될 수 있다. 즉, 워드 라인들(WL)의 상면이 반도체 기판(100) 상면보다 낮은 레벨에 위치할 수 있다. 그리고, 워드 라인이 형성된 리세스 영역에는 절연 물질이 채워질 수 있다.
워드 라인들(WL) 양측의 활성영역에는 소오스 및 드레인 영역들(101)이 형성될 수 있다. 소오스 및 드레인 영역들(101)은 불순물이 도핑된 불순물 영역일 수 있다. 또한, 소오스 및 드레인 영역들(101)은 불순물이 도핑된 에피택셜층일 수 있으며, 에피택셜층은 반도체 기판(100) 표면 위로 융기(elevated)될 수도 있다.
이와 같이, 워드 라인들(WL) 및 소오스 및 드레인 영역들(101)을 형성함에 따라 반도체 기판(100)에는 복수개의 모스 트랜지스터들이 형성될 수 있다.
다음으로, 워드 라인들(WL)을 가로지르는 비트 라인들(BL)이 반도체 기판(100) 상에 형성될 수 있다. 비트 라인들(BL)은 반도체 기판(100) 상에 절연막을 개재하여 형성될 수 있으며, 활성 영역(ACT)과 비트 라인(BL) 사이에는 소오스 및 드레인 영역들(101)과 비트 라인(BL)을 전기적으로 연결하는 도전 패턴들(113)이 형성될 수 있다.
한편, 주변회로 영역(PERI)의 반도체 기판(100) 상에는 주변 게이트 전극(PG)이 형성될 수 있다. 주변 게이트 전극(PG)은 게이트 절연막, 게이트 도전 패턴 및 캐핑막이 차례로 적층될 수 있다. 게이트 전극 양측에는 측벽 스페이서들이 형성될 수 있다. 또한, 주변 게이트 전극(PG) 양측의 활성영역에는 소오스 및 드레인 영역들(101)이 형성될 수 있다.
워드 라인들(WL), 비트 라인들(BL) 및 주변 게이트 전극들(PG)이 형성된 반도체 기판(100) 상에는 제 1 층간 절연막(120)이 형성될 수 있다. 또한, 제 1 층간 절연막(120)을 형성하기 전에, 비트 라인들(BL) 및 주변 게이트 전극들(PG)이 형성된 반도체 기판(100)을 컨포말하게 덮는 식각 정지막(115)이 형성될 수 있다.
제 1 층간 절연막(120)은 고밀도플라즈마(HDP) 산화막, TEOS(TetraEthylOrthoSilicate), PE-TEOS(Plasma Enhanced TetraEthylOrthoSilicate), O3-TEOS(O3-Tetra Ethyl Ortho Silicate), USG(Undoped Silicate Glass), PSG(PhosphoSilicate Glass), BSG(Borosilicate Glass), BPSG(BoroPhosphoSilicate Glass), FSG(Fluoride Silicate Glass), SOG(Spin On Glass), TOSZ(Tonen SilaZene) 또는 이들의 조합으로 이루어질 수 있다. 또한, 제 1 층간 절연막(120)은 실리콘 질화물, 실리콘 산질화물 또는 낮은 유전율을 가지는 low-k 물질로 이루어질 수도 있다. 그리고, 식각 정지막(115)은 제 1 층간 절연막(120)을 식각하는 동안에 식각 선택비를 갖는 물질로 형성될 수 있으며, 예를 들어, 식각 정지막(115)은 실리콘 질화막 또는 실리콘 산질화막으로 형성될 수 있다.
이어서, 도 20b 내지 도 20f를 참조하여, 제 1 층간 절연막(120)에 콘택 홀들(CH)을 형성한다.
상세하게, 도 20b를 참조하면, 제 1 층간 절연막(120) 상에 트렌치들이 정의된 제 1 희생막(130)을 형성한다. 예를 들어, 제 1 희생막(130)은 화학기상증착 방법을 이용하여 증착된 비정질 탄소층일 수 있다.
제 1 희생막(130)에 트렌치들을 형성하는 것은, 도 1 및 도 2를 참조하여 설명한 것처럼, 제 1 희생막(130) 상에 제 1 마스크 패턴들(142)을 형성하고, 제 1 마스크 패턴들(142)을 이용하여 제 1 희생막(130)의 일부분을 식각하는 것을 포함한다.
일 실시예에 따르면, 제 1 마스크 패턴들(142)은 셀 영역의 제 1 희생막(130) 상에서 워드 라인들(WL) 또는 비트 라인들(BL)과 평행할 수 있다. 일 실시예에서, 제 1 마스크 패턴들(142)은 비트 라인(BL)과 평행하게 형성될 수 있다. 또한, 제 1 마스크 패턴들(142)은 비트 라인들(BL) 상부에 형성될 수 있다. 즉, 평면적으로 제 1 마스크 패턴들(142)은 비트 라인(BL)과 중첩될 수 있다. 한편, 제 1 마스크 패턴들(142)은 주변회로 영역(PERI)의 제 1 희생막(130) 전면을 덮을 수 있다. 즉, 주변회로 영역(PERI)에서 제 1 희생막(130)이 노출되지 않는다.
다음으로, 도 20c를 참조하면, 제 1 희생막(130)에 정의된 트렌치들 각각에 제 2 희생막들(162)을 형성한다.
제 2 희생막들(162)을 형성하는 것은 도 3 및 도 4를 참조하여 설명한 것처럼, 제 1 마스크 패턴들(142) 상에 제 1 희생막(130)에 형성된 트렌치들을 채우는 코팅막을 형성하고, 제 1 마스크 패턴들(142)의 상면이 노출될 때까지 코팅막을 이방성 식각하여 형성될 수 있다. 트렌치(T) 내에 형성된 제 2 희생막들(162)은 워드 라인들(WL)을 가로지르는 라인 형태일 수 있다. 또한, 코팅막을 이방성 식각하여 제 2 희생막들(162)을 형성함에 따라, 주변회로 영역(PERI)의 제 1 희생막(130) 상에서 제 2 희생막들(162)이 제거될 수 있다.
도 20d를 참조하면, 제 1 마스크 패턴들(142)과 제 2 희생막들(162) 상에 제 2 마스크 패턴들(172)을 형성한다.
제 2 마스크 패턴들(172)은 도 5를 참조하여 설명한 것처럼, 제 1 마스크 패턴들(142) 및 제 2 희생막들(162)을 가로질러 형성될 수 있다. 일 실시예에서, 제 2 마스크 패턴들(172)은 워드 라인들(WL)과 평행할 수 있다. 또한, 제 2 마스크 패턴(172)은 평면적으로 워드 라인들(WL)과 중첩될 수 있다. 제 2 마스크 패턴들(172)은 제 1 마스크 패턴들(142) 및 제 2 희생막들(162)의 일부분과 직접 접촉될 수 있다.
또한, 제 2 마스크 패턴들(172)은 주변회로 영역(PERI)의 제 1 마스크 패턴(142) 전면을 덮을 수 있다. 즉, 주변회로 영역(PERI)에서 제 1 희생막(130)이 노출되지 않는다.
도 20e를 참조하면, 제 1 및 제 2 마스크 패턴들(142, 172)을 이용하여 제 1 및 제 2 희생막들(130, 162)을 패터닝함으로써, 제 1 층간 절연막(120)을 노출시키는 상부 개구부들(UH)이 정의된 마스크 구조체가 형성 수 있다. 일 실시예에 따르면, 소오스 및 드레인 영역들(101)의 상부에 상부 개구부들(UH)이 형성될 수 있다.
마스크 구조체는 도 8을 참조하여 설명한 것처럼, 탄소를 포함하는 제 1 및 제 2 희생 패턴들(132, 164)을 포함할 수 있다. 그리고, 제 1 및 제 2 희생 패턴들(132, 164)에 의해 상부 개구부들(UH)이 정의될 수 있다. 여기서, 마스크 구조체의 제 1 희생 패턴(132)은 제 1 부분들(132a)과 제 1 부분들(132a) 보다 높이가 작은 제 2 부분들(132b)을 포함할 수 있으며, 제 1 희생 패턴(132)의 제 2 부분들(132b) 상에 제 2 희생 패턴들(164)이 위치한다.
도 20f를 참조하면, 마스크 구조체를 이용하여 제 1 층간 절연막(120) 및 식각 정지막(115)을 순차적으로 이방성 식각함으로써 소오스 및 드레인 영역들(101)을 노출시키는 콘택 홀들(CH)이 형성될 수 있다. 콘택 홀들(CH)의 폭은 본 발명의 실시예들에 따른 미세 패턴 형성 방법에 의해 형성되므로, 포토리소그래피 공정에 의해 구현되는 최소 선폭보다 작을 수 있다. 다시 말해, 콘택 홀들(CH)의 폭은 워드 라인들(WL) 또는 비트 라인들(BL)의 선폭보다 작을 수 있다. 즉, 일 실시예에서, 콘택 홀들(CH)은 비트 라인들(BL)의 측벽 부분에 형성되는 식각 정지막(115)을 노출시키지 않는다.
한편, 일 실시예에 따르면, 콘택 홀들(CH)을 형성하기 전에, 제 1 및 제 2 마스크 패턴들(142, 172)을 제거하는 공정이 수행될 수 있다. 제 1 및 제 2 마스크 패턴들(142, 172)이 동일한 물질로 형성된 경우, 제 1 및 제 2 마스크 패턴들(142, 172)은 동시에 제거될 수 있다.
상세히 설명하면, 제 1 및 제 2 마스크 패턴들(142, 172)은 건식 식각 또는 습식 식각 공정에 의해 제거될 수 있다. 이 때, 제 1 및 제 2 마스크 패턴들(142, 172)은 제 1 및 제 2 희생 패턴들(132, 164)에 대해 높은 식각 선택비를 가지므로, 제 1 및 제 2 희생 패턴들(132, 164) 상에서 제 1 및 제 2 마스크 패턴들(142, 172)을 선택적으로 제거할 수 있다.
일 실시예에서, 제 1 및 제 2 마스크 패턴들(142, 172)이 동일한 물질로 형성된 경우, 제 1 및 제 2 마스크 패턴들(142, 172)이 직접 접촉하고 있으므로, 제 1 및 제 2 마스크 패턴들(142, 172)의 식각 공정이 인-시츄(in-situ)로 진행될 수 있다. 다른 실시예에서, 제 1 및 제 2 마스크 패턴들(142, 172)이 서로 다른 물질로 형성된 경우, 제 1 및 제 2 마스크 패턴들(142, 172)이 순차적으로 식각될 수 있다.
한편, 다른 실시예에 따르면, 제 1 및 제 2 마스크 패턴들(142, 172)을 제거하는 공정은 제 1 층간 절연막(120)에 콘택 홀들(CH)을 형성한 후에 수행될 수도 있다. 그러나, 식각 정지막(115) 및 비트 라인들(BL) 측벽의 스페이서들이 콘택 홀들(CH)에 노출되는 경우, 제 1 및 제 2 마스크 패턴들(142, 172)을 제거하는 공정에 의해 식각 정지막(115) 및 비트 라인들(BL) 측벽의 스페이서들이 함께 제거될 수 있다. 이러한 경우, 비트 라인들(BL)이 콘택 홀(CH)에 노출될 수 있으며, 콘택 홀들(CH)에 형성되는 콘택 플러그들(BC)이 비트 라인들(BC)과 접촉될 수 있다. 따라서, 콘택 홀들(CH)을 형성하기 전에 제 1 및 제 2 마스크 패턴들(142, 172)을 제거하는 공정이 수행될 수 있다. 이러한 경우, 제 1 및 제 2 마스크 패턴들(142, 172)을 제거하는 동안, 제 1 및 제 2 희생 패턴들(132, 164)에 의해 노출된 제 1 층간 절연막(120)의 일부분이 식각될 수도 있다.
다음으로, 도 20g를 참조하면, 제 1 층간 절연막(120)에 콘택 홀들(CH)을 형성한 후에는, 애싱 및/또는 스트리핑 공정을 수행하여 제 1 및 제 2 희생 패턴들(132, 164)을 제거한다. 이어서, 콘택 홀들(CH) 내에 소오스 및 드레인 영역들(101)과 접속되는 콘택 플러그들(BC)을 형성한다.
콘택 플러그들(BC)은 제 1 층간 절연막(122) 상에 콘택 홀(CH)을 채우는 도전막을 증착하고, 도전막을 평탄화함으로써 형성될 수 있다. 콘택 플러그(BC)는 불순물이 도핑된 폴리실리콘막, 금속막, 금속 질화막 및 금속 실리사이드막 중 적어도 어느 하나 또는 이들의 조합으로 형성될 수 있다.
이와 같이 콘택 홀들(CH) 내에 형성되는 콘택 플러그들(BC)은 워드 라인들(WL) 또는 비트 라인들(BL)의 선폭보다 작은 폭을 가질 수 있다. 그리고, 콘택 플러그들(BC)은 비트 라인들(BL)의 측벽 부분에 형성되는 식각 정지막(115) 및 측벽 절연막들과 이격될 수 있다. 예를 들어, 일 실시예에서 형성되는 콘택 플러그들(BC)은 평균적으로 약 10nm 내지 40nm의 폭을 가질 수 있다. 또한, 콘택 플러그들(BC)은 약 4:1 내지 16:1의 종횡비를 가질 수 있다.
한편, 콘택 플러그들(BC)은 도 21에 도시된 것처럼 형성될 수도 있다. 도 21은 본 발명의 실시예들을 이용하여 형성된 콘택 플러그를 나타내는 도면이다.
도 21을 참조하면, 본 발명의 실시예들 이용하여 형성된 콘택 홀들(CH)을 갖는 절연 패턴(122)이 기판(100) 상에 형성될 수 있다. 즉, 콘택 홀들(CH)은 도 8에 도시된 마스크 구조체를 식각 마스크로 이용하여 절연막을 이방성 식각함으로써 형성될 수 있다.
이 실시예에 따르면, 콘택 홀(CH) 내에 도전 물질을 채우기 전에, 콘택 홀들(CH)의 내벽에 스페이서(124)가 형성될 수 있다. 스페이서(124)는 콘택 홀(CH)이 형성된 절연 패턴(122) 상에 단차 도포성이 우수한 증착 기술을 이용하여 절연막을 컨포막하게 형성한 후, 이방성 식각 공정을 수행하여 콘택 홀(CH) 내벽에 선택적으로 형성될 수 있다. 콘택 홀(CH) 내에는 스페이서(124)에 의해 미세 콘택 홀(ch)이 형성될 수 있다. 이에 따라, 미세 콘택 홀(ch)의 폭(Wb)은 콘택 홀(CH)의 폭(Wa)보다 작을 수 있다. 이후, 미세 콘택 홀(ch) 내에 도전 물질을 채워 콘택 플러그(BC)를 형성할 수 있다. 이와 같이 형성된 콘택 플러그(BC)는 콘택 홀(CH)의 폭(Wa)보다 작은 폭(Wb)을 가질 수 있다.
도 22a 내지 도 22e는 본 발명의 실시예들에 따른 미세 패턴 형성 방법을 이용하여 DRAM 소자의 캐패시터를 형성하는 방법을 나타내는 단면도들이다. 도 22a 내지 도 22e를 참조하여 설명되는 제조 방법은 도 20a 내지 20g에 이어서 진행될 수 있다.
도 22a를 참조하면, 콘택 플러그들(BC)이 형성된 제 1 층간 절연막(122) 상에 복수의 절연막들이 적층된 몰드막(210; mold layer)이 형성될 수 있다. 예를 들어, 몰드막(210)은 식각 정지막(211), 하부 몰드막(213), 지지막(215; support layer) 및 상부 몰드막(217)을 포함할 수 있다. 여기서, 하부 및 상부 몰드막들(213, 217)은 실리콘 산화물로 형성될 수 있으며, 식각 정지막(211) 및 지지막(215)은 하부 및 상부 몰드막들(213, 217)을 습식 식각하는 공정에서 하부 및 상부 몰드막들(213, 217)에 대해 식각 선택비를 갖는 물질로 형성될 수 있다. 예를 들어, 식각 정지막 및 지지막(211, 215)은 실리콘 질화물로 형성될 수 있다.
실린더형 캐패시터를 형성하는데 있어서, 몰드막(210)의 두께에 따라 스토리지 전극의 높이가 달라질 수 있으며, 스토리지 전극의 높이에 따라 캐패시터의 용량(capacitance)이 달라질 수 있다. 즉, 스토리지 전극의 높이가 증가될수록 캐패시터의 용량이 증가될 수 있다. 따라서, 몰드막(210)을 두껍게 형성하는 것이 DRAM 소자의 메모리 용량을 증가시킬 수 있다. 일 실시예에 따르면, 몰드막(210)은 약 5000Å 내지 10000Å의 두께를 가질 수 있다. 이와 같이, 두꺼운 몰드막(210)을 관통하는 스토리지 홀들을 형성하기 위해서는 몰드막(210)을 식각하는 동안 몰드막(210)에 대한 식각 선택비가 우수한 마스크 구조체가 요구된다.
이에 따라, 본 발명의 실시예들에 따른 미세 패턴의 형성 방법을 이용하여 몰드막(210)에 스토리지 홀들(SH)을 형성한다.
이 실시예에 따르면, 스토리지 홀들(SH)을 형성하기 위해, 도 1 내지 도 9을 참조하여 형성된 마스크 구조체는 탄소를 주성분으로 하는 물질로 형성된 상부 마스크 구조체(132, 164)와, 폴리실리콘으로 형성된 하부 마스크 구조체(222)로 형성될 수 있다. 여기서, 상부 마스크 구조체(132, 164)는 도 8을 참조하여 설명한 것처럼, 제 1 및 제 2 패턴들(132a, 132b)를 포함하는 제 1 희생 패턴(132)과, 제 2 희생 패턴(164)을 포함한다. 그리고, 하부 마스크 구조체(222)는 몰드막(210)을 식각하는 공정에서 몰드막(210)에 대한 식각 선택비가 상부 마스크 구조체(132a, 132b)보다 클 수 있다. 예를 들어, 하부 구조체(222)는 폴리실리콘막으로 형성될 수 있으며, 상부 마스크 구조체(132, 164)를 이용하여 폴리실리콘막을 패터닝함으로써 형성될 수 있다.
이어서, 상부 및 하부 마스크 구조체들(132, 164, 222)을 식각 마스크로 이용하여 몰드막(210)을 이방성 식각한다. 이에 따라, 도 22b에 도시된 것처럼, 콘택 플러그들(BC)을 노출시키는 스토리지 홀들(SH)이 형성된 몰드 패턴(212, 214, 216, 218)이 형성될 수 있다. 일 실시예에서, 스토리지 홀들(SH)은 약 6:1 내지 16:1의 종횡비를 가질 수 있다.
이후, 도 22c를 참조하면, 스토리지 홀들(SH) 내에 스토리지 전극들(232)이 형성될 수 있다. 스토리지 전극(232)은 스토리지 홀(SH)의 내벽을 따라 컨포말하게 도전막을 증착하고, 상부 몰드 패턴(218)에 증착된 도전막을 제거함으로써 스토리지 홀들(SH) 각각에 실린더 형태의 스토리지 전극(232)을 형성할 수 있다.
스토리지 전극들(232)을 형성한 후에는 도 22d에 도시된 것처럼, 지지 패턴(216')이 형성될 수 있다. 지지 패턴을 형성하는 것은, 스토리지 전극(232)의 내부를 채우는 희생막(219)을 형성하고, 지지막(216)의 일부분을 노출시키는 개구부들을 희생막(219)에 형성한다. 이어서, 개구부들에 노출된 지지막(216)의 일부분들을 제거함으로써 지지 패턴(216')이 형성될 수 있다. 지지 패턴(216')을 형성함에 따라 스토리지 전극들(232) 사이의 하부 몰드막(214)의 일부분들이 노출될 수 있다. 즉, 지지 패턴(216')은 스토리지 전극들(232)의 외측벽을 둘러싸면서 하부 몰드막(214)의 일부분을 노출시킬 수 있다. 이와 같은 지지 패턴(216')은, 후속 공정에서 상부 및 하부 몰드막들(214, 218)을 제거한 후에, 종횡비가 큰 스토리지 전극들(232)이 쓰러지는 것을 방지할 수 있다.
이어서, 도 22d를 참조하면, 하부 및 상부 몰드 패턴들(214, 218)을 선택적으로 제거하는 식각 공정이 수행될 수 있다. 그리고, 스토리지 전극(232)의 내벽 및 외벽을 따라 컨포말하게 유전막(233)이 형성될 수 있다. 또한, 유전막(233) 상에는 상부 전극(234)이 형성될 수 있다.
도 23은 본 발명의 실시예들에 따른 미세 패턴 형성 방법을 이용하여 형성된 핀(fin) 타입의 전계 효과 트랜지스터를 나타내는 사시도이다.
도 23에 도시된 핀 타입의 전계 효과 트랜지스터는 기판(100)의 상면으로부터 돌출된 활성 패턴들(AP)이 채널 영역으로 사용될 수 있다. 활성 패턴들(AP)은 기판(100) 상에 2차원적으로 배열될 수 있으며, 단결정 또는 다결정 반도체 물질이거나 반도체 기판(100)으로부터 성장된 에피택셜층일 수 있다.
이 실시예에 따르면, 활성 패턴들(AP)은 바(Bar) 형태를 가질 수 있으며, 활성 패턴들(AP) 사이에는 소자 분리막(102)이 배치될 수 있다. 소자 분리막(102)의 상면은 활성 패턴들(AP)의 상면보다 아래에 위치할 수 있다. 즉, 소자 분리막(102)에 의해 활성 패턴들(AP)의 양측면 및 상부면이 노출될 수 있다. 한편, 도면에는 활성 패턴들(AP)이 게이트 전극들(GP)과 수직하게 배치된 것을 도시하였으나, 활성 패턴들(AP)은 게이트 전극들(GP)과 비평행(non-parallel)하고 비수직(non-perpendicular)하게 배치될 수도 있다.
게이트 전극들(GP)은 활성 패턴들(AP)을 가로질러 배치될 수 있다. 구체적으로, 게이트 전극들(GP)은 활성 패턴들(AP)의 양측벽들 및 상면을 컨포말하게 덮을 수 있다. 그리고, 게이트 전극(GP)과 활성 패턴들(AP) 사이에는 게이트 절연막(GI)이 개재될 수 있다. 게이트 전극(GP) 양측의 활성 패턴들(AP)에는 불순물 영역이 도핑된 소오스/드레인 영역들이 형성될 수 있다. 이와 같은 구조에서, 게이트 전극(GP)과 인접하는 활성 패턴들(AP)의 측벽 부분들 및 상부 부분들에는 전계 효과 트랜지스터의 채널 영역이 형성될 수 있다.
이와 같은 핀 타입 전계 효과 트랜지스터에서 활성 패턴들(AP)은 본 발명의 실시예들에 따른 미세 패턴 형성 방법에 의해 형성될 수 있다.
상세히 설명하면, 기판(100) 상에 도 1 내지 도 8을 참조하여 설명한 것처럼, 마스크 구조체(도 8의 MS)를 형성한다. 여기서 마스크 구조체(도 8의 MS)에 형성된 상부 개구부들(도 8의 UH)은 평면적으로 바(bar) 형상을 가질 수 있다. 그리고, 상부 개구부들(도 8의 UH)은 기판(100)의 상면을 노출시킬 수 있다. 이어서, 마스크 구조체(도 8의 MS)를 식각 마스크로 이용하여 기판(100)의 일부분을 이방성 식각한다. 이에 따라 상부 개구부들(도 8의 UH)에 의해 노출된 기판(100)의 상면이 리세스되어 기판(100)에 하부 개구부들(도 9의 LH)이 형성될 수 있다. 여기서, 하부 개구부들(도 9의 LH)의 양측벽은 활성 패턴(AP)의 양측벽을 정의한다. 이후, 마스크 구조체(도 8의 MS)가 제거되어 기판(100)에 활성 패턴들(AP)이 형성될 수 있다.
도 24는 본 발명의 실시예들에 따른 미세 패턴 형성 방법을 이용하여 형성된 수직 채널을 갖는 트랜지스터의 사시도이다.
도 24에 도시된 실시예에 따른 수직 채널 트랜지스터는 기판(100)의 상면으로부터 돌출된 활성 패턴들(AP)이 채널 영역으로 사용될 수 있다. 활성 패턴들(AP)은 기판(100) 상에 2차원적으로 배열될 수 있으며, 단결정 또는 다결정 반도체 물질이거나 반도체 기판(100)으로부터 성장된 에피택셜층일 수 있다.
활성 패턴들(AP)은 기판(100) 상의 제 1 영역(R1), 기판(100) 과 제 1 영역(R1) 사이의 제 2 영역(R2) 및 기판(100)과 제 2 영역(R2) 사이의 제 3 영역(R3)을 포함할 수 있다. 즉, 제 3, 제 2 및 제 1 영역들(R3, R2, R1)은 기판(100) 상에 차례로 그리고 직접 접촉하면서 배치된다. 제 1 및 제 3 영역들(R1, R3)은 기판(100)과 다른 도전형이고, 제 2 영역(R2)은 기판(100)과 같은 도전형 또는 진성 반도체일 수 있다. 예를 들어, 기판(100)이 P형 반도체인 경우, 제 1 및 제 3 영역들(R1, R3)은 N형이고, 제 2 영역(R2)은 P형 또는 진성(intrinsic)일 수 있다.
워드 라인들(WL)은 활성 패턴들(AP)의 제 2 영역들(R2)을 가로질러 배치될 수 있다. 활성 패턴들(AP)의 제 2 영역(R2)과 워드 라인(WL) 사이에는 게이트 절연막(GI)이 개재될 수 있다.
비트 라인들(BL)은 활성 패턴들(AP)의 제 3 영역들(R3)과 전기적으로 연결될 수 있으며, 워드 라인들(WL)을 가로질러 배치될 수 있다. 즉, 비트 라인들(BL)은 활성 패턴들(AP)의 제 3 영역들(R3)을 가로질러 배치될 수 있다.
워드 라인들(WL) 및 비트 라인들(BL)은 도전물질로 형성될 수 있다. 예를 들어, 워드 라인들(WL) 및 비트 라인들(BL)은 도핑된 반도체 물질(ex, 도핑된 실리콘, 도핑된 게르마늄 등), 도전성 금속질화물(ex, 질화티타늄, 질화탄탈늄 등), 금속(ex, 텅스텐, 티타늄, 탄탈늄등), 금속-반도체 화합물(ex, 텅스텐 실리사이드, 코발트 실리사이드, 티타늄 실리사이드 등) 등에서 선택된 적어도 하나를 포함할 수 있다. 게이트 유전막(125)은 산화물, 질화물 및/또는 산화질화물 등으로 형성될 수 있다.
이와 같은 구조에서, 활성 패턴들(AP)의 제 1 영역(R1)은 수직 채널 트랜지스터의 드레인 전극으로 이용되고, 활성 패턴들(AP)의 제 3 영역(R3)은 수직 채널 트랜지스터의 소오스 전극으로 이용될 수 있다. 또한, 활성 패턴들(AP)의 제 2 영역(R2)은 수직 채널 트랜지스터의 채널 영역으로 이용될 수 있다.
이와 같은 수직 채널 트랜지스터에서 기둥 형태의 활성 패턴들(AP)은 본 발명의 실시예들에 따른 미세 패턴 형성 방법에 의해 형성될 수 있다. 예를 들어, 기둥 형태의 활성 패턴들(AP)은 다음과 같이 형성될 수 있다.
도 1 내지 도 8을 참조하여 형성된 기판 상에 제 1 마스크 구조체가 형성될 수 있다. 이 때, 제 1 마스크 구조체는 도 23을 참조하여 설명한 것처럼, 평면적으로 바 형상의 상부 개구부들 가질 수 있다. 이어서, 제 1 마스크 구조체를 이용하여 기판(100)의 일부분을 식각한다. 이에 따라, 상부 개구부들에 의해 노출된 기판(100)의 상면이 리세스되어, 기판(100)에 제 1 하부 개구부들(LH1)이 형성될 수 있다. 즉, 제 1 마스크 구조체에 의해 기판(100)에는 도 23에 도시된 것처럼, 바 형상의 활성 패턴들(AP)이 형성될 수 있다. 여기서, 제 1 하부 개구부들(LH1)은 활성 패턴(AP)에서 마주하는 한 쌍의 제 1 측벽들을 정의할 수 있다.
이후, 바 형상의 활성 패턴들을 가로지르는 상부 개구부들을 갖는 제 2 마스크 구조체가 기판(100) 상에 형성될 수 있다. 이이서, 상부 개구부들에 의해 노출된 바 형상의 활성 패턴들(AP)을 이방성 식각할 수 있다. 이에 따라 기판(100)에 제 2 하부 개구부들(LH2)이 형성될 수 있다. 제 2 하부 개구부들(LH2)은 활성 패턴들(AP)에서 마주하는 한 쌍의 제 2 측벽들을 정의할 수 있다.
바 형상의 상부 개구부들을 갖는 마스크 구조체를 2번 이용하여 기둥 형태의 활성 패턴들(AP)을 형성함에 따라, 활성 패턴들(AP)은 평면적으로 사각형 형태를 가질 수 있다.
한편, 활성 패턴들(AP)을 형성하는 것은, 기판(100) 상에 도 8에 도시된 하부 패턴(도 8의 22)을 형성하고, 선택적 에피택시얼 성장(selective epitaxial growth) 공정을 수행하여 기판(100)으로부터 반도체막을 선택적으로 성장시켜 형성될 수도 있다.
도 25는 본 발명의 실시예들에 따른 미세 패턴 형성 방법을 이용하여 형성된 3차원 반도체 메모리 장치를 나타내는 사시도이다.
일 실시예에 따른 3차원 반도체 메모리 장치는 공통 소오스 라인(CSL), 복수개의 비트라인들(BL) 및 공통 소오스 라인(CSL)과 비트라인들(BL) 사이에 배치되는 복수개의 셀 스트링들(CSTR)을 포함할 수 있다.
공통 소오스 라인(CSL)은 기판(100) 상에 배치되는 도전성 박막 또는 기판(100) 내에 형성되는 불순물 영역일 수 있다. 비트라인들(BL)은 기판(100)으로부터 이격되어 그 상부에 배치되는 도전성 패턴들(예를 들면, 금속 라인)일 수 있다. 비트라인들(BL)은 2차원적으로 배열되고, 그 각각에는 복수개의 셀 스트링들(CSTR)이 병렬로 연결된다. 이에 따라 셀 스트링들(CSTR)은 공통 소오스 라인(CSL) 또는 기판(100) 상에 2차원적으로 배열된다.
셀 스트링들(CSTR) 각각은 공통 소오스 라인(CSL)과 비트라인들(BL) 사이에 배치되는, 복수 개의 하부 선택 라인들(LSL1, LSL2), 복수개의 워드라인들(WL0-WL3) 및 복수 개의 상부 선택 라인들(USL1, USL2)을 포함한다. 하부 선택 라인들(LSL1, LSL2), 워드라인들(WL0-WL3) 및 상부 선택 라인들(USL1, USL2)은 기판(100) 상에 적층된 도전 패턴들일 수 있다.
또한, 셀 스트링들(CSTR) 각각은 공통 소오스 라인(CSL)으로부터 수직하게 연장되어 비트 라인(BL)에 접속하는 반도체 기둥(PL; pillar)을 포함할 수 있다. 반도체 기둥들(PL)은 하부 선택 라인들(LSL1, LSL2), 워드라인들(WL0-WL3) 및 상부 선택 라인들(USL1, USL2)을 관통하도록 형성될 수 있다. 다시 말해, 반도체 기둥들(PL)은 기판(100) 상에 적층된 복수 개의 도전 패턴들을 관통할 수 있다. 이에 더하여, 반도체 기둥(PL)은 몸체부(B) 및 몸체부(B)의 일단 또는 양단에 형성되는 불순물 영역들을 포함할 수 있다. 예를 들면, 드레인 영역(D)이 반도체 기둥(PL)의 상단(즉, 몸체부(B)와 비트라인(BL) 사이)에 형성될 수 있다.
워드라인들(WL0-WL3)과 반도체 기둥들(PL) 사이에는 데이터 저장막(DS)이 배치될 수 있다. 일 실시예에 따르면, 데이터 저장막(DS)은 전하저장막일 수 있다. 예를 들면, 데이터 저장막(DS)은 트랩 절연막, 부유 게이트 전극 또는 도전성 나노 도트들(conductive nano dots)을 포함하는 절연막 중의 한가지일 수 있다.
하부 선택 라인(LSL1, LSL2)과 반도체 기둥들(PL) 사이 또는 상부 선택 라인들(USL1, USL2)과 반도체 기둥(PL) 사이에는, 트랜지스터의 게이트 절연막으로 사용되는 유전막이 배치될 수 있다. 여기서, 유전막은 데이터 저장막(DS)과 동일한 물질로 형성될 수도 있으며, 통상적인 모오스펫(MOSFET)을 위한 게이트 절연막(예를 들면, 실리콘 산화막)일 수도 있다.
이와 같은 구조에서, 반도체 기둥들(PL)은, 하부 선택 라인들(LSL1, LSL2), 워드라인들(WL0-WL3) 및 상부 선택 라인들(USL1, USL2)과 함께, 반도체 기둥(PL)을 채널 영역으로 사용하는 모오스 전계 효과 트랜지스터(MOSFET)를 구성할 수 있다. 이와 달리, 반도체 기둥들(PL)은, 하부 선택 라인들(LSL1, LSL2), 워드라인들(WL0-WL3) 및 상부 선택 라인들(USL1, USL2)과 함께, 모오스 커패시터(MOS capacitor)를 구성할 수 있다.
이러한 경우, 하부 선택 라인(LSL1, LSL2), 복수개의 워드라인들(WL0-WL3) 및 복수개의 상부 선택 라인들(USL1, USL2)은 선택 트랜지스터 및 셀 트랜지스터의 게이트 전극들로서 각각 사용될 수 있다. 그리고, 하부 선택 라인들(LSL1, LSL2), 워드라인들(WL0-WL3) 및 상부 선택 라인들(USL1, USL2)에 인가되는 전압으로부터의 기생 전계(fringe field)에 의해 반도체 기둥들(PL)에 반전 영역들(inversion layer)이 형성될 수 있다. 반도체 기둥들(PL)에 형성되는 반전 영역들은 공통 소오스 라인(CSL)으로부터 선택된 비트라인을 전기적으로 연결하는 전류 통로를 형성한다.
즉, 셀 스트링(CSTR)은 하부 및 상부 선택 라인들(LSL1, LSL2, USL1, USL2)에 의해 구성되는 하부 및 선택 트랜지스터들과 워드 라인들(WL0-WL3) 에 의해 구성되는 셀 트랜지스터들이 직렬 연결된 구조를 가질 수 있다.
이와 같이 반도체 기둥들(PL)을 포함하는 3차원 반도체 메모리 소자에서, 반도체 기둥들(PL)은 본 발명의 실시예들에 따른 미세 패턴 형성 방법을 이용하여 형성될 수 있다.
상세히 설명하면, 도 25에 도시된 3차원 반도체 메모리 소자를 형성하는 것은 기판(100) 상에 도전 패턴들과 절연 패턴들이 번갈아 적층된 적층 구조체를 형성하는 것과, 적층 구조체를 관통하는 복수 개의 콘택 홀들을 형성하는 것 및 콘택 홀들 내에 앞에서 상술한 반도체 기둥들(PL)을 형성하는 것을 포함할 수 있다. 여기서, 콘택 홀들은 앞에서 상술한 마스크 구조체(도 8의 MS)를 이용하여 형성될 수 있다. 즉, 마스크 구조체(도 8의 MS)를 이용하여 도전 패턴들과 절연 패턴들이 번갈아 적층된 적층 구조체를 패터닝할 수 있다.
도 26a 및 도 26b는 본 발명의 실시예들에 따른 미세 패턴 형성 방법을 이용하여 형성된 가변 저항 메모리 소자의 단면도들이다.
도 26a 및 도 26b에 도시된 실시예에 따르면, 미세 패턴의 형성 방법을 이용하여 가변 저항 메모리 소자를 형성할 수 있다.
도 26a를 참조하면, 가변 저항 메모리 소자 복수 개의 워드 라인들(WL)과, 워드 라인들(WL)을 가로지르는 복수개의 비트 라인들(BL)을 포함한다. 그리고, 워드 라인들(WL)과 비트 라인들(BL)이 교차하는 지점에 각각 메모리 셀이 배치될 수 있다. 메모리 셀들 각각은 직렬로 연결된 데이터 저장 소자와 선택 소자를 포함한다. 선택 소자는 데이트 저장 소자와 워드 라인(WL) 사이에 연결될 수 있다. 도면에는 선택 소자로서 다이오드(D; diode)만을 개시하고 있으나, 모스(MOS) 트랜지스터 또는 바이폴라(bipolar) 트랜지스터가 이용될 수도 있다
상세하게, 복수 개의 워드 라인들(WL)을 갖는 반도체 기판(100) 상에 하부 절연막(122)이 제공된다. 하부 절연막(122)에는 본 발명의 실시예들에 따른 미세 패턴의 형성 방법을 이용하여 콘택 홀들(H)이 형성될 수 있다.
하부 절연막(122)에 정의된 콘택 홀들(H)은 워드 라인(WL)의 상면을 노출시킬 수 있다. 콘택 홀(H) 내에는 다이오드(D)가 형성될 수 있다. 다이오드(D)는 차례로 적층된 n형 반도체막(117n) 및 p형 반도체막(117p)을 포함할 수 있다. N형 반도체막(117n)과 p형 반도체막(117p)이 접하는 계면은 콘택 홀(H) 내에 배치될 수 있다. 또한, 콘택 홀(H) 내에는 다이오드(D)와 데이터 자장 소자를 연결하는 하부 전극(310)이 형성될 수 있다.
하부 전극(310) 상에는 데이터 저장막(320)이 형성될 수 있다. . 데이터 저장막(320)은 비트 라인들(BL)은 워드 라인들(WL)을 가로질러 배치되는 비트 라인(BL)과 상부 전극(330)을 통해 연결될 수 있다. 예를 들어, 데이터 저장막(320)은 전하 트랩 물질, 상변환 물질, 가변 저항 물질, 또는 자성 물질을 포함할 수 있다. 예를 들어, 상변환 물질은 칼코게나이드(chalcogenide) 원소인 텔루리움(Te) 및 셀레니움(Se) 중 선택된 적어도 하나를 함유할 수 있다. 그리고, 가변 저항 물질은 페로브스카이트(perovskite) 구조를 갖는 거대 자기저항 물질막(colossal magnetro-resistive material layer; CRM 물질막), 고온 초전도 물질막(hightemperature super conducting material layer; HTSC 물질막) 또는 2가지의 안정한 저항성 상태를 갖는 전이 금속 산화막일 수 있다
한편, 도 26b를 참조하면, 즉, 워드 라인(WL)이 형성된 반도체 기판(100) 상에 선택 소자(예를 들어, 다이오드 또는 트랜지스터)와 연결된 하부 전극(310)을 형성하고, 하부 절연막(122)에 대해 패터닝 공정을 수행하여, 하부 절연막(122)에 하부 전극의 일부를 노출시키는 홀들이 형성될 수 있다. 여기서, 홀들은 본 발명의 실시예들에 따른 미세 패턴의 형성 방법을 이용하여 콘택 홀들이 형성될 수 있다. 그리고, 이와 같이 형성된 홀 내에는 데이터 저장막(320)이 형성될 수 있다. 데이터 저장막(320)은 앞에서 상술한 것처럼, 전하 트랩 물질, 상변환 물질, 가변 저항 물질, 또는 자성 물질을 포함할 수 있다.
이상, 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.

Claims (33)

  1. 하부막 상에, 제 1 희생막 및 제 1 마스크 패턴들을 차례로 형성하고,
    상기 제 1 마스크 패턴들을 식각 마스크로 사용하여 상기 제 1 희생막을 패터닝함으로써, 트렌치들을 정의하는 제 1 희생 패턴들을 형성하고,
    상기 트렌치들을 채우는 제 2 희생막을 형성하고,
    상기 제 1 마스크 패턴들이 노출되도록 상기 제 2 희생막을 식각하여, 상기 제 2 희생막을 상기 트렌치들 내에 잔류시키고,
    상기 제 1 마스크 패턴들 상에 상기 트렌치들을 가로지르는 제 2 마스크 패턴들을 형성하고, 그리고
    상기 제 2 마스크 패턴들을 식각 마스크로 사용하여 상기 제 2 희생막을 패터닝함으로써, 상기 트렌치들 내에 상부 개구부들을 정의하는 제 2 희생 패턴들을 형성하는 것을 포함하되,
    상기 상부 개구부들은 상기 제 2 희생 패턴들 아래의 상기 제 1 희생막을 노출하고,
    상기 제 1 희생 패턴들과 상기 제 2 희생 패턴들을 서로 교차하는 미세 패턴 형성 방법.
  2. 제 1 항에 있어서,
    상기 제 1 및 제 2 희생막들은 탄소를 포함하는 물질로 형성되는 미세 패턴 형성 방법.
  3. 삭제
  4. 제 1 항에 있어서,
    상기 상부 개구부들을 형성하는 것은,
    상기 제 1 및 제 2 마스크 패턴들을 이용하여 상기 제 2 희생막 아래의 상기 제 1 희생막을 패터닝하여, 서로 평행한 제 1 부분들 및 인접하는 상기 제 1 부분들 사이에서 서로 이격된 제 2 부분들을 포함하는 제 1 희생 패턴을 형성하는 것을 포함하는 미세 패턴 형성 방법.
  5. 제 1 항에 있어서,
    상기 하부막에 상기 상부 개구부들이 전사됨으로써 형성되는 하부 개구부들을 형성하는 것을 더 포함하는 미세 패턴 형성 방법.
  6. 제 1 항에 있어서,
    상기 제 1 마스크 패턴들의 일부분들은 상기 제 2 마스크 패턴들과 직접 접촉하는 미세 패턴 형성 방법.
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 하부막 상에 제 1 희생막 및 제 1 마스크 패턴들을 형성하고,
    상기 제 1 마스크 패턴들을 식각 마스크로 이용하여 상기 제 1 희생막을 패터닝함으로써, 제 1 방향으로 연장되며 서로 이격된 트렌치들을 형성하고,
    상기 제 1 마스크 패턴들 상에서 상기 트렌치들을 채우는 제 2 희생막을 형성하고,
    상기 제 2 희생막을 패터닝하여 상기 제 1 마스크 패턴들을 노출시킴으로써, 상기 제 2 희생막의 일부분들을 상기 트렌치들 내에 잔류시키고,
    상기 제 1 마스크 패턴들 상에 상기 제 1 마스크 패턴들을 가로지르는 제 2 마스크 패턴들을 형성하고,
    상기 제 2 마스크 패턴들을 식각 마스크로 이용하여 상기 제 2 희생막을 패터닝함으로써, 상부 개구부를 정의하는 제 2 희생 패턴들을 상기 트렌치 내에 국소적으로 형성하고, 그리고
    상기 제 1 및 제 2 희생 패턴들을 식각 마스크로 이용하여 상기 하부막을 패터닝함으로써 상기 상부 개구부 아래의 상기 하부막에 하부 개구부를 형성하는 것을 포함하되,
    상기 상부 개구부는 상기 제 2 희생 패턴들 아래의 상기 제 1 희생 패턴들을 노출하는 미세 패턴 형성 방법.
  14. 제 13 항에 있어서,
    상기 제 1 희생막은 화학 기상 증착 방법을 이용하여 형성된 비정질 탄소층이고,
    상기 제 2 희생막은 스핀 코팅 기술을 사용하여 형성되고 탄소를 포함하는 물질을 포함하는 미세 패턴 형성 방법.
  15. 제 13 항에 있어서,
    상기 트렌치들 사이의 간격은 상기 트렌치들의 폭보다 작은 미세 패턴 형성 방법.
  16. 제 13 항에 있어서,
    상기 상부 개구부는 상기 트렌치들 내에서 상기 제 2 희생 패턴들에 의해 공간적으로 분리된 빈 공간들인 미세 패턴 형성 방법.
  17. 제 13 항에 있어서,
    상기 제 1 희생막은 서로 평행한 제 1 부분들과, 상기 제 1 부분들 사이의 상기 제 2 희생 패턴들 아래에 형성된 제 2 부분들을 포함하는 미세 패턴 형성 방법.
  18. 제 13 항에 있어서,
    상기 제 2 희생 패턴은 상기 트렌치들의 장축과 평행하되, 제 1 폭을 갖는 제 1 영역과, 상기 제 1 폭과 다른 제 2 폭을 갖는 제 2 영역을 포함하는 미세 패턴 형성 방법.
  19. 삭제
  20. 삭제
  21. 삭제
  22. 워드 라인들 및 상기 워드 라인들을 가로지르는 비트 라인들을 포함하는 반도체 소자의 제조 방법에 있어서,
    상기 제조 방법은,
    하부막 상에 상기 워드 라인들 또는 상기 비트 라인들을 가로지르는 트렌치들을 정의하는 제 1 희생 패턴들을 형성하고,
    상기 트렌치들 내에 제 2 희생막을 형성하고,
    상기 제 2 희생막을 패터닝하여 상기 트렌치들 내에 국소적으로 상부 개구부들을 정의하는 제 2 희생 패턴들을 형성하고, 그리고
    상기 제 1 및 제 2 희생 패턴들을 이용하여 상기 하부막을 패터닝함으로써 상기 상부 개구부들 아래의 상기 하부막에 하부 개구부들을 형성하는 것을 포함하되,
    상기 상부 개구부들은 상기 제 2 희생 패턴들 아래의 상기 제 1 희생 패턴들을 노출하는 반도체 소자의 제조 방법.
  23. 제 22 항에 있어서,
    상기 하부막은 상기 워드 라인을 게이트 전극으로 이용하는 모스 트랜지스터들을 포함하는 반도체 기판을 포함하며,
    상기 제조 방법은 상기 하부 개구부들 내에 도전 물질을 채워 상기 모스 트랜지스터에 전기적으로 연결되는 콘택 플러그들을 형성하는 것을 더 포함하는 반도체 소자의 제조 방법.
  24. 제 22 항에 있어서,
    상기 하부 개구부들 내에 커패시터의 하부 전극들을 형성하는 단계를 더 포함하되,
    상기 커패시터 하부 전극들은 상기 하부 개구부들을 주형(mold)으로 이용하여 형성되는 반도체 소자의 제조 방법.
  25. 삭제
  26. 삭제
  27. 제 22 항에 있어서,
    상기 제 1 및 제 2 희생 패턴들은 탄소를 포함하는 물질로 형성되는 반도체 소자의 제조 방법.
  28. 삭제
  29. 제 22 항에 있어서,
    상기 하부막은 상기 워드 라인들 및 상기 비트 라인들을 포함하는 셀 영역과, 상기 셀 영역 주변의 주변 회로 영역을 포함하되,
    상기 제 1 희생 패턴을 형성하는 것은,
    상기 셀 영역 및 상기 주변 회로 영역의 상기 하부막 상에 화학 기상 증착 방법을 이용하여 비정질 탄소층으로 이루어진 제 1 희생막을 형성하고,
    상기 주변 회로 영역에서 상기 제 1 희생막을 덮으며, 상기 셀 영역에서 상기 제 1 희생막의 일부분들을 선택적으로 노출시키는 제 1 마스크 패턴을 형성하고,
    상기 제 1 마스크 패턴들을 이용하여 상기 제 1 희생막을 패터닝하는 것을 포함하는 반도체 소자의 제조 방법.
  30. 제 29 항에 있어서,
    상기 제 2 희생 패턴들을 형성하는 것은,
    상기 제 1 마스크 패턴의 일부분들과 직접 접촉하면서, 상기 제 2 희생막의 일부분들을 노출시키는 제 2 마스크 패턴들을 형성하고,
    상기 제 2 마스크 패턴들에 노출된 상기 제 2 희생막의 일부분들을 제거하는 것을 포함하는 반도체 소자의 제조 방법.
  31. 제 30 항에 있어서,
    상기 하부 개구부들을 형성하기 전에,
    상기 제 1 및 제 2 마스크 패턴들을 연속적으로 제거하는 것을 더 포함하는 반도체 소자의 제조 방법.
  32. 하부막 상에 제 1 희생막을 형성하는 것;
    상기 제 1 희생막 상에 제 1 하드 마스크막을 형성하는 것;
    상기 제 1 하드 마스크막을 패터닝하여 제 1 방향을 따라 연장되며 서로 이격된 제 1 하드 마스크 라인들을 형성하는 것;
    상기 제 1 하드 마스크 라인들 및 상기 제 1 희생막 상에 제 2 희생막을 형성하는 것;
    상기 제 2 희생막을 에치백하여 상기 제 1 하드 마스크 라인들을 노출시키는 것;
    상기 제 2 희생막 및 상기 제 1 희생 마스크 라인들 상에 제 2 하드 마스크막을 형성하는 것;
    상기 제 2 하드 마스크막을 패터닝하여 상기 제 1 방향과 다른 제 2 방향으로 연장되며 서로 이격된 제 2 하드 마스크 라인들을 형성하되, 상기 제 2 하드 마스크 라인들 및 상기 제 1 하드 마스크 라인들에 의해 하드 마스크 오프닝들이 정의되는 것;
    상기 제 1 및 제 2 하드 마스크 라인들을 식각 마스크로 이용하여 상기 제 1 및 제 2 희생막들을 식각함으로써, 상기 하드 마스크 오프닝들을 상기 제 1 희생막으로 전사시켜 오프닝들을 형성하는 것; 및
    상기 제 1 희생막의 상기 오프닝들을 통해 노출된 상기 하부막을 식각함으로써, 상기 하부막에 하부 오프닝들을 형성하는 것을 포함하되,
    상기 제 1 희생막의 상기 오프닝들은 상기 제 2 희생막 아래의 상기 제 1 희생막을 노출하는 미세 패턴 형성 방법.
  33. 제 32 항에 있어서,
    상기 제 2 하드 마스크 라인들은 상기 제 1 하드 마스크 라인들의 일부분들과 접촉하는 미세 패턴 형성 방법.
KR1020100078474A 2010-08-13 2010-08-13 미세 패턴의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법 KR101658492B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020100078474A KR101658492B1 (ko) 2010-08-13 2010-08-13 미세 패턴의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US13/087,208 US9012326B2 (en) 2010-08-13 2011-04-14 Methods for patterning microelectronic devices using two sacrificial layers

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020100078474A KR101658492B1 (ko) 2010-08-13 2010-08-13 미세 패턴의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법

Publications (2)

Publication Number Publication Date
KR20120021722A KR20120021722A (ko) 2012-03-09
KR101658492B1 true KR101658492B1 (ko) 2016-09-21

Family

ID=45565133

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100078474A KR101658492B1 (ko) 2010-08-13 2010-08-13 미세 패턴의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법

Country Status (2)

Country Link
US (1) US9012326B2 (ko)
KR (1) KR101658492B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10475661B2 (en) 2017-09-18 2019-11-12 Samsung Electronics Co., Ltd. Semiconductor device including a capacitor structure and method for manufacturing the same

Families Citing this family (365)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR20130046664A (ko) * 2011-10-28 2013-05-08 삼성전자주식회사 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101883327B1 (ko) * 2012-03-28 2018-07-30 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
KR101883294B1 (ko) * 2012-03-28 2018-07-30 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
KR101926418B1 (ko) * 2012-05-16 2018-12-10 삼성전자주식회사 반도체 소자의 제조 방법
US9053781B2 (en) 2012-06-15 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a forming free resistive random access memory with multi-level cell
US9680091B2 (en) 2012-06-15 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a complimentary resistive switching random access memory for high density application
US8962411B2 (en) * 2012-08-09 2015-02-24 Nanya Technology Corp. Circuit pattern with high aspect ratio and method of manufacturing the same
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8647981B1 (en) * 2012-08-31 2014-02-11 Micron Technology, Inc. Methods of forming patterns, and methods of forming integrated circuitry
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9520446B2 (en) 2012-11-12 2016-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Innovative approach of 4F2 driver formation for high-density RRAM and MRAM
US9178040B2 (en) 2012-11-12 2015-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Innovative approach of 4F2 driver formation for high-density RRAM and MRAM
US9029822B2 (en) * 2012-11-17 2015-05-12 Avalanche Technology, Inc. High density resistive memory having a vertical dual channel transistor
US9019743B2 (en) * 2012-11-29 2015-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for resistive switching random access memory with high reliable and high density
KR102065481B1 (ko) 2012-12-06 2020-01-13 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8828839B2 (en) * 2013-01-29 2014-09-09 GlobalFoundries, Inc. Methods for fabricating electrically-isolated finFET semiconductor devices
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR20140129787A (ko) 2013-04-30 2014-11-07 에스케이하이닉스 주식회사 하드마스크구조물 및 그를 이용한 반도체장치의 미세 패턴 형성 방법
DE102013107947A1 (de) * 2013-07-25 2015-02-19 Acquandas GmbH Verfahren zur Herstellung einer medizinischen Vorrichtung, Verfahren zum Modifizieren der Oberfläche einer medizinischen Vorrichtung, medizinische Vorrichtung und Schichtverbund mit einem Substrat
US9764950B2 (en) 2013-08-16 2017-09-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with one or more semiconductor columns
US9978863B2 (en) 2013-08-16 2018-05-22 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with one or more semiconductor columns
CN104425380B (zh) * 2013-09-05 2017-09-26 中芯国际集成电路制造(上海)有限公司 Cmos反相器栅极的形成方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9698015B2 (en) * 2013-10-21 2017-07-04 Applied Materials, Inc. Method for patterning a semiconductor substrate
KR102163187B1 (ko) * 2013-10-21 2020-10-08 삼성전자 주식회사 반도체 장치의 제조 방법 및 이를 구현하기 위한 컴퓨팅 시스템
US9209077B2 (en) * 2013-12-20 2015-12-08 Intel Corporation Diagonal hardmasks for improved overlay in fabricating back end of line (BEOL) interconnects
US9293358B2 (en) * 2014-01-23 2016-03-22 Silicon Storage Technology, Inc. Double patterning method of forming semiconductor active areas and isolation regions
US9171862B2 (en) * 2014-01-24 2015-10-27 Macronix International Co., Ltd. Three-dimensional memory and method of forming the same
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11223014B2 (en) 2014-02-25 2022-01-11 Micron Technology, Inc. Semiconductor structures including liners comprising alucone and related methods
US9484196B2 (en) * 2014-02-25 2016-11-01 Micron Technology, Inc. Semiconductor structures including liners comprising alucone and related methods
US9577010B2 (en) 2014-02-25 2017-02-21 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10249819B2 (en) 2014-04-03 2019-04-02 Micron Technology, Inc. Methods of forming semiconductor structures including multi-portion liners
US9972702B2 (en) 2014-05-22 2018-05-15 Taiwan Semiconductor Manufacturing Company Method for non-resist nanolithography
KR102248436B1 (ko) 2014-05-23 2021-05-07 삼성전자주식회사 반도체 소자의 제조방법
KR102173083B1 (ko) * 2014-06-11 2020-11-02 삼성전자주식회사 높은 종횡비를 갖는 반도체 소자 형성 방법 및 관련된 소자
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
TWI543419B (zh) * 2014-09-24 2016-07-21 華邦電子股份有限公司 電阻式非揮發性記憶體裝置及其製造方法
KR102249196B1 (ko) * 2014-10-06 2021-05-11 삼성전자주식회사 반도체 소자의 미세 패턴의 형성을 위한 식각 공정의 제어 방법
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9349639B2 (en) * 2014-10-08 2016-05-24 United Microelectronics Corp. Method for manufacturing a contact structure used to electrically connect a semiconductor device
US9711414B2 (en) 2014-10-21 2017-07-18 Samsung Electronics Co., Ltd. Strained stacked nanosheet FETS and/or quantum well stacked nanosheet
US9299747B1 (en) * 2014-11-24 2016-03-29 Intel Corporation Electrode configurations to increase electro-thermal isolation of phase-change memory elements and associated techniques
KR102283813B1 (ko) * 2014-12-04 2021-08-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102327667B1 (ko) * 2015-01-14 2021-11-17 삼성전자주식회사 반도체 소자의 제조 방법
US9543165B2 (en) * 2015-02-13 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9922868B2 (en) * 2015-03-19 2018-03-20 Globalfoundries Singapore Pte. Ltd. Integrated circuits using silicon on insulator substrates and methods of manufacturing the same
KR102290538B1 (ko) * 2015-04-16 2021-08-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR102421733B1 (ko) * 2015-09-08 2022-07-15 삼성전자주식회사 에지 칩을 갖는 반도체 소자 형성 방법 및 관련된 소자
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP2017107938A (ja) * 2015-12-08 2017-06-15 株式会社東芝 半導体装置およびその製造方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9972633B2 (en) * 2016-01-27 2018-05-15 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10032639B2 (en) * 2016-05-31 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for improved critical dimension uniformity in a semiconductor device fabrication process
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102514049B1 (ko) * 2016-07-21 2023-03-27 삼성전자주식회사 집적회로 소자의 제조 방법
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20180012906A (ko) * 2016-07-27 2018-02-07 삼성전자주식회사 반도체 소자의 제조 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10453764B2 (en) * 2016-08-11 2019-10-22 Advanced Semiconductor Engineering, Inc. Molding for large panel fan-out package
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102406719B1 (ko) * 2016-12-09 2022-06-07 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US20200122378A1 (en) * 2016-12-27 2020-04-23 Case Western Reserve University Multilayered anisotropic microparticles
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10157775B2 (en) * 2017-04-10 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
CN109148269B (zh) 2017-06-27 2021-05-04 联华电子股份有限公司 半导体装置的形成方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
KR102336105B1 (ko) 2017-07-19 2021-12-06 삼성전자주식회사 반도체 장치의 제조 방법
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10325811B2 (en) * 2017-10-26 2019-06-18 Globalfoundries Inc. Field-effect transistors with fins having independently-dimensioned sections
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10211054B1 (en) * 2017-11-03 2019-02-19 International Business Machines Corporation Tone inversion integration for phase change memory
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN109872993B (zh) 2017-12-04 2021-09-14 联华电子股份有限公司 半导体结构的布局、半导体装置及其形成方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102387945B1 (ko) 2017-12-15 2022-04-18 삼성전자주식회사 집적회로 장치 및 그 제조 방법
WO2019132893A1 (en) * 2017-12-27 2019-07-04 Intel Corporation Integrated circuits with stacked transistors and methods of manufacturing the same using processes which fabricate lower gate structures following completion of portions of an upper transistor
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
KR102595297B1 (ko) * 2018-02-23 2023-10-31 삼성전자주식회사 미세 패턴 형성 방법
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10593635B2 (en) 2018-03-27 2020-03-17 Nxp B.V. Multi-die and antenna array device
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102476354B1 (ko) 2018-04-23 2022-12-09 삼성전자주식회사 반도체 소자의 제조 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10892406B2 (en) 2018-06-04 2021-01-12 Intel Corporation Phase change memory structures and devices
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
CN110707005B (zh) 2018-08-03 2022-02-18 联华电子股份有限公司 半导体装置及其形成方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11450675B2 (en) * 2018-09-14 2022-09-20 Intel Corporation One transistor and one ferroelectric capacitor memory cells in diagonal arrangements
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN111326655B (zh) * 2018-12-13 2023-10-17 夏泰鑫半导体(青岛)有限公司 半导体装置及其制造方法
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
CN109554665B (zh) * 2019-01-22 2021-01-15 京东方科技集团股份有限公司 一种蒸镀方法、蒸镀掩膜模组、显示面板及显示装置
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200105247A (ko) 2019-02-28 2020-09-07 에스케이하이닉스 주식회사 패턴 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11037800B2 (en) * 2019-03-12 2021-06-15 Micron Technology, Inc. Patterning methods
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US10847716B1 (en) * 2019-05-16 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a phase change memory device having a second opening above a first opening in the dielectric layer
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
US11222975B2 (en) * 2019-07-25 2022-01-11 Micron Technology, Inc. Memory arrays with vertical transistors and the formation thereof
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20210152840A (ko) 2020-06-09 2021-12-16 삼성전자주식회사 3차원 반도체 메모리 장치
US11610999B2 (en) * 2020-06-10 2023-03-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Floating-gate devices in high voltage applications
CN113903709A (zh) * 2020-06-22 2022-01-07 长鑫存储技术有限公司 存储器的形成方法及存储器
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113173555B (zh) * 2021-03-12 2022-10-04 中国科学院微电子研究所 一种纳米线mim阵列器件及制备方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
EP4220699A1 (en) 2021-05-20 2023-08-02 Changxin Memory Technologies, Inc. Fabrication method for semiconductor structure
CN115377011A (zh) * 2021-05-20 2022-11-22 长鑫存储技术有限公司 半导体结构的制造方法
CN113643966B (zh) * 2021-08-09 2023-05-02 长鑫存储技术有限公司 掩膜结构及其制备方法及半导体结构的制备方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023140984A1 (en) * 2022-01-21 2023-07-27 Lam Research Corporation Method for reducing variations in mask topography

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070148983A1 (en) 2005-12-28 2007-06-28 Hynix Semiconductor Inc. Method for Manufacturing Semiconductor Device
US20090258318A1 (en) 2008-04-11 2009-10-15 Sandisk 3D Llc Double patterning method

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7807582B2 (en) * 2006-03-06 2010-10-05 Micron Technology, Inc. Method of forming contacts for a memory device
KR100850216B1 (ko) 2007-06-29 2008-08-04 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
KR100772935B1 (ko) * 2006-08-07 2007-11-02 삼성전자주식회사 트랜지스터 및 그 제조 방법
KR100791344B1 (ko) * 2006-08-29 2008-01-03 삼성전자주식회사 반도체 집적 회로 장치의 제조 방법
KR100913004B1 (ko) 2006-09-06 2009-08-20 주식회사 하이닉스반도체 반도체 소자의 트랜치 형성 방법
KR100798738B1 (ko) 2006-09-28 2008-01-29 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 제조 방법
KR100771891B1 (ko) 2006-11-10 2007-11-01 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
KR100925029B1 (ko) 2006-12-27 2009-11-03 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100780652B1 (ko) 2006-12-27 2007-11-30 주식회사 하이닉스반도체 반도체 소자 제조방법
US8028253B2 (en) * 2007-04-02 2011-09-27 Synopsys, Inc. Method and apparatus for determining mask layouts for a multiple patterning process
KR100932334B1 (ko) 2007-11-29 2009-12-16 주식회사 하이닉스반도체 반도체 소자의 하드 마스크 패턴 형성 방법
KR101469098B1 (ko) * 2008-11-07 2014-12-04 삼성전자주식회사 반도체 메모리 소자의 커패시터 형성방법
JP4719910B2 (ja) * 2008-11-26 2011-07-06 国立大学法人東北大学 半導体装置の製造方法
KR20100081764A (ko) * 2009-01-07 2010-07-15 삼성전자주식회사 반도체 집적 회로 장치의 제조 방법
KR101618749B1 (ko) * 2009-02-27 2016-05-09 삼성전자주식회사 반도체 소자의 패턴 형성 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070148983A1 (en) 2005-12-28 2007-06-28 Hynix Semiconductor Inc. Method for Manufacturing Semiconductor Device
US20090258318A1 (en) 2008-04-11 2009-10-15 Sandisk 3D Llc Double patterning method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10475661B2 (en) 2017-09-18 2019-11-12 Samsung Electronics Co., Ltd. Semiconductor device including a capacitor structure and method for manufacturing the same

Also Published As

Publication number Publication date
US20120040528A1 (en) 2012-02-16
KR20120021722A (ko) 2012-03-09
US9012326B2 (en) 2015-04-21

Similar Documents

Publication Publication Date Title
KR101658492B1 (ko) 미세 패턴의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
KR101671464B1 (ko) 반도체 소자의 제조 방법
KR101968856B1 (ko) 주변 트랜지스터들을 위한 에피택셜 반도체 페데스탈을 갖는 3차원 메모리 디바이스
US7989307B2 (en) Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US9502471B1 (en) Multi tier three-dimensional memory devices including vertically shared bit lines
KR102065481B1 (ko) 반도체 소자의 미세 패턴 형성 방법
KR101926027B1 (ko) 비대칭 비트라인 컨택을 갖는 반도체 소자 및 그 제조방법
US7582925B2 (en) Integrated circuit devices including insulating support layers
CN111433912A (zh) 含有到竖直通道底部的源极触点的三维存储器装置及其制作方法
US20130109148A1 (en) Methods of forming a pattern and methods of manufacturing semiconductor devices using the same
KR101883294B1 (ko) 반도체 소자의 미세 패턴 형성 방법
KR102062676B1 (ko) 반도체 소자의 미세 패턴 형성 방법
EP2080218A1 (en) Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
JP7194813B2 (ja) 三次元メモリデバイス、三次元メモリデバイスを作製するための方法及びメモリセルストリング
US20120104489A1 (en) Semiconductor device with vertical gate and method for fabricating the same
CN110931552A (zh) 具有栅极绝缘层的半导体器件
KR20180124207A (ko) 3차원 반도체 장치의 제조 방법
WO2023077666A1 (zh) 半导体结构及其制作方法
US7989335B2 (en) Methods of forming insulation layer patterns and methods of manufacturing semiconductor devices including insulation layer patterns
KR20120126228A (ko) 패턴 형성 방법, 이를 이용한 반도체 소자의 제조 방법
KR101845977B1 (ko) 반도체 장치 및 그 제조 방법
EP3224865A1 (en) Set of Stepped Surfaces Formation for a Multilevel Interconnect Structure
CN116224709B (zh) 光罩组件及半导体结构的制备方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant