DE102018113674A1 - Sperrschichtbildung für leitfähiges Merkmal - Google Patents

Sperrschichtbildung für leitfähiges Merkmal Download PDF

Info

Publication number
DE102018113674A1
DE102018113674A1 DE102018113674.9A DE102018113674A DE102018113674A1 DE 102018113674 A1 DE102018113674 A1 DE 102018113674A1 DE 102018113674 A DE102018113674 A DE 102018113674A DE 102018113674 A1 DE102018113674 A1 DE 102018113674A1
Authority
DE
Germany
Prior art keywords
layer
tantalum nitride
precursor gas
carbon
conductive feature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102018113674.9A
Other languages
English (en)
Inventor
Chia-Pang Kuo
Ya-Lien Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018113674A1 publication Critical patent/DE102018113674A1/de
Granted legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Geometry (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Hierin beschriebene Ausführungsformen beziehen sich allgemein auf ein oder mehrere Verfahren zum Ausbilden einer Sperrschicht für ein leitfähiges Merkmal in der Halbleiterverarbeitung. In einigen Ausführungsformen wird eine Öffnung durch eine dielektrische Schicht zu einem leitfähigen Merkmal ausgebildet. Eine Sperrschicht wird in der Öffnung entlang einer Seitenwand der dielektrischen Schicht und auf einer Oberfläche des leitfähigen Merkmals ausgebildet. Das Ausbilden der Sperrschicht umfasst das Abscheiden einer Schicht, die die Verwendung eines Vorläufergases umfasst. Das Vorläufergas weist eine erste Inkubationszeit zur Abscheidung auf der Oberfläche des leitfähigen Merkmals auf und weist eine zweite Inkubationszeit zur Abscheidung auf der Seitenwand der dielektrischen Schicht auf. Die erste Inkubationszeit ist größer als die zweite Inkubationszeit. Ein leitfähiges Füllmaterial wird in der Öffnung und auf der Sperrschicht ausgebildet.

Description

  • HINTERGRUND
  • Die integrierte Halbleiterschaltungs- (IC) -Branche hat ein exponentielles Wachstum erlebt. Technischer Fortschritt bei IC-Materialien und -Design hat Generationen von ICs hervorgebracht, bei denen jede Generation kleinere und komplexere Schaltungen als die vorherige Generation aufweist. Im Verlauf der IC-Entwicklung ist die Funktionsdichte (z. B. die Anzahl der miteinander verbundenen Vorrichtungen pro Chipfläche) im Allgemeinen gestiegen, während die Geometriegröße (z. B. die kleinste Komponente (oder Leitung), die unter Verwendung eines Herstellungsverfahrens erzeugt werden kann) abgenommen hat. Dieser Verkleinerungsprozess bietet im Allgemeinen Vorteile, indem er die Produktionseffizienz erhöht und die damit verbundenen Kosten senkt. Das Herunterskalieren hat jedoch auch zu Herausforderungen geführt, die sich bei früheren Generationen mit größeren Geometrien möglicherweise nicht gezeigt haben.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Zeichnungen gelesen wird. Man beachte, dass gemäß dem üblichen Vorgehen in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert werden.
    • Die 1 bis 5 sind Querschnittsansichten von jeweiligen Zwischenstrukturen während eines beispielhaften Verfahrens zum Ausbilden eines leitfähigen Merkmals gemäß einigen Ausführungsformen.
    • 6 ist ein Flussdiagramm eines Verfahrens zum Ausbilden einer Sperrschicht in einem leitfähigen Merkmal gemäß einigen Ausführungsformen.
    • 7 ist ein Diagramm, das Aspekte der Ausbildung einer Sperrschicht in einem leitfähigen Merkmal gemäß einigen Ausführungsformen zeigt.
    • Die 8 und 9 sind Querschnittsansichten von jeweiligen Zwischenstrukturen während eines weiteren beispielhaften Verfahrens zum Ausbilden eines leitfähigen Merkmals gemäß einigen Ausführungsformen.
    • Die 10 und 11 sind Querschnittsansichten von jeweiligen Zwischenstrukturen während eines weiteren beispielhaften Verfahrens zum Ausbilden eines leitfähigen Merkmals gemäß einigen Ausführungsformen.
    • 12 ist ein Flussdiagramm eines Verfahrens zum Ausbilden einer Sperrschicht in einem leitfähigen Merkmal gemäß einigen Ausführungsformen.
    • 13 ist eine schematische Darstellung eines Abscheidungswerkzeugs zum Ausbilden einer Sperrschicht in einem leitfähigen Merkmal gemäß einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Merkmale des angegebenen Gegenstands zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Beispielsweise kann das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten Merkmal und dem zweiten Merkmal ausgebildet sein können, so dass das erste und das zweite Merkmal nicht in direktem Kontakt stehen müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und erzwingt an sich keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Weiter können räumlich relative Begriffe, wie „unten“, „unter“, „unterer“, „über“, „oberer“ und ähnliche, hier der Einfachheit der Beschreibung halber verwendet werden, um die Beziehung eines Merkmals oder einer Vorrichtung mit einem oder mehreren anderen Merkmalen oder Vorrichtungen zu beschreiben, wie in den Figuren gezeigt ist. Die räumlich relativen Begriffe sollen verschiedene Ausrichtungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Ausrichtung umfassen. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Ausrichtung) und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden.
  • Hierin beschriebene Ausführungsformen beziehen sich allgemein auf ein oder mehrere Verfahren zum Ausbilden einer Sperrschicht für ein leitfähiges Merkmal bei der Halbleiterverarbeitung. Im Allgemeinen kann ein Abscheidungsverfahren, wie zum Beispiel eine Atomlagenabscheidung (ALD), zum Abscheiden einer Schicht einen Vorläufer implementieren, der eine Inkubationszeitdifferenz abhängig von den darunter liegenden Oberflächen aufweist, wie einer dielektrischen Oberfläche oder einer leitfähigen (z. B. Metall-) Oberfläche, auf denen die Schicht abgeschieden wird. In einigen Beispielen wird ein kohlenstoffreiches Tantalnitrid-Vorläufergas in einem ALD-Verfahren oder -Prozess verwendet, um eine kohlenstoffreiche Tantalnitridschicht abzuscheiden, die verwendet wird, um eine Sperrschicht zu implementieren. In einigen Beispielen hat das kohlenstoffreiche Tantalnitrid-Vorläufergas eine niedrigere Inkubationszeit zum Abscheiden der kohlenstoffreichen Tantalnitridschicht auf einer dielektrischen Oberfläche als zum Abscheiden der kohlenstoffreichen Tantalnitridschicht auf einer leitfähigen (z. B. Metall-) Oberfläche. Daher kann die kohlenstoffreiche Tantalnitridschicht eine verringerte Dicke auf der leitfähigen Oberfläche im Vergleich zu der dielektrischen Oberfläche aufweisen. Diese Dicken können vorteilhaft sein, um einen Widerstand gegenüber dem leitfähigen Merkmal, auf dem die Schicht ausgebildet wird, aufgrund der verringerten Dicke zu verringern, während ausreichende Diffusions-Sperreigenschaften an der dielektrischen Oberfläche beibehalten werden. Einige Beispiele können ferner zum Beispiel eine kohlenstoffarme Tantalnitridschicht mit der kohlenstoffreichen Tantalnitridschicht implementieren, die zusammen verwendet werden, um eine Sperrschicht zu implementieren. Andere Vorteile oder Leistungen können ebenfalls erreicht werden.
  • Einige hier beschriebene Ausführungsformen stehen im Zusammenhang mit BEOL-Verarbeitung (Back End Of the Line). Weitere Verfahren und Strukturen im Umfang weiterer Ausführungsformen können in anderen Kontexten ausgeführt werden, wie z. B. in der MEOL-Verarbeitung (Middle End Of the Line), und anderen Kontexten. Verschiedene Modifikationen werden mit Bezug auf die offenbarten Ausführungsformen beschrieben; jedoch können andere Modifikationen an den offenbarten Ausführungsformen vorgenommen werden, während sie innerhalb des Umfangs des Gegenstandes bleiben. Ein Durchschnittsfachmann auf dem Gebiet wird leicht weitere Modifikationen erkennen, die vorgenommen werden können, die in dem Umfang weiterer Ausführungsformen erwogen werden. Obwohl Verfahrensausführungsformen in einer bestimmten Reihenfolge beschrieben werden können, können verschiedene andere Verfahrensausführungsformen in irgendeiner logischen Reihenfolge ausgeführt werden und können weniger oder mehr Schritte als die hierin beschriebenen umfassen.
  • Die 1 bis 5 zeigen Querschnittsansichten von jeweiligen Zwischenstrukturen während eines beispielhaften Verfahrens zum Ausbilden eines leitfähigen Merkmals gemäß einigen Ausführungsformen. 1 zeigt eine erste dielektrische Schicht 22 über einem Halbleitersubstrat 20. Das Halbleitersubstrat 20 kann ein Bulk-Halbleiter, ein Halbleiter-auf-Isolator- (SOI) -Substrat oder dergleichen sein oder umfassen, das (z. B. mit einem p- oder einem n-Dotierstoff) dotiert oder undotiert sein kann. In einigen Ausführungsformen kann das Halbleitermaterial des Halbleitersubstrats 20 einen elementaren Halbleiter wie Silizium (Si) und Germanium (Ge); einen Verbindungshalbleiter; einen Legierungshalbleiter; oder eine Kombination davon umfassen.
  • Verschiedene Vorrichtungen können sich auf dem Halbleitersubstrat 20 befinden. Zum Beispiel kann das Halbleitersubstrat 20 Feldeffekttransistoren (FETs) wie z. B. Fin-FETs (FinFETs), planare FETs, Vertical Gate All Around-FETs (VGAA-FETs) oder dergleichen; Dioden; Kondensatoren; Induktoren; und andere Vorrichtungen umfassen. Die Vorrichtungen können vollständig innerhalb des Halbleitersubstrats 20, in einem Teil des Halbleitersubstrats 20 und einem Teil einer oder mehrerer darüber liegender Schichten und/oder vollständig in einer oder mehreren darüber liegenden Schichten ausgebildet sein. Die hierin beschriebene Verarbeitung kann verwendet werden, um die Vorrichtungen auszubilden und/oder zu verbinden, um eine integrierte Schaltung auszubilden. Die integrierte Schaltung kann eine beliebige Schaltung sein, beispielsweise für eine anwendungsspezifische integrierte Schaltung (ASIC), einen Prozessor, einen Speicher oder eine andere Schaltung.
  • Die erste dielektrische Schicht 22 befindet sich über dem Halbleitersubstrat 20. Die erste dielektrische Schicht 22 kann sich direkt auf dem Halbleitersubstrat 20 befinden oder eine beliebige Anzahl weiterer Schichten kann zwischen der ersten dielektrischen Schicht 22 und dem Halbleitersubstrat 20 angeordnet sein. Zum Beispiel kann die erste dielektrische Schicht 22 ein Zwischenschicht-Dielektrikum (ILD) oder ein Zwischenmetall-Dielektrikum (IMD) sein oder umfassen. Die erste dielektrische Schicht 22 kann beispielsweise aus einem Low-k-Dielektrikum mit einem k-Wert von weniger als etwa 4,0, wie etwa 2,0 oder sogar weniger, bestehen oder es umfassen. In einigen Beispielen umfasst die erste dielektrische Schicht 22 Phosphorsilikatglas (PSG), Borphosphorsilikatglas (BPSG), Fluorsilikatglas (FSG), SiOxCy, Spin-On-Glas, Spin-On-Polymer, Siliziumkohlenstoffmaterial, eine Verbindung davon, einen Verbundstoff davon oder eine Kombination davon.
  • Ein leitfähiges Merkmal 24 ist in und/oder durch die erste dielektrische Schicht 22 angeordnet. Das leitfähige Merkmal 24 kann eine Gatestruktur eines Transistors, ein Kontaktstöpsel zu einer Gatestruktur eines Transistors und/oder zu einem Source/Drain-Bereich eines Transistors, eine Leiterbahn und/oder eine leitfähige Durchkontaktierung sein oder umfassen. Zum Beispiel kann die erste dielektrische Schicht 22 ein ILD umfassen und das leitfähige Merkmal 24 kann eine Gateelektrode (z. B. aus Wolfram, Kobalt usw.) in dem ILD umfassen, die beispielsweise unter Verwendung eines Ersatz-Gate-Verfahrens ausgebildet wird. In einem weiteren Beispiel kann die erste dielektrische Schicht 22 ein ILD sein und das leitfähige Merkmal 24 kann einen Kontaktstöpsel umfassen. Der Kontaktstöpsel kann durch Ausbilden einer Öffnung durch das ILD beispielsweise zu einer Gateelektrode und/oder einem Source/Drain-Bereich eines auf dem Halbleitersubstrat 20 ausgebildeten Transistors ausgebildet werden. Der Kontaktstöpsel kann eine Haftschicht (z. B. aus Ti usw.), eine Sperrschicht (z. B. aus TiN usw.) auf der Haftschicht und ein leitfähiges Füllmaterial (z. B. Wolfram, Kobalt usw.) auf der Sperrschicht umfassen. In noch einem weiteren Beispiel kann die erste dielektrische Schicht 22 ein IMD sein und das leitfähige Merkmal 24 kann eine Leiterbahn und/oder eine leitfähige Durchkontaktierung (kollektiv oder individuell als „Verbindungsstruktur“ bezeichnet) umfassen. Die Verbindungsstruktur kann durch Ausbilden einer Öffnung und/oder Vertiefung durch und/oder in der IMD beispielsweise unter Verwendung eines Damascene-Verfahrens ausgebildet werden. Die Verbindungsstruktur kann zum Beispiel eine Sperrschicht (wie hierin beschrieben) entlang Seitenwänden der ersten dielektrischen Schicht 22 und ein Metallfüllmaterial (z. B. Kupfer usw.) umfassen.
  • Eine Ätzstoppschicht (ESL) 26 befindet sich über der ersten dielektrischen Schicht 22 und dem leitfähigen Merkmal 24. Im Allgemeinen kann eine ESL einen Mechanismus bereitstellen, um ein Ätzverfahren zu stoppen, wenn z. B. leitfähige Durchkontaktierungen ausgebildet werden. Eine ESL kann aus einem Dielektrikum mit einer anderen Ätzselektivität als benachbarte Schichten oder Komponenten ausgebildet sein. Die ESL 26 ist auf den oberen Flächen der ersten dielektrischen Schicht 22 und der leitfähigen Struktur 24 abgeschieden. Die ESL 26 kann Siliziumnitrid, Siliziumkohlenstoffnitrid, Siliziumkohlenstoffoxid, Kohlenstoffnitrid oder dergleichen oder eine Kombination davon umfassen oder daraus bestehen und kann durch chemische Gasphasenabscheidung (CVD), plasmaunterstützte CVD (PECVD), ALD, oder eine andere Abscheidungstechnik abgeschieden werden.
  • Eine zweite dielektrische Schicht 28 liegt über der ESL 26. Zum Beispiel kann die zweite dielektrische Schicht 28 ein IMD sein oder dieses umfassen. Die zweite dielektrische Schicht 28 ist auf der oberen Fläche der ESL 26 abgeschieden. Die zweite dielektrische Schicht 28 kann beispielsweise aus einem Low-k-Dielektrikum mit einem k-Wert von weniger als etwa 4,0, wie etwa 2,0 oder sogar weniger, bestehen oder dieses umfassen. In einigen Beispielen umfasst die zweite dielektrische Schicht 28 PSG, BPSG, FSG, SiOxCy, Spin-On-Glas, Spin-On-Polymer, Siliziumkohlenstoffmaterial, eine Verbindung davon, einen Verbundstoff davon, oder eine Kombination davon. Die zweite dielektrische Schicht 28 kann unter Verwendung einer CVD wie etwa PECVD oder einer fließfähigen CVD (FCVD); Rotationsbeschichtung; oder einer anderen Abscheidungstechnik abgeschieden werden. In einigen Beispielen kann eine chemischmechanische Planarisierung (CMP) oder ein anderes Planarisierungsverfahren durchgeführt werden, um die obere Fläche der zweiten dielektrischen Schicht 28 zu planarisieren.
  • Die Konfiguration von 1 ist ein Beispiel zur Veranschaulichung von Aspekten hierin. In weiteren Beispielen können verschiedene weitere Schichten vorgesehen, weggelassen und/oder modifiziert sein. Ein Durchschnittsfachmann wird verschiedene Modifikationen, die vorgenommen werden können, leicht verstehen.
  • 2 zeigt die Ausbildung einer Öffnung 30 in und/oder durch die ESL 26 und die zweite dielektrische Schicht 28 zu dem leitfähigen Merkmal 24. Die Öffnung 30 kann eine Kontaktöffnung, ein Graben und/oder dergleichen sein oder diese umfassen. Die Öffnung 30 kann unter Verwendung von Photolithographie- und Ätzverfahren ausgebildet werden, etwa in einem Damascene-Verfahren. Das Ätzverfahren kann ein reaktives Ionenätzen (RIE), ein Neutralstrahlätzen (NBE), ein induktiv gekoppeltes Plasma- (ICP) -Ätzen oder dergleichen oder eine Kombination davon umfassen. Das Ätzverfahren kann anisotrop sein.
  • Die Seitenwände der Öffnung 30 sind als vertikal gezeigt. In weiteren Beispielen können sich Seitenwände der Öffnung 30 zusammen in einer Richtung zu dem Boden der Öffnung 30 hin oder von diesem weg verjüngen. Zum Beispiel kann die Öffnung 30 ein positives Kegelprofil oder ein überhängendes Profil aufweisen.
  • 3 zeigt die Ausbildung einer Sperrschicht 40 in der Öffnung 30 entlang der Seitenwände der zweiten dielektrischen Schicht 28 und der ESL 26 und entlang der oberen Fläche des leitfähigen Merkmals 24. Die Sperrschicht 40 wird ferner auf der oberen Fläche der zweiten dielektrischen Schicht 28 ausgebildet. Im Allgemeinen umfasst die Sperrschicht 40 in einigen Beispielen Tantalnitrid. Ein Verfahren zum Ausbilden der Sperrschicht 40 wird im Folgenden unter Bezugnahme auf 6 detaillierter beschrieben. Wie in 3 gezeigt, hat die Sperrschicht 40 eine erste Dicke T1 entlang der oberen Fläche des leitfähigen Merkmals 24 und hat eine zweite Dicke T2 entlang der Seitenwände der zweiten dielektrischen Schicht 28 und der ESL 26. Im Allgemeinen und wie aus der Beschreibung von 6 ersichtlich wird, ist die zweite Dicke T2 größer als die erste Dicke T1.
  • 4 zeigt die Ausbildung eines leitfähigen Füllmaterials 42 auf der Sperrschicht 40, das die Öffnung 30 füllt. Das leitfähige Füllmaterial 42 kann eine Metallfüllung wie etwa Kupfer, Wolfram, Kobalt, Aluminium, Ruthenium oder dergleichen oder eine Kombination davon sein oder umfassen. Das leitfähige Füllmaterial 42 kann durch ein beliebiges akzeptables Abscheidungsverfahren abgeschieden werden, wie zum Beispiel CVD, physikalische Gasphasenabscheidung (PVD), Plattieren (z. B. stromloses Plattieren), dergleichen oder eine Kombination davon.
  • 5 zeigt das Entfernen von überschüssigem leitfähigem Füllmaterial 42 und Material der Sperrschicht 40, um ein leitfähiges Merkmal (umfassend das leitfähige Füllmaterial 42 und die Sperrschicht 40) in der zweiten dielektrischen Schicht 28 auszubilden. Das überschüssige leitfähige Füllmaterial 42 und Material der Sperrschicht 40 können unter Verwendung eines Planarisierungsverfahrens, wie etwa eines CMP, entfernt werden, das obere Flächen des leitfähigen Füllmaterials 42, der Sperrschicht 40 und der zweiten dielektrischen Schicht 28 so ausbilden kann, dass sie plan sind. Ein leitfähiges Merkmal, beispielsweise in einer Damascene-Verbindungsstruktur, kann so ausgebildet werden, wie in 5 gezeigt.
  • Obwohl in den Figuren nicht gezeigt, kann eine oder mehrere zusätzliche dielektrische Schichten über der zweiten dielektrischen Schicht 28 und dem leitfähigen Merkmal (einschließlich des leitfähigen Füllmaterials 42 und der Sperrschicht 40) ausgebildet werden. Zusätzlich kann ein zusätzliches leitfähiges Merkmal, das ähnlich wie das gezeigte leitfähige Merkmal (einschließlich des leitfähigen Füllmaterials 42 und der Sperrschicht 40) ausgebildet werden kann, in der einen oder den mehreren zusätzlichen dielektrischen Schichten ausgebildet werden und die obere Fläche des gezeigten leitfähigen Merkmals berühren.
  • 6 ist ein Flussdiagramm eines Verfahrens zum Ausbilden der Sperrschicht 40 in dem leitfähigen Merkmal gemäß einigen Ausführungsformen. Das Verfahren von 6 umfasst das Durchführen eines Reinigungsverfahrens (Vorgang 102), das Abscheiden einer kohlenstoffreichen Tantalnitridschicht (Vorgang 104) und das Durchführen einer Plasmabehandlung (Vorgang 106). Das Reinigungsverfahren, das Abscheiden und die Plasmabehandlung können in einigen Fällen in situ in derselben Prozesskammer durchgeführt werden.
  • In Vorgang 102 wird ein Reinigungsverfahren an der Zwischenstruktur von 2 durchgeführt. Das Reinigungsverfahren kann Restmaterial aus dem Ätzverfahren entfernen, das die Öffnung 30 ausbildet, und kann ein Oxid von der oberen Fläche des leitfähigen Merkmals 24 entfernen. In einigen Beispielen kann das leitfähige Merkmal 24 aus Kupfer bestehen oder es umfassen und ein Kupferoxid kann sich an der oberen Fläche des Kupfers gebildet haben, beispielsweise durch das Ätzverfahren zum Ausbilden der Öffnung 30, ein CMP-Verfahren, wenn das leitfähige Merkmal 24 ausgebildet wird, und/oder andere Verfahren. In diesen Beispielen kann das Reinigungsverfahren das Kupferoxid entfernen.
  • In einigen Beispielen umfasst das Reinigungsverfahren das Aussetzen der Zwischenstruktur von 2 gegenüber einem Plasma. Das Plasma kann ein Oxid verringern, das auf dem leitfähigen Merkmal 24 gebildet ist. Das Plasma kann ein Reduktionsgas wie Wasserstoff (H2) sein oder umfassen. In einigen Beispielen ist das Plasma ein entferntes Plasma.
  • In Vorgang 104 wird die kohlenstoffreiche Tantalnitridschicht auf der gereinigten Zwischenstruktur abgeschieden. 6 zeigt ein ALD-Verfahren zum Abscheiden der kohlenstoffreichen Tantalnitridschicht in Vorgang 104, obwohl in weiteren Beispielen ein anderes CVD-Verfahren zum Abscheiden der kohlenstoffreichen Tantalnitridschicht verwendet werden kann. In Verbindung mit der Plasmabehandlung des Vorgangs 106 kann das ALD-Verfahren als plasmaverstärkte ALD (PEALD) bezeichnet werden. Der Vorgang 104 umfasst sequenziell das Pulsen eines kohlenstoffreichen Tantalnitrid-Vorläufergases (Vorgang 110) in eine Kammer, das Spülen (Vorgang 112) der Kammer, das Pulsen eines Reaktionsgases (Vorgang 114) in die Kammer und das Spülen (Vorgang 116) der Kammer. In weiteren Beispielen kann die Reihenfolge der Vorgänge 110, 112, 114 und 116 geändert werden, beispielsweise indem der Vorgang 114 vor dem Vorgang 110 durchgeführt wird. Die Vorgänge 110, 112, 114 und 116 bilden einen Zyklus des ALD-Verfahrens. Bei Vorgang 118 wird eine Festlegung durchgeführt, ob ein weiterer Zyklus wiederholt werden soll, und wenn dies der Fall ist, wird der Zyklus, der bei Vorgang 110 beginnt, erneut ausgeführt. Der Zyklus kann wiederholt werden, bis eine vorbestimmte Anzahl von Zyklen ausgeführt wurden, um eine Zieldicke der kohlenstoffreichen Tantalnitridschicht zu erreichen. In einigen Beispielen liegt eine Anzahl der auszuführenden Zyklen in einem Bereich von beispielsweise 10 Zyklen bis 30 Zyklen.
  • Das ALD-Verfahren kann in einer Kammer mit einem Druck in einem Bereich von etwa 2 Torr bis etwa 5 Torr und mit einer Temperatur in einem Bereich von etwa 250 °C bis etwa 350 °C und insbesondere von etwa 275 °C bis etwa 325 °C durchgeführt werden. Eine Haltezeit für jeden Impuls (Vorgänge 110, 114) kann in einem Bereich von etwa 0,5 Sekunden bis etwa 10 Sekunden liegen. Eine Dauer für jede Spülung (Vorgänge 112, 116) kann in einem Bereich von etwa 0,5 Sekunden bis etwa 10 Sekunden liegen.
  • Das kohlenstoffreiche Tantalnitrid-Vorläufergas für den Vorgang 110 kann Ta, [(3,4-Eta)-Alkin] tris (N, N-alkylaminato) (Ta[N(CH3)2]3(C6H10)), Ta[N(C2H5)2]3NC(CH3)3 und/oder dergleichen sein oder umfassen. In einigen Beispielen kann das kohlenstoffreiche Tantalnitrid-Vorläufergas eine Kohlenstoffkonzentration von etwa 25 Prozent Atomanteil (Atom-%) aufweisen, wie in einem Bereich von etwa 25 Atom-% bis etwa 40 Atom-%. Das Reaktionsgas für den Vorgang 114 kann Ammoniak (NH3), Hydrazin (N2H2) und/oder dergleichen sein oder umfassen. Das kohlenstoffreiche Tantalnitrid-Vorläufergas und das Reaktionsgas für die Vorgänge 110 bzw. 114 können mit einem Trägergas gemischt werden, das inert sein kann, wie beispielsweise Argon (Ar). Ferner kann ein Gas für die Spülvorgänge der Vorgänge 112, 116 ein Inertgas sein, wie beispielsweise Argon (Ar).
  • Unter Verwendung des beispielhaften ALD-Verfahrens in Vorgang 104 kann eine kohlenstoffreiche Tantalnitridschicht mit einer variierenden Dicke abgeschieden werden, die von der Oberfläche abhängt, auf der die Schicht abgeschieden wird. In einigen Beispielen hat die kohlenstoffreiche Tantalnitridschicht, wenn sie abgeschieden ist, eine größere Dicke auf dielektrischen Oberflächen (z. B. Seitenwänden der zweiten dielektrischen Schicht 28 und der ESL 26 in 3) verglichen mit metallischen Oberflächen (z. B. der Oberseite des leitfähigen Merkmals 24 in 3). 7 zeigt Aspekte dieser Dickendifferenz. 7 ist ein Diagramm, das die Dicke einer abgeschiedenen Schicht in Abhängigkeit von der Anzahl der Abscheidungszyklen zeigt. Eine erste angepasste Linie 202 basierend auf ersten experimentellen Datenpunkten 212 zeigt die Dicke der kohlenstoffreichen Tantalnitridschicht, die auf einer Oberfläche eines Low-k-Dielektrikums abgeschieden ist (z. B. einem SiOxCy-Material), und eine zweite angepasste Linie 204 basierend auf zweiten experimentellen Datenpunkten 214 zeigt die Dicke der kohlenstoffreichen Tantalnitridschicht, die auf einer Oberfläche eines Metalls (z. B. Cu) abgeschieden ist. Die Abscheidung auf der Oberfläche des Metalls ist gegenüber der Abscheidung auf der Oberfläche des Low-k-Dielektrikums verzögert, was vermutlich auf eine längere Inkubationszeit für die Abscheidung auf der Oberfläche des Metalls verglichen mit der Oberfläche des Low-k-Dielektrikums zurückzuführen ist. Eine Inkubationszeitdifferenz 220 ist in 7 gezeigt, die die längere Inkubationszeit anzeigt. In einigen Beispielen kann die Inkubationszeitdifferenz 220 zu einer Differenz zwischen einer Dicke auf der Oberfläche des Low-k-Dielektrikums und einer Dicke auf der Oberfläche eines Metalls in einem Bereich von etwa 4 Å bis etwa 6 Ä führen. Nachdem die Inkubationszeit für die Oberflächen abgelaufen ist, erfolgt die Abscheidung im Wesentlichen mit der gleichen Rate (z. B. innerhalb von etwa 3 % voneinander, beispielsweise von weniger als etwa 2,1 %), unabhängig von der darunterliegenden Oberfläche.
  • Es wird angenommen, dass das kohlenstoffreiche Tantalnitrid-Vorläufergas eine größere sterische Hinderung aufweist, die die Adsorption an dem Metall (z. B. Cu) im Vergleich zu kohlenstoffarmen Tantalnitrid-Vorläufergasen verringert. Die großen organischen (z. B. C-haltigen) Gruppen des kohlenstoffreichen Tantalnitrid-Vorläufergases können eine abstoßende Kraft von dem Metall bereitstellen, die möglicherweise nicht bei kohlenstoffarmen Tantalnitrid-Vorläufergasen vorhanden ist. Ferner kann das Low-k-Dielektrikum (z. B. SiOxCy) im Vergleich zu dem Metall (z. B. Cu) eine geringere Adsorptions-Aktivierungsenergie aufweisen, die es dem kohlenstoffreichen Tantalnitrid-Vorläufergas ermöglicht, in früheren Zyklen des ALD-Verfahrens stärker mit dem Low-k-Dielektrikum zu reagieren.
  • In Vorgang 106 wird die in Vorgang 104 abgeschiedene kohlenstoffreiche Tantalnitridschicht unter Verwendung eines Plasmaverfahrens behandelt. Das Plasmaverfahren kann organische Verunreinigungen entfernen. Das Plasmaverfahren kann auch die kohlenstoffreiche Tantalnitridschicht verdichten, was die Diffusions-Sperreigenschaften der Schicht verbessern kann. In einigen Beispielen implementiert das Plasmaverfahren ein kapazitiv gekoppeltes Plasma (CCP). Das Plasmaverfahren kann Wasserstoffgas (H2) mit einem Trägergas wie Argon (Ar) verwenden. Die Strömungsrate des Wasserstoffgases kann in einem Bereich von etwa 50 % bis etwa 95 % des gesamten Gasstroms liegen (z. B. des kombinierten Stroms von Wasserstoff und Trägergas). Ein Druck des Plasmaverfahrens kann in einem Bereich von etwa 1 Torr bis etwa 5 Torr liegen. Eine Temperatur des Plasmaverfahrens kann in einem Bereich von etwa 250 °C bis etwa 350 °C liegen, was gleich der Temperatur sein kann, die für die Abscheidung in Vorgang 104 verwendet wird. Eine Leistung des Plasmagenerators des Plasmaverfahrens kann in einem Bereich von etwa 100 W bis etwa 800 W liegen und eine Frequenz des Plasmagenerators kann bei etwa 13,56 MHz, in einem Bereich von etwa 20 MHz bis etwa 40 MHz oder bei einer anderen Frequenz liegen. Eine Dauer der Behandlung durch das Plasmaverfahren kann in einem Bereich von etwa 5 Sekunden bis etwa 120 Sekunden liegen.
  • Die kohlenstoffreiche Tantalnitridschicht kann das Plasma adsorbieren und/oder mit ihm reagieren, um die kohlenstoffreiche Tantalnitridschicht von Kohlenstoff zu verarmen und die kohlenstoffreiche Tantalnitridschicht zu verdichten. Nach der Abscheidung kann die kohlenstoffreiche Tantalnitridschicht eine Kohlenstoffkonzentration von etwa 15 Atom-% oder mehr aufweisen, beispielsweise in einem Bereich von etwa 15 Atom-% bis etwa 35 Atom-% (z. B. etwa 20 Atom-%). Eine Dichte der kohlenstoffreichen Tantalnitridschicht, wie sie abgeschieden ist, kann in einem Bereich von etwa 5 g/cm3 bis etwa 7 g/cm3 liegen. Nach der Plasmabehandlung kann Kohlenstoff aus der kohlenstoffreichen Tantalnitridschicht verarmt sein und daher kann die kohlenstoffreiche Tantalnitridschicht nach der Plasmabehandlung als eine Tantalnitridschicht bezeichnet werden, die kohlenstoffarm sein kann. Ferner kann die Tantalnitridschicht dichter sein als die kohlenstoffreiche Tantalnitridschicht, wie sie abgeschieden ist. Nach der Plasmabehandlung kann die Tantalnitridschicht eine Kohlenstoffkonzentration in einem Bereich von etwa 1 Atom-% bis etwa 5 Atom-% aufweisen. Eine Dichte der Tantalnitridschicht nach der Plasmabehandlung kann in einem Bereich von etwa 11,0 g/cm3 bis etwa 12,0 g/cm3 liegen. Nach der Plasmabehandlung kann die ausgebildete Tantalnitridschicht die Sperrschicht 40 von 3 sein.
  • Wie zuvor erwähnt, ist die erste Dicke T1 der Sperrschicht 40 auf der oberen Fläche des leitfähigen Merkmals 24 geringer als die zweite Dicke T2 der Sperrschicht 40 auf den Seitenwänden der zweiten dielektrischen Schicht 28. Der Unterschied zwischen den Dicken T1, T2 kann weitgehend durch den Unterschied in der Inkubationszeit während der Abscheidung in Vorgang 104 von 6 erzeugt sein. In einem speziellen Beispiel kann die erste Dicke T1 in einem Bereich von etwa 14 Å bis etwa 16 Å liegen und die zweite Dicke T2 kann etwa 20 Å betragen. Daher kann in diesem besonderen Beispiel die erste Dicke T1 um einen Betrag zwischen etwa 20 % und etwa 30 % der zweiten Dicke T2 kleiner als die zweite Dicke T2 sein. In weiteren Beispielen kann die als Prozentsatz ausgedrückte Differenz variieren, z.B. abhängig von der zweiten Dicke T2. Wie zuvor beschrieben, kann die kohlenstoffreiche Tantalnitridschicht nach der Inkubationsperiodendifferenz mit im Wesentlichen der gleichen Rate z. B. auf dem leitfähigen Merkmal 24 und auf der zweiten dielektrischen Schicht 28 abgeschieden werden. Daher kann der Unterschied zwischen den Dicken T1, T2 im Wesentlichen derselbe bleiben, obwohl die Sperrschicht 40 bei verschiedenen Dicken ausgebildet sein kann, und wenn z. B. die zweite Dicke T2 zunimmt, wird die Differenz zwischen den Dicken T1, T2 als Prozentsatz der zweiten Dicke T2 kleiner.
  • Die unterschiedlichen Dicken T1, T2 können günstige Sperreigenschaften der Sperrschicht 40 für die zweite dielektrische Schicht 28 ermöglichen, während sie den Widerstand zwischen dem leitfähigen Merkmal 24 und dem leitfähigen Füllmaterial 42 verringern. Je dünner die Sperrschicht 40 zwischen dem leitfähigen Merkmal 24 und dem leitfähigen Füllmaterial 42 ist, desto geringer ist im Allgemeinen der Widerstand zwischen dem leitfähigen Merkmal 24 und dem leitfähigen Füllmaterial 42. Umgekehrt gilt, je dicker die Sperrschicht 40 zwischen dem leitfähigen Füllmaterial 42 und der zweiten dielektrischen Schicht 28 ist, desto besser kann die Sperrschicht 40 Diffusion des leitfähigen Füllmaterials 42 in die zweite dielektrische Schicht 28 verhindern. Daher kann die Sperrschicht 40 das Erreichen eines niedrigeren Widerstands ermöglichen, indem sie eine dünnere erste Dicke T1 entlang des leitfähigen Merkmals 24 aufweist, und vorteilhafte Sperreigenschaften ermöglichen, indem sie eine dickere zweite Dicke T2 entlang der Seitenwand der zweiten dielektrischen Schicht 28 aufweist.
  • Beispiele, die unten beschrieben sind, implementieren eine Sperrschicht unter Verwendung einer abgeschiedenen Tantalnitridschicht mit differentieller Kohlenstoffkonzentration. Eine Tantalnitridschicht mit differentieller Kohlenstoffkonzentration kann durch mehrere (z. B. zwei oder mehr) Teilschichten (z. B. als Mehrfachschicht) und/oder durch eine Gradientschicht implementiert werden. In einer mehrschichtigen Implementierung kann jede der mehreren Teilschichten mit unterschiedlicher Kohlenstoffkonzentration abgeschieden werden, wobei die jeweilige Kohlenstoffkonzentration über die Teilschicht hinweg im Wesentlichen gleichmäßig ist, indem je wechselnd ein kohlenstoffreiches Tantalnitrid-Vorläufergas und ein kohlenstoffarmes Tantalnitrid-Vorläufergases oder unterschiedliche Mischungen davon verwendet werden. In einer Gradientschicht-Implementierung kann eine Gradientschicht mit einer im Wesentlichen kontinuierlichen Kohlenstoff-Gradientkonzentration abgeschieden werden, indem je wechselnd ein kohlenstoffreiches Tantalnitrid-Vorläufergas und ein kohlenstoffarmes Tantalnitrid-Vorläufergases oder unterschiedliche Mischungen davon verwendet werden.
  • Die 8 und 9 zeigen Querschnittsansichten jeweiliger Zwischenstrukturen während eines beispielhaften Verfahrens zum Ausbilden eines leitfähigen Merkmals gemäß einigen Ausführungsformen. 8 zeigt das Halbleitersubstrat 20, die erste dielektrische Schicht 22, das leitfähige Merkmal 24, die ESL 26, die zweite dielektrische Schicht 28 und die Öffnung 30, wie sie in Bezug auf die 1 und 2 oben beschrieben wurden.
  • 8 zeigt ferner die Ausbildung einer Sperrschicht in der Öffnung 30 entlang der Seitenwände der zweiten dielektrischen Schicht 28 und der ESL 26 und entlang der oberen Fläche des leitfähigen Merkmals 24. Die Sperrschicht wird ferner auf der oberen Fläche der zweiten dielektrischen Schicht 28 ausgebildet. Die Sperrschicht wird unter anderem durch Abscheiden einer ersten Teilschicht 50 und einer zweiten Teilschicht 52 über der ersten Teilschicht 50 implementiert. Im Allgemeinen umfasst die Sperrschicht in einigen Beispielen Tantalnitrid. Ein Verfahren zum Ausbilden der Sperrschicht, das das Abscheiden der ersten Teilschicht 50 und der zweiten Teilschicht 52 umfasst, wird im Folgenden unter Bezugnahme auf 12 detaillierter beschrieben. Wie in 8 gezeigt, hat die Sperrschicht eine erste Dicke T1 entlang der oberen Fläche des leitfähigen Merkmals 24 und hat eine zweite Dicke T2 entlang der Seitenwände der zweiten dielektrischen Schicht 28 und der ESL 26. Im Allgemeinen und wie aus der Beschreibung von 12 ersichtlich wird, ist die zweite Dicke T2 größer als die erste Dicke T1.
  • 9 zeigt die Ausbildung eines leitfähigen Füllmaterials 54 auf der Sperrschicht, das die Öffnung 30 füllt, und das Entfernen von überschüssigem leitfähigem Füllmaterial 54 und Material der Sperrschicht. Das leitfähige Füllmaterial 54 kann eine Metallfüllung wie etwa Kupfer, Wolfram, Kobalt, Aluminium, Ruthenium oder dergleichen oder eine Kombination davon sein oder umfassen. Das leitfähige Füllmaterial 54 kann durch ein beliebiges akzeptables Abscheidungsverfahren wie etwa CVD, PVD, Plattieren (z. B. stromloses Plattieren) oder dergleichen oder eine Kombination davon abgeschieden werden. Überschüssiges leitfähiges Füllmaterial 54 und Material der Sperrschicht können unter Verwendung eines Planarisierungsverfahrens wie CMP entfernt werden, das obere Flächen des leitfähigen Füllmaterials 54, der Sperrschicht und der zweiten dielektrischen Schicht 28 so ausbilden kann, dass sie plan sind. Ein leitfähiges Merkmal, beispielsweise in einer Damascene-Verbindungsstruktur, kann so ausgebildet werden, wie in 9 gezeigt.
  • Die 10 und 11 zeigen Querschnittsansichten jeweiliger Zwischenstrukturen während eines beispielhaften Verfahrens zum Ausbilden eines leitfähigen Merkmals gemäß einigen Ausführungsformen. 10 zeigt das Halbleitersubstrat 20, die erste dielektrische Schicht 22, das leitfähige Merkmal 24, die ESL 26, die zweite dielektrische Schicht 28 und die Öffnung 30, wie sie in Bezug auf die 1 und 2 oben beschrieben wurden.
  • 10 zeigt ferner die Ausbildung einer Sperrschicht in der Öffnung 30 entlang der Seitenwände der zweiten dielektrischen Schicht 28 und der ESL 26 und entlang der oberen Fläche des leitfähigen Merkmals 24. Die Sperrschicht wird ferner auf der oberen Fläche der zweiten dielektrischen Schicht 28 ausgebildet. Die Sperrschicht wird unter anderem durch Abscheiden einer ersten Teilschicht 60, einer zweiten Teilschicht 62 über der ersten Teilschicht 60 und einer dritten Teilschicht 64 über der zweiten Teilschicht 62 implementiert. Im Allgemeinen umfasst die Sperrschicht in einigen Beispielen Tantalnitrid. Ein Verfahren zum Ausbilden der Sperrschicht, das das Abscheiden der ersten Teilschicht 60, der zweiten Teilschicht 62 und der dritten Teilschicht 64 umfasst, wird im Folgenden unter Bezugnahme auf 12 detaillierter beschrieben. Wie in 10 gezeigt, hat die Sperrschicht eine erste Dicke T1 entlang der oberen Fläche des leitfähigen Merkmals 24 und hat eine zweite Dicke T2 entlang der Seitenwände der zweiten dielektrischen Schicht 28 und der ESL 26. Im Allgemeinen und wie aus der Beschreibung von 12 ersichtlich wird, ist die zweite Dicke T2 größer als die erste Dicke T1.
  • 11 zeigt die Ausbildung eines leitfähigen Füllmaterials 66 auf der Sperrschicht, das die Öffnung 30 füllt, und das Entfernen von überschüssigem leitfähigem Füllmaterial 66 und Material der Sperrschicht. Das leitfähige Füllmaterial 66 kann eine Metallfüllung wie etwa Kupfer, Wolfram, Kobalt, Aluminium, Ruthenium oder dergleichen oder eine Kombination davon sein oder umfassen. Das leitfähige Füllmaterial 66 kann durch ein beliebiges akzeptables Abscheidungsverfahren wie etwa CVD, PVD, Plattieren (z. B. stromloses Plattieren) oder dergleichen oder eine Kombination davon abgeschieden werden. Überschüssiges leitfähiges Füllmaterial 66 und Material der Sperrschicht können unter Verwendung eines Planarisierungsverfahrens wie CMP entfernt werden, das obere Flächen des leitfähigen Füllmaterials 66, der Sperrschicht und der zweiten dielektrischen Schicht 28 so ausbilden kann, dass sie plan sind. Ein leitfähiges Merkmal, beispielsweise in einer Damascene-Verbindungsstruktur, kann so ausgebildet werden, wie in 11 gezeigt.
  • 12 ist ein Flussdiagramm eines Verfahrens zum Ausbilden der Sperrschicht der 8 und 10 in dem leitfähigen Merkmal gemäß einigen Ausführungsformen. Das Verfahren von 12 umfasst das Durchführen eines Reinigungsverfahrens (Vorgang 302), das Abscheiden einer Tantalnitridschicht mit differentieller Kohlenstoffkonzentration (Vorgang 304) und das Durchführen einer Plasmabehandlung (Vorgang 306). Das Reinigungsverfahren, das Abscheiden und die Plasmabehandlung können in einigen Fällen in situ in derselben Prozesskammer durchgeführt werden. Das Reinigungsverfahren und die Plasmabehandlung können gleich oder ähnlich wie das Reinigungsverfahren (Vorgang 102) bzw. die Plasmabehandlung (Vorgang 106) von 6 sein und daher werden Details dieser Verfahren der Kürze halber weggelassen.
  • Der Vorgang 304 scheidet eine Tantalnitridschicht mit differentieller Kohlenstoffkonzentration ab, die eine variierende Kohlenstoffkonzentration darin aufweist. In einigen Beispielen kann die Tantalnitridschicht mit differentieller Kohlenstoffkonzentration aus mehreren Teilschichten bestehen, die jeweils im Wesentlichen gleichmäßige, aber unterschiedliche Kohlenstoffkonzentrationen aufweisen (z. B. mit einer schrittweisen Zunahme oder Abnahme der Kohlenstoffkonzentration). In einigen Beispielen kann die Tantalnitridschicht mit differentieller Kohlenstoffkonzentration eine Schicht mit einer im Wesentlichen kontinuierlichen Kohlenstoff-Gradientkonzentration sein. In einigen Beispielen kann die Tantalnitridschicht mit differentieller Kohlenstoffkonzentration eine Kombination aus einer oder mehreren Teilschichten und einen im Wesentlichen kontinuierlichen Gradienten aufweisen (z. B. mit einer oder mehreren Teilschichten, die jeweils eine einheitliche Konzentration aufweisen, während eine oder mehrere Teilschichten jeweils eine Gradientkonzentration aufweisen).
  • In Vorgang 304 wird die Tantalnitridschicht mit differentieller Kohlenstoffkonzentration auf der gereinigten Zwischenstruktur abgeschieden (wie in Bezug auf 6 beschrieben). 12 zeigt ein ALD-Verfahren zum Abscheiden der Tantalnitridschicht mit differentieller Kohlenstoffkonzentration in Vorgang 304, obwohl in weiteren Beispielen ein anderes CVD-Verfahren verwendet werden kann, um die Tantalnitridschicht mit differentieller Kohlenstoffkonzentration abzuscheiden. Der Vorgang 304 umfasst neben weiteren Vorgängen sequentiell das Pulsen eines von oder einer Mischung aus einem kohlenstoffreichen Tantalnitrid-Vorläufergas und einem kohlenstoffarmen Tantalnitrid-Vorläufergas (Vorgang 312) in eine Kammer, das Spülen (Vorgang 314) der Kammer, das Pulsen eines Reaktionsgases (Vorgang 316) in die Kammer und das Spülen (Vorgang 318) der Kammer. In weiteren Beispielen kann die Reihenfolge der Vorgänge 312, 314, 316 und 318 geändert werden, beispielsweise indem der Vorgang 316 vor dem Vorgang 312 durchgeführt wird. Die Vorgänge 312, 314, 316 und 318 bilden einen Zyklus des ALD-Verfahrens.
  • Bevor ein anfänglicher Zyklus durchgeführt wird, werden in Vorgang 310 Anfangsmengen oder Strömungsraten des kohlenstoffreichen Tantalnitrid-Vorläufergases, des kohlenstoffarmen Tantalnitrid-Vorläufergases und des Reaktionsgases, die nachfolgend gepulst werden sollen, festgelegt. Das Festlegen bei Vorgang 310 kann auf einer Rezeptur des ALD-Verfahrens basieren, die eine gewünschte Tantalnitridschicht mit differenzieller Kohlenstoffkonzentration gemäß verschiedenen Entwurfsbedingungen implementieren kann, von denen einige nachstehend beschrieben sind. Nachdem die Festlegung in Vorgang 310 durchgeführt wurde, wird ein Zyklus ausgeführt, der die Vorgänge 312, 314, 316 und 318 umfasst. In Vorgang 320 wird eine Festlegung durchgeführt, ob ein weiterer Zyklus wiederholt werden soll. Wenn dies der Fall ist, wird in Vorgang 322 eine Festlegung dahingehend durchgeführt, ob irgendeine der Mengen der Vorläufergase und/oder des Reaktionsgases für den folgenden Zyklus geändert werden soll. Die Festlegung des Vorgangs 322 kann ebenfalls auf der Rezeptur basieren. Wenn die Mengen durch die Festlegung des Vorgangs 322 geändert werden sollen, werden in Vorgang 310 die Mengen oder Strömungsraten des kohlenstoffreichen Tantalnitrid-Vorläufergases, des kohlenstoffarmen Tantalnitrid-Vorläufergases und des Reaktionsgases festgelegt, die nachfolgend gepulst werden sollen, und ein weiterer Zyklus wird unter Verwendung der festgelegten Mengen durchgeführt. Wenn die Mengen nicht von der Festlegung des Vorgangs 322 geändert werden sollen, wird ein weiterer Zyklus unter Verwendung der zuvor festgelegten und in dem vorhergehenden Zyklus implementierten Mengen durchgeführt. Eine vorbestimmte Anzahl von Zyklen kann durchgeführt werden, um eine Zieldicke der Tantalnitridschicht mit differentieller Kohlenstoffkonzentration zu erreichen.
  • Das ALD-Verfahren kann in einer Kammer mit einem Druck in einem Bereich von etwa 2 Torr bis etwa 5 Torr und mit einer Temperatur in einem Bereich von etwa 250 °C bis etwa 350 °C und insbesondere von etwa 275 °C bis etwa 325 °C, wie beispielsweise 300 °C, durchgeführt werden. Eine Haltezeit für jeden Puls (Vorgänge 312, 316) kann in einem Bereich von etwa 0,5 Sekunden bis etwa 10 Sekunden liegen. Eine Dauer für jede Spülung (Vorgänge 314, 318) kann in einem Bereich von etwa 0,5 Sekunden bis etwa 10 Sekunden liegen.
  • Das kohlenstoffreiche Tantalnitrid-Vorläufergas für den Vorgang 312 kann Ta, [(3,4-Eta)-Alkin] tris (N, N-alkylaminato) (Ta[N(CH3)2]3(C6H10)), Ta[N(C2H5)2]3NC(CH3)3 und/oder dergleichen sein oder umfassen. In einigen Beispielen kann das kohlenstoffreiche Tantalnitrid-Vorläufergas eine Kohlenstoffkonzentration von etwa 25 Atom-% oder mehr aufweisen, beispielsweise in einem Bereich von etwa 25 Atom-% bis etwa 40 Atom-%. Das kohlenstoffarme Tantalnitrid-Vorläufergas für den Vorgang 312 kann Ta[N(CH3)2]5 und/oder dergleichen sein oder umfassen. In einigen Beispielen kann das kohlenstoffarme Tantalnitrid-Vorläufergas eine Kohlenstoffkonzentration von weniger als etwa 25 Atom-% aufweisen, beispielsweise in einem Bereich von etwa 15 Atom-% bis unter etwa 25 Atom-%. Das Reaktionsgas für den Vorgang 316 kann Ammoniak (NH3), Hydrazin (N2H2) und/oder dergleichen sein oder umfassen. Das kohlenstoffreiche Tantalnitrid-Vorläufergas, das kohlenstoffarme Tantalnitrid-Vorläufergas und das Reaktionsgas für die Vorgänge 312, 316 können mit einem Trägergas gemischt werden, das inert sein kann, wie beispielsweise Argon (Ar). Ferner kann ein Gas für die Spülungen der Vorgänge 314, 318 ein Inertgas sein, wie beispielsweise Argon (Ar).
  • Eine Implementierung des Vorgangs 304 wird zuerst beschrieben, um die Sperrschicht der 8 und 9 zu erzeugen. In Vorgang 304 wird eine erste Anzahl von Zyklen durchgeführt, wobei das kohlenstoffreiche Tantalnitrid-Vorläufergas ohne kohlenstoffarmes Tantalnitrid-Vorläufergas verwendet wird, um die erste Teilschicht 50 abzuscheiden. Zusätzlich kann das Reaktionsgas in der ersten Anzahl von Zyklen mit einer geringen prozentualen Menge gepulst werden, beispielsweise 10 % oder weniger der Gesamtströmung (z. B. der Strömung des kombinierten Reaktionsgases und Trägergases). Durch Ausführen dieser ersten Anzahl von Zyklen mit dem kohlenstoffreichen Tantalnitrid-Vorläufergas ohne das kohlenstoffarme Tantalnitrid-Vorläufergas kann die in Bezug auf die 6 und 7 beschriebene Inkubationszeitdifferenz verwendet werden, um die erste Teilschicht 50 (z. B. eine kohlenstoffreiche Tantalnitridschicht) mit unterschiedlichen Dicken auf der oberen Fläche des leitfähigen Merkmals 24 und auf Seitenwänden der zweiten dielektrischen Schicht 28 abzuscheiden. In einigen Beispielen ermöglicht die erste Anzahl von Zyklen, dass die Inkubationszeitdifferenz verstreicht, und ermöglicht, dass die erste Teilschicht 50 auf der oberen Fläche des leitfähigen Merkmals 24 zu wachsen beginnt. So kann in solchen Beispielen eine maximale Differenz der Dicke der ersten Teilschicht 50 (z. B. auf dem leitfähigen Merkmal 24 verglichen mit der zweiten dielektrischen Schicht 28) erreicht werden. In weiteren Beispielen muss die erste Anzahl von Zyklen nicht ausreichend sein, um zu ermöglichen, dass die Inkubationszeitdifferenz verstreicht.
  • Um die Abscheidung der ersten Teilschicht 50 genauer mit dem Vorgang 304 in Beziehung zu setzen, werden bei Vorgang 310 die Mengen der Vorläufergase als 100 % kohlenstoffreiches Tantalnitrid-Vorläufergas und o % kohlenstoffarmes Tantalnitrid-Vorläufergas als das Vorläufergas festgelegt (z. B. ohne Berücksichtigung des Trägergases), die in Vorgang 312 gepulst werden, und die Menge des Reaktionsgases wird als 10 % oder weniger der Gesamtströmung festgelegt (z. B. der Strömung des kombinierten Reaktionsgases und Trägergases), die in Vorgang 318 gepulst wird. Der Zyklus (Vorgänge 312, 314, 316, 318) wird durch den Vorgang 320 die erste Anzahl von Zyklen mal wiederholt, ohne dass die Gase durch den Vorgang 322 geändert werden.
  • Ferner wird in Vorgang 304 nach dem Ausführen der ersten Anzahl von Zyklen eine zweite Anzahl von Zyklen ausgeführt, wobei das kohlenstoffarme Tantalnitrid-Vorläufergas ohne kohlenstoffreiches Tantalnitrid-Vorläufergas verwendet wird, um die zweite Teilschicht 52 abzuscheiden (z. B. eine kohlenstoffarme Tantalnitridschicht). Zusätzlich kann das Reaktionsgas in der zweiten Anzahl von Zyklen mit einer hohen prozentualen Menge gepulst werden, wie etwa in einem Bereich von etwa 10 % bis etwa 99 % der Gesamtströmung (z. B. der Strömung des kombinierten Reaktionsgases und Trägergases). In einigen Beispielen zeigt die Abscheidung unter Verwendung des kohlenstoffarmen Tantalnitrid-Vorläufergases im Allgemeinen nicht die Selektivität, die mit dem kohlenstoffreichen Tantalnitrid-Vorläufergas wie oben beschrieben auftreten kann. Insbesondere gibt es in diesen Beispielen im Allgemeinen keine signifikante Inkubationszeitdifferenz und keine signifikante Differenz in der Abscheidungsrate, basierend auf der darunter liegenden Oberfläche, auf der die Schicht abgeschieden wird. Daher kann die Abscheidung der zweiten Teilschicht 52 im Wesentlichen gleichförmig und konform sein. Die zweite Anzahl von Zyklen kann ausreichen, um die zweite Teilschicht 52 mit einer gewünschten Dicke auszubilden.
  • Um die Abscheidung der zweiten Teilschicht 52 mit dem Vorgang 304 genauer in Beziehung zu setzen, wird nach Abschluss der ersten Anzahl von Zyklen in Vorgang 320 eine Festlegung durchgeführt, um den Zyklus zu wiederholen, um die zweite Anzahl von Zyklen zu initiieren. Bei Vorgang 322 wird eine Festlegung vorgenommen, dass die Mengen der Gase geändert werden sollen. In Reaktion darauf werden bei Vorgang 310 die Mengen der Vorläufergase so festgelegt, dass sie 0 % kohlenstoffreiches Tantalnitrid-Vorläufergas und 100 % kohlenstoffarmes Tantalnitrid-Vorläufergas als das Vorläufergas (z. B. ohne Berücksichtigung des Trägergases) umfassen, das in dem Vorgang 312 gepulst wird, und die Menge des Reaktionsgases wird so festgelegt, dass sie in einem Bereich von etwa 10 % bis etwa 99 % der gesamten Strömung (z. B. der Strömung des kombinierten Reaktionsgases und Trägergases) liegt, die in Vorgang 318 gepulst wird. Der Zyklus (Vorgänge 312, 314, 316, 318) wird durch den Vorgang 320 die zweite Anzahl von Zyklen mal wiederholt, ohne dass die Gase nachfolgend durch den Vorgang 322 geändert werden. Bei Abschluss der zweiten Anzahl von Zyklen wird bei Vorgang 320 eine Festlegung vorgenommen, dass ein Zyklus nicht wiederholt werden soll, und dann wird der Vorgang 306 durchgeführt.
  • In Vorgang 306 wird die Tantalnitridschicht mit differentieller Kohlenstoffkonzentration, die in Vorgang 304 abgeschieden wurde, unter Verwendung eines Plasmaverfahrens behandelt. Im Allgemeinen kann das Plasmaverfahren organische Verunreinigungen entfernen und kann auch die Tantalnitridschicht mit differentieller Kohlenstoffkonzentration verdichten.
  • Die Tantalnitridschicht mit differentieller Kohlenstoffkonzentration kann das Plasma adsorbieren und/oder mit ihm reagieren, um die Tantalnitridschicht mit differentieller Kohlenstoffkonzentration von Kohlenstoff zu verarmen und die Tantalnitridschicht mit differentieller Kohlenstoffkonzentration zu verdichten. Die kohlenstoffreiche Tantalnitridschicht (z. B. die erste Teilschicht 50), die eine höhere Kohlenstoffkonzentration als die kohlenstoffarme Tantalnitridschicht (z. B. die zweite Teilschicht 52) aufweist, kann das Eindringen des Plasmas während der Plasmabehandlung in Vorgang 306 verlangsamen oder verzögern, was eine gewisse Kohlenstoffverarmung und Beschädigung der zweiten dielektrischen Schicht 28 (z. B. einer low-k-dielektrischen Schicht) verhindern kann.
  • Wie abgeschieden, kann die kohlenstoffreiche Tantalnitridschicht eine Kohlenstoffkonzentration von etwa 15 Atom-% aufweisen, wie in einem Bereich von etwa 15 Atom-% bis etwa 35 Atom-% (z. B. etwa 20 Atom-%), und die kohlenstoffarme Tantalnitridschicht kann eine Kohlenstoffkonzentration von weniger als etwa 15 Atom-% aufweisen, wie in einem Bereich von etwa 1 Atom-% bis unter etwa 15 Atom-% (z. B. etwa 5 Atom-%). Eine Dichte der kohlenstoffreichen Tantalnitridschicht wie abgeschieden kann in einem Bereich von etwa 5 g/cm3 bis etwa 7 g/cm3 liegen und eine Dichte der kohlenstoffarmen Tantalnitridschicht wie abgeschieden kann in einem Bereich von etwa 8 g/cm3 bis etwa 10 g/cm3 liegen. Nach der Plasmabehandlung kann Kohlenstoff aus der kohlenstoffreichen Tantalnitridschicht und der kohlenstoffarmen Tantalnitridschicht verarmt sein und daher können nach der Plasmabehandlung die kohlenstoffreiche Tantalnitridschicht und die kohlenstoffarme Tantalnitridschicht zusammen als Tantalnitridschicht bezeichnet werden, die kohlenstoffarm sein kann. Ferner kann die Tantalnitridschicht dichter als die jeweiligen Teilschichten wie abgeschieden sein. Nach der Plasmabehandlung kann die erste Teilschicht 50 der Tantalnitridschicht eine Kohlenstoffkonzentration in einem Bereich von etwa 1 Atom-% bis etwa 5 Atom-% aufweisen und die zweite Teilschicht 52 der Tantalnitridschicht kann eine Kohlenstoffkonzentration in einem Bereich von etwa 1 Atom-% bis etwa 3 Atom-% aufweisen. Zum Beispiel kann die erste Teilschicht 50 eine höhere Kohlenstoffkonzentration als die zweite Teilschicht 52 aufweisen. Eine Dichte der ersten Teilschicht 50 der Tantalnitridschicht kann nach der Plasmabehandlung in einem Bereich von etwa 10,5 g/cm3 bis etwa 11,5 g/cm3 liegen und eine Dichte der zweiten Teilschicht 52 der Tantalnitridschicht kann nach der Plasmabehandlung in einem Bereich von etwa 11,5 g/cm3 bis etwa 12,5 g/cm3 liegen. Zum Beispiel kann die zweite Teilschicht 52 dichter als die erste Teilschicht 50 sein. Eine Dichte der Tantalnitridschicht (z. B. umfassend die erste Teilschicht 50 und die zweite Teilschicht 52) kann in einem Bereich von etwa 11,0 g/cm3 bis etwa 12,0 g/cm3 liegen. Nach der Plasmabehandlung kann die ausgebildete Tantalnitridschicht die Sperrschicht von 8 sein.
  • Wie zuvor erwähnt, ist die erste Dicke T1 der Sperrschicht auf der oberen Fläche des leitfähigen Merkmals 24 geringer als die zweite Dicke T2 der Sperrschicht auf den Seitenwänden der zweiten dielektrischen Schicht 28. Der Unterschied zwischen den Dicken T1, T2 kann weitgehend durch die Differenz in der Inkubationszeit während der Abscheidung der ersten Teilschicht 50 in Vorgang 304 von 12 verursacht sein. In einem speziellen Beispiel kann die erste Dicke T1 etwa 11,5 Å betragen und die zweite Dicke T2 kann etwa 17,5 Å betragen. Daher kann in diesem besonderen Beispiel die erste Dicke T1 um einen Betrag von etwa 34 % der zweiten Dicke T2 kleiner als die zweite Dicke T2 sein. In weiteren Beispielen kann die als Prozentsatz ausgedrückte Differenz in Abhängigkeit von z. B. der zweiten Dicke T2 variieren, ähnlich wie zuvor beschrieben. Noch spezieller kann die Dicke der ersten Teilschicht 50 bei der ersten Dicke T1 etwa 1,5 Å betragen und die Dicke der ersten Teilschicht 50 bei der zweiten Dicke T2 kann etwa 7,5 Ä betragen. Die Dicke der zweiten Teilschicht 52 kann im Wesentlichen einheitlich etwa 10 Å betragen.
  • Das Ausbilden einer Sperrschicht durch Abscheiden einer Tantalnitridschicht mit differentieller Kohlenstoffkonzentration, die eine kohlenstoffreiche Tantalnitridschicht und eine kohlenstoffarme Tantalnitridschicht umfasst, kann vorteilhafte Sperrschichteigenschaften ermöglichen, während der Widerstand zwischen dem leitfähigen Element 24 und dem leitfähigen Füllmaterial 42 verringert wird. Im Allgemeinen können die Dicken T1, T2 den verringerten Widerstand und die vorteilhaften Sperreigenschaften, wie zuvor beschrieben, ermöglichen. Ferner kann das Implementieren einer Teilschicht durch Abscheiden einer kohlenstoffarmen Tantalnitridschicht aufgrund einer größeren erreichbaren Dichte noch bessere Sperreigenschaften erreichen, während das Implementieren einer Teilschicht durch Abscheiden einer kohlenstoffreichen Tantalnitridschicht Schäden und Kohlenstoffverarmung in der zweiten dielektrischen Schicht 28 (z. B. einem Low-k-Dielektrikum) verringern oder abschwächen kann, die sonst durch die Plasmabehandlung verursacht werden könnten.
  • Als nächstes wird eine Implementierung des Vorgangs 304 beschrieben, um die Sperrschicht der 10 und 11 zu erreichen. In Vorgang 304 wird eine erste Anzahl von Zyklen durchgeführt, wobei das kohlenstoffreiche Tantalnitrid-Vorläufergas ohne kohlenstoffarmes Tantalnitrid-Vorläufergas verwendet wird, um die erste Teilschicht 60 abzuscheiden. Zusätzlich kann das Reaktionsgas in der ersten Anzahl von Zyklen mit einer geringen prozentualen Menge gepulst werden, beispielsweise 10 % oder weniger der Gesamtströmung (z. B. der Strömung des kombinierten Reaktionsgases und Trägergases). Durch Ausführen dieser ersten Anzahl von Zyklen mit dem kohlenstoffreichen Tantalnitrid-Vorläufergas ohne das kohlenstoffarme Tantalnitrid-Vorläufergas kann die in Bezug auf die 6 und 7 beschriebene Inkubationszeitdifferenz verwendet werden, um die erste Teilschicht 60 (z. B. eine kohlenstoffreiche Tantalnitridschicht) mit unterschiedlichen Dicken auf der oberen Fläche des leitfähigen Merkmals 24 und auf Seitenwänden der zweiten dielektrischen Schicht 28 abzuscheiden. In einigen Beispielen ermöglicht die erste Anzahl von Zyklen, dass die Inkubationszeitdifferenz verstreicht, und ermöglicht, dass die erste Teilschicht 60 auf der oberen Fläche des leitfähigen Merkmals 24 zu wachsen beginnt. So kann in solchen Beispielen eine maximale Differenz der Dicke der ersten Teilschicht 60 (z. B. auf dem leitfähigen Merkmal 24 verglichen mit der zweiten dielektrischen Schicht 28) erreicht werden. In weiteren Beispielen muss die erste Anzahl von Zyklen nicht ausreichend sein, um zu ermöglichen, dass die Inkubationszeitdifferenz verstreicht.
  • Um die Abscheidung der ersten Teilschicht 60 genauer mit dem Vorgang 304 in Beziehung zu setzen, werden bei Vorgang 310 die Mengen der Vorläufergase als 100 % kohlenstoffreiches Tantalnitrid-Vorläufergas und o % kohlenstoffarmes Tantalnitrid-Vorläufergas als das Vorläufergas festgelegt (z. B. ohne Berücksichtigung des Trägergases), die in Vorgang 312 gepulst werden, und die Menge des Reaktionsgases wird als 10 % oder weniger der Gesamtströmung festgelegt (z. B. der Strömung des kombinierten Reaktionsgases und Trägergases), die in Vorgang 318 gepulst wird. Der Zyklus (Vorgänge 312, 314, 316, 318) wird durch den Vorgang 320 die erste Anzahl von Zyklen mal wiederholt, ohne dass die Gase durch den Vorgang 322 geändert werden.
  • Ferner wird in Vorgang 304 nach der Ausführung der ersten Anzahl von Zyklen eine zweite Anzahl von Zyklen ausgeführt, wobei eine Mischung aus dem kohlenstoffarmen Tantalnitrid-Vorläufergas und dem kohlenstoffreichen Tantalnitrid-Vorläufergas verwendet wird, um die zweite Teilschicht 62 abzuscheiden (z. B. eine Kohlenstoff-moderate Tantalnitridschicht). Zum Beispiel kann die Mischung etwa gleiche Mengen nach Volumen oder Strömung des kohlenstoffreichen Tantalnitrid-Vorläufergases und des kohlenstoffarmen Tantalnitrid-Vorläufergases umfassen. Zusätzlich kann das Reaktionsgas in der zweiten Anzahl von Zyklen bei einer beliebigen prozentualen Menge des Gesamtflusses (z. B. der Strömung des kombinierten Reaktionsgases und Trägergases) gepulst werden. Das Variieren der Menge des Reaktionsgases kann die Kohlenstoffkonzentration in der abgeschiedenen Teilschicht variieren. Im Allgemeinen und unter der Annahme, dass andere Bedingungen gleich sind, gilt, je größer die Strömung des Reaktionsgases, desto geringer die Kohlenstoffkonzentration in der abgeschiedenen Teilschicht, und umgekehrt gilt, je geringer die Strömung des Reaktionsgases, desto höher die Kohlenstoffkonzentration in der abgeschiedenen Teilschicht.
  • Um die Abscheidung der zweiten Teilschicht 62 mit dem Vorgang 304 genauer in Beziehung zu setzen, wird nach Abschluss der ersten Anzahl von Zyklen in Vorgang 320 eine Festlegung durchgeführt, um den Zyklus zu wiederholen, um die zweite Anzahl von Zyklen zu initiieren. Bei Vorgang 322 wird eine Festlegung vorgenommen, dass die Mengen der Gase geändert werden sollen. In Reaktion darauf werden beispielsweise bei Vorgang 310 die Mengen der Vorläufergase so festgelegt, dass sie 50 % kohlenstoffreiches Tantalnitrid-Vorläufergas und 50 % kohlenstoffarmes Tantalnitrid-Vorläufergas als das Vorläufergas betragen (z. B. ohne Berücksichtigung des Trägergases), das in Vorgang 312 gepulst wird, und die Menge des Reaktionsgases wird so festgelegt, dass sie in einem Bereich von mehr als o % bis etwa 99 % der Gesamtströmung (z. B. Strömung des kombinierten Reaktionsgases und Trägergases) liegt, die in Vorgang 318 gepulst wird. Der Zyklus (Vorgänge 312, 314, 316, 318) wird durch den Vorgang 320 die zweite Anzahl von Zyklen mal wiederholt, ohne dass die Gase nachfolgend durch den Vorgang 322 geändert werden.
  • Ferner wird in Vorgang 304 nach der Ausführung der zweiten Anzahl von Zyklen eine dritte Anzahl von Zyklen ausgeführt, wobei das kohlenstoffarme Tantalnitrid-Vorläufergas ohne kohlenstoffreiches Tantalnitrid-Vorläufergas verwendet wird, um die dritte Teilschicht 64 abzuscheiden (z. B. eine kohlenstoffarme Tantalnitridschicht). Zusätzlich kann das Reaktionsgas in der dritten Anzahl von Zyklen mit einer hohen prozentualen Menge gepulst werden, wie etwa in einem Bereich von etwa 10 % bis etwa 99 % der Gesamtströmung (z. B. der Strömung des kombinierten Reaktionsgases und Trägergases).
  • Um die Abscheidung der dritten Teilschicht 64 mit dem Vorgang 304 genauer in Beziehung zu setzen, wird nach Abschluss der zweiten Anzahl von Zyklen in Vorgang 320 eine Festlegung durchgeführt, um den Zyklus zu wiederholen, um die dritte Anzahl von Zyklen zu initiieren. Bei Vorgang 322 wird eine Festlegung vorgenommen, dass die Mengen der Gase geändert werden sollen. In Reaktion darauf werden bei Vorgang 310 die Mengen der Vorläufergase so festgelegt, dass sie 0 % kohlenstoffreiches Tantalnitrid-Vorläufergas und 100 % kohlenstoffarmes Tantalnitrid-Vorläufergas als das Vorläufergas (z. B. ohne Berücksichtigung des Trägergases) umfassen, das in dem Vorgang 312 gepulst wird, und die Menge des Reaktionsgases wird so festgelegt, dass sie in einem Bereich von etwa 10 % bis etwa 99 % der gesamten Strömung (z. B. der Strömung des kombinierten Reaktionsgases und Trägergases) liegt, die in Vorgang 318 gepulst wird. Der Zyklus (Vorgänge 312, 314, 316, 318) wird durch den Vorgang 320 der dritten Anzahl von Zyklen wiederholt, ohne dass die Gase anschließend durch den Vorgang 322 geändert werden. Bei Abschluss der dritten Anzahl von Zyklen wird in Vorgang 320 eine Festlegung durchgeführt, dass ein Zyklus nicht wiederholt werden soll, und dann wird der Vorgang 306 durchgeführt.
  • In Vorgang 306 wird die Tantalnitridschicht mit differentieller Kohlenstoffkonzentration, die in Vorgang 304 abgeschieden wurde, unter Verwendung eines Plasmaverfahrens behandelt. Im Allgemeinen kann das Plasmaverfahren organische Verunreinigungen entfernen und kann auch die Tantalnitridschicht mit differentieller Kohlenstoffkonzentration verdichten.
  • Die Kohlenstoff-moderate Tantalnitridschicht (z. B. die zweite Teilschicht 62) kann Eigenschaften zwischen den oben beschriebenen Bereichen der Eigenschaften für die kohlenstoffreiche Tantalnitridschicht und die kohlenstoffarme Tantalnitridschicht aufweisen. Daher kann die Tantalnitridschicht mit differentieller Kohlenstoffkonzentration ein Ausgleichen und Abstimmen von Eigenschaften ermöglichen, um eine gewünschte Sperrschicht zu erzielen. Zusätzliche Schichten können in der Tantalnitridschicht mit differentieller Kohlenstoffkonzentration implementiert werden.
  • Noch weiter kann, durch Erhöhen der Häufigkeit, mit der die jeweiligen Mengen des kohlenstoffreichen Tantalnitrid-Vorläufergases, des kohlenstoffarmen Tantalnitrid-Vorläufergases und/oder des Reaktionsgases durch den Vorgang 322 geändert wird, was entsprechend die Anzahl von Zyklen zwischen jeder Änderung der Gase verringern kann, sich die Tantalnitridschicht mit differentieller Kohlenstoffkonzentration einer Gradientschicht annähern oder eine solche sein, wobei die Gradientschicht wie abgeschieden eine im Wesentlichen kontinuierliche Kohlenstoff-Gradientkonzentration aufweisen kann. Dies kann ferner das Ausgleichen und Abstimmen von Eigenschaften ermöglichen, um eine gewünschte Sperrschicht zu erzielen.
  • Als ein spezifisches Beispiel wird angenommen, dass die Tantalnitridschicht mit differentieller Kohlenstoffkonzentration unter Verwendung von 19 Zyklen des ALD-Verfahrens des Vorgangs 304 abgeschieden werden soll. Bei Vorgang 310 für den ersten Zyklus werden die zu pulsenden Gase wie folgt festgelegt: 100 % kohlenstoffreiches Tantalnitrid-Vorläufergas, 0 % kohlenstoffarmes Tantalnitrid-Vorläufergas und 5 % Reaktionsgas in Übereinstimmung mit der obigen Beschreibung. Der erste Zyklus implementiert diese Beträge. Nach jedem Zyklus wird bei Vorgang 322 eine Festlegung durchgeführt, dass die Mengen geändert werden sollen, und bei Vorgang 310 werden die Mengen wie folgt festgelegt: Verringern des kohlenstoffreichen Tantalnitrid-Vorläufergases um 5,55 %, Erhöhen des kohlenstoffarmen Tantalnitrid-Vorläufergases um 5,55 % und Erhöhen des Reaktionsgases um 5 %. Im letzten Zyklus (z. B. Zyklus 19) werden die zu pulsenden Gase wie folgt festgelegt: 0 % kohlenstoffreiches Tantalnitrid-Vorläufergas, 100 % kohlenstoffarmes Tantalnitrid-Vorläufergas und 95 % Reaktionsgas in Übereinstimmung mit der obigen Beschreibung.
  • 13 ist eine schematische Darstellung eines Abscheidungswerkzeugs zum Ausbilden einer Sperrschicht in einem leitfähigen Merkmal gemäß einigen Ausführungsformen. Insbesondere umfasst das Abscheidungswerkzeug ein Doppelampullen-Versorgungssystem zur Abgabe von zwei Vorläufern. Das Abscheidungswerkzeug umfasst eine Kammer 402, eine erste Ampulle 404 und eine zweite Ampulle 406. Ein Substrathalter 408 ist in der Kammer 402 angeordnet. Der Substrathalter 408 hält und sichert ein Substrat während eines Abscheidungsverfahrens. Die Kammer 402 umfasst auch eine Gasdusche 410 zum Verteilen von Gasen in der Kammer 402. Strömungsleitungen 412 und Ventile 414 sind konfiguriert und betreibbar, um die erste Ampulle 404 und die zweite Ampulle 406 mit der Kammer 402 fluidisch zu verbinden. Die Strömungsleitungen 412 und die Ventile 414 sind so konfiguriert und betreibbar, dass sie selektiv einen ersten Vorläufer A von der ersten Ampulle 404, einen zweiten Vorläufer B von der zweiten Ampulle 406, ein oder mehrere Trägergase und ein Reaktionsgas zur Kammer 402 zur Dispersion über die Gasdusche 410 übertragen.
  • Das Abscheidungswerkzeug kann verwendet werden, um die oben beschriebenen Abscheidungsverfahren zu implementieren. Zum Beispiel können verschiedene Ventile 414 selektiv geöffnet oder geschlossen werden, um die Übertragung der verschiedenen Gase zu der Kammer 402 zu starten oder zu stoppen, um eine Umgebung 416 in der Kammer 402 zu erreichen. Unter der Annahme, dass der erste Vorläufer A ein kohlenstoffreiches Tantalnitrid-Vorläufergas ist und der zweite Vorläufer B das kohlenstoffarme Tantalnitrid-Vorläufergas ist, können verschiedene Ventile 414 geöffnet oder geschlossen werden, um nur den ersten Vorläufer A, nur den zweiten Vorläufer B oder eine Mischung des ersten Vorläufers A und des zweiten Vorläufers B mit oder ohne einem Trägergas zu der Kammer 402 für z. B. den Pulsvorgang 312 zu übertragen. In ähnlicher Weise können verschiedene Ventile 414 geöffnet oder geschlossen werden, um das Reaktionsgas in die Kammer 402 zu übertragen, beispielsweise für den Pulsvorgang 318. Ferner können verschiedene Ventile 414 geöffnet oder geschlossen werden, um das Trägergas in die Kammer 402 zu übertragen, z. B. für die Spülvorgänge 314, 318.
  • Eine Ausführungsform ist ein Verfahren zur Halbleiterverarbeitung. Eine Öffnung wird durch eine dielektrische Schicht zu einem leitfähigen Merkmal ausgebildet. Eine Sperrschicht wird in der Öffnung entlang einer Seitenwand der dielektrischen Schicht und auf einer Oberfläche des leitfähigen Merkmals ausgebildet. Das Ausbilden der Sperrschicht umfasst das Abscheiden einer Schicht, die das Verwenden eines ersten Vorläufergases umfasst. Das erste Vorläufergas weist eine erste Inkubationszeit zur Abscheidung auf der Oberfläche des leitfähigen Merkmals auf und weist eine zweite Inkubationszeit zur Abscheidung auf der Seitenwand der dielektrischen Schicht auf. Die erste Inkubationszeit ist größer als die zweite Inkubationszeit. Ein leitfähiges Füllmaterial wird in der Öffnung und auf der Sperrschicht ausgebildet.
  • Eine weitere Ausführungsform ist eine Struktur. Die Struktur umfasst eine erste dielektrische Schicht über einem Substrat, ein erstes leitfähiges Merkmal in der ersten dielektrischen Schicht, eine zweite dielektrische Schicht über der ersten dielektrischen Schicht und dem ersten leitfähigen Merkmal und ein zweites leitfähiges Merkmal in der zweiten dielektrischen Schicht und in Kontakt mit dem ersten leitfähigen Merkmal. Das zweite leitfähige Merkmal umfasst eine Sperrschicht und ein leitfähiges Füllmaterial auf der Sperrschicht. Die Sperrschicht befindet sich entlang einer Seitenwand der zweiten dielektrischen Schicht und auf einer Oberfläche des ersten leitfähigen Merkmals. Die Sperrschicht hat eine erste Dicke an der Seitenwand der zweiten dielektrischen Schicht und die Sperrschicht hat eine zweite Dicke an der Oberfläche des ersten leitfähigen Merkmals. Die erste Dicke ist größer als die zweite Dicke.
  • Eine weitere Ausführungsform ist ein Verfahren zur Halbleiterverarbeitung. Eine Öffnung wird durch eine dielektrische Schicht zu einem leitfähigen Merkmal ausgebildet. Eine kohlenstoffhaltige Schicht wird in der Öffnung entlang einer Seitenwand der dielektrischen Schicht und auf einer Oberfläche des leitfähigen Merkmals abgeschieden. Das Abscheiden der kohlenstoffhaltigen Schicht umfasst das Verwenden eines Atomlagenabscheidungs- (ALD) -Verfahrens. Das ALD-Verfahren umfasst mindestens einen ersten Zyklus, umfassend das Pulsen eines Vorläufergases mit einer Kohlenstoffkonzentration von mindestens 25 Atomprozent und das Pulsen eines Reaktionsgases. Die kohlenstoffhaltige Schicht wird verdichtet und das Verdichten umfasst das Aussetzen der kohlenstoffhaltigen Schicht gegenüber einem Plasma. Nach dem Verdichten ist die kohlenstoffhaltige Schicht eine Sperrschicht. Ein leitfähiges Füllmaterial wird in der Öffnung und auf der Sperrschicht ausgebildet.
  • Das Vorangehende beschreibt Merkmale von mehreren Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte anerkennen, dass er die vorliegende Offenbarung leicht als Basis verwenden kann, um weitere Verfahren und Strukturen zu entwerfen oder zu modifizieren, um die gleichen Ziele zu erreichen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu realisieren. Der Fachmann sollte auch erkennen, dass solche äquivalenten Konstruktionen nicht von dem Geist und Schutzumfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hier vornehmen kann, ohne von dem Geist und Schutzumfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Verfahren zur Halbleiterverarbeitung, wobei das Verfahren umfasst: Ausbilden einer Öffnung durch eine dielektrische Schicht zu einem leitfähigen Merkmal; Ausbilden einer Sperrschicht in der Öffnung entlang einer Seitenwand der dielektrischen Schicht und auf einer Oberfläche des leitfähigen Merkmals, wobei das Ausbilden der Sperrschicht das Abscheiden einer Schicht umfasst, die ein erstes Vorläufergas umfasst, wobei das erste Vorläufergas eine erste Inkubationszeit zum Abscheiden auf der Oberfläche des leitfähigen Merkmals aufweist und eine zweite Inkubationszeit zum Abscheiden auf der Seitenwand der dielektrischen Schicht aufweist, wobei die erste Inkubationszeit größer als die zweite Inkubationszeit ist; und Ausbilden eines leitfähigen Füllmaterials in der Öffnung und auf der Sperrschicht.
  2. Verfahren nach Anspruch 1, wobei die Sperrschicht eine erste Dicke an der Seitenwand der dielektrischen Schicht aufweist und die Sperrschicht eine zweite Dicke an der Oberfläche des leitfähigen Merkmals aufweist, wobei die erste Dicke größer als die zweite Dicke ist.
  3. Verfahren nach Anspruch 1 oder 2, wobei das erste Vorläufergas eine Kohlenstoffkonzentration von mindestens 25 Atomprozent aufweist.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Sperrschicht Tantalnitrid aufweist.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Ausbilden der Sperrschicht ferner umfasst: Durchführen eines Reinigungsprozesses durch die Öffnung zu der Oberfläche des leitfähigen Merkmals, wobei das Durchführen des Reinigungsprozesses das Verwenden eines Plasmas mit einem Reduktionsgas umfasst; Durchführen eines Atomlagenabscheidungs- (ALD) -Prozesses zum Abscheiden der Schicht, wobei der ALD-Prozess mindestens einen Zyklus umfasst, der das Pulsen des ersten Vorläufergases und das Pulsen eines Reaktionsgases umfasst; und Verdichten der Schicht, um die Sperrschicht auszubilden, wobei das Verdichten das Aussetzen der Schicht gegenüber einem Plasma umfasst.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Abscheiden der Schicht das Ausführen einer Mehrzahl von Zyklen umfasst, wobei jeder der Mehrzahl von Zyklen umfasst: Pulsen des ersten Vorläufergases; und Pulsen eines Reaktionsgases.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Schicht eine kohlenstoffhaltige Tantalnitridschicht mit einer Kohlenstoffkonzentration von überall mindestens 15 Atomprozent ist.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Abscheiden der Schicht umfasst: Ausführen einer Mehrzahl von ersten Zyklen; und nach dem Ausführen der Mehrzahl von ersten Zyklen, Ausführen einer Mehrzahl von zweiten Zyklen; wobei jeder der Mehrzahl von ersten Zyklen umfasst: Pulsen des ersten Vorläufergases ohne ein zweites Vorläufergas, wobei das erste Vorläufergas eine Kohlenstoffkonzentration von mindestens 25 Atomprozent aufweist, wobei das zweite Vorläufergas eine Kohlenstoffkonzentration von weniger als 25 Atomprozent aufweist; und Pulsen eines Reaktionsgases; und wobei jeder der Mehrzahl von zweiten Zyklen umfasst: Pulsen des zweiten Vorläufergases ohne das erste Vorläufergas; und Pulsen des Reaktionsgases.
  9. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Schicht umfasst: eine erste kohlenstoffhaltige Tantalnitrid-Teilschicht mit einer Kohlenstoffkonzentration von mindestens 15 Atomprozent, die durch die Mehrzahl von ersten Zyklen ausgebildet wird; und eine zweite kohlenstoffhaltige Tantalnitrid-Teilschicht mit einer Kohlenstoffkonzentration von weniger als 15 Atomprozent, die durch die Mehrzahl von zweiten Zyklen ausgebildet wird.
  10. Verfahren nach einem der Ansprüche 1 bis 7, wobei das Abscheiden der Schicht umfasst: Ausführen eines ersten Zyklus; nach dem Ausführen des ersten Zyklus, Ausführen eines zweiten Zyklus; und nach dem Ausführen des zweiten Zyklus, Ausführen eines dritten Zyklus; wobei der erste Zyklus umfasst: Pulsen des ersten Vorläufergases ohne ein zweites Vorläufergas, wobei das erste Vorläufergas eine Kohlenstoffkonzentration von mindestens 25 Atomprozent aufweist, wobei das zweite Vorläufergas eine Kohlenstoffkonzentration von weniger als 25 Atomprozent aufweist; und Pulsen eines Reaktionsgases; wobei der zweite Zyklus umfasst: Pulsen einer Mischung, die das erste Vorläufergas und das zweite Vorläufergas umfasst; und Pulsen des Reaktionsgases; und wobei der dritte Zyklus umfasst: Pulsen des zweiten Vorläufergases ohne das erste Vorläufergas; und Pulsen des Reaktionsgases.
  11. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Schicht eine kohlenstoffhaltige Tantalnitridschicht mit einer Kohlenstoff-Gradientkonzentration ist.
  12. Struktur, umfassend: eine erste dielektrische Schicht über einem Substrat; ein erstes leitfähiges Merkmal in der ersten dielektrischen Schicht; eine zweite dielektrische Schicht über der ersten dielektrischen Schicht und dem ersten leitfähigen Merkmal; und ein zweites leitfähiges Merkmal in der zweiten dielektrischen Schicht und in Kontakt mit dem ersten leitfähigen Merkmal, wobei das zweite leitfähige Merkmal umfasst: eine Sperrschicht entlang einer Seitenwand der zweiten dielektrischen Schicht und auf einer Oberfläche des ersten leitfähigen Merkmals, wobei die Sperrschicht eine erste Dicke an der Seitenwand der zweiten dielektrischen Schicht aufweist, wobei die Sperrschicht eine zweite Dicke an der Oberfläche des ersten leitfähigen Merkmals aufweist, wobei die erste Dicke größer als die zweite Dicke ist; und ein leitfähiges Füllmaterial auf der Sperrschicht.
  13. Struktur nach Anspruch 12, wobei die Sperrschicht Tantalnitrid umfasst.
  14. Struktur nach Anspruch 12 oder 13, wobei die Sperrschicht eine Kohlenstoffkonzentration in einem Bereich von 1 Atomprozent bis 5 Atomprozent aufweist.
  15. Struktur nach einem der Ansprüche 12 bis 14, wobei die Sperrschicht eine Dichte in einem Bereich von 11,0 g/cm3 bis 12,0 g/cm3 aufweist.
  16. Struktur nach Anspruch 12, wobei die Sperrschicht einen ersten Abschnitt nahe der Seitenwand der zweiten dielektrischen Schicht und einen zweiten Abschnitt distal von der Seitenwand der zweiten dielektrischen Schicht umfasst, wobei eine Kohlenstoffkonzentration des ersten Abschnitts größer als eine Kohlenstoffkonzentration des zweiten Abschnitts ist, wobei eine Dichte des zweiten Abschnitts größer als eine Dichte des ersten Abschnitts ist.
  17. Struktur nach einem der Ansprüche 12 bis 16, wobei die Sperrschicht einen ersten Abschnitt nahe der Seitenwand der zweiten dielektrischen Schicht und einen zweiten Abschnitt distal von der Seitenwand der zweiten dielektrischen Schicht umfasst, wobei: der erste Abschnitt eine Kohlenstoffkonzentration in einem Bereich von 1 Atomprozent bis 5 Atomprozent aufweist; der zweite Abschnitt eine Kohlenstoffkonzentration in einem Bereich von 1 Atomprozent bis 3 Atomprozent aufweist; der erste Abschnitt eine Dichte in einem Bereich von 10,5 g/cm3 bis 11,5 g/cm3 aufweist; und der zweite Abschnitt eine Dichte in einem Bereich von 11,5 g/cm3 bis 12,5 g/cm3 aufweist.
  18. Verfahren zur Halbleiterverarbeitung, wobei das Verfahren umfasst: Ausbilden einer Öffnung durch eine dielektrische Schicht zu einem leitfähigen Merkmal; Abscheiden einer kohlenstoffhaltigen Schicht in der Öffnung entlang einer Seitenwand der dielektrischen Schicht und auf einer Oberfläche des leitfähigen Merkmals, wobei das Abscheiden der kohlenstoffhaltigen Schicht das Verwenden eines Atomlagenabscheidungs-(ALD) -Prozesses umfasst, wobei der ALD-Prozess mindestens einen ersten Zyklus aufweist, umfassend: Pulsen eines Vorläufergases mit einer Kohlenstoffkonzentration von mindestens 25 Atomprozent; und Pulsen eines Reaktionsgases; Verdichten der kohlenstoffhaltigen Schicht, umfassend das Aussetzen der kohlenstoffhaltigen Schicht gegenüber einem Plasma, wobei nach dem Verdichten die kohlenstoffhaltige Schicht eine Sperrschicht ist; und Ausbilden eines leitfähigen Füllmaterials in der Öffnung und auf der Sperrschicht.
  19. Verfahren nach Anspruch 18, wobei die kohlenstoffhaltige Schicht eine kohlenstoffhaltige Tantalnitridschicht ist.
  20. Verfahren nach Anspruch 18 oder 19, wobei der ALD-Prozess ferner mindestens einen zweiten Zyklus aufweist, umfassend: Pulsen eines Vorläufergases mit einer Kohlenstoffkonzentration von weniger als 25 Atomprozent; und Pulsen des Reaktionsgases.
DE102018113674.9A 2018-05-31 2018-06-08 Sperrschichtbildung für leitfähiges Merkmal Granted DE102018113674A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/993,751 US10741442B2 (en) 2018-05-31 2018-05-31 Barrier layer formation for conductive feature
US15/993,751 2018-05-31

Publications (1)

Publication Number Publication Date
DE102018113674A1 true DE102018113674A1 (de) 2019-12-05

Family

ID=68576185

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018113674.9A Granted DE102018113674A1 (de) 2018-05-31 2018-06-08 Sperrschichtbildung für leitfähiges Merkmal

Country Status (5)

Country Link
US (3) US10741442B2 (de)
KR (1) KR102210976B1 (de)
CN (1) CN110556334B (de)
DE (1) DE102018113674A1 (de)
TW (1) TWI698919B (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11769692B2 (en) 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
US11251261B2 (en) * 2019-05-17 2022-02-15 Micron Technology, Inc. Forming a barrier material on an electrode

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070082132A1 (en) * 2005-10-07 2007-04-12 Asm Japan K.K. Method for forming metal wiring structure
US20070259519A1 (en) * 2006-05-02 2007-11-08 International Business Machines Corporation Interconnect metallization process with 100% or greater step coverage
US20080318417A1 (en) * 2006-09-01 2008-12-25 Asm Japan K.K. Method of forming ruthenium film for metal wiring structure

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3807008A (en) * 1969-05-02 1974-04-30 Texas Instruments Inc Chemical vapor deposition coatings on titanium
JPH01298765A (ja) * 1988-05-27 1989-12-01 Fujitsu Ltd 半導体装置及びその製造方法
US5968333A (en) * 1998-04-07 1999-10-19 Advanced Micro Devices, Inc. Method of electroplating a copper or copper alloy interconnect
US6169024B1 (en) * 1998-09-30 2001-01-02 Intel Corporation Process to manufacture continuous metal interconnects
US6417094B1 (en) * 1998-12-31 2002-07-09 Newport Fab, Llc Dual-damascene interconnect structures and methods of fabricating same
US6245655B1 (en) * 1999-04-01 2001-06-12 Cvc Products, Inc. Method for planarized deposition of a material
US6337151B1 (en) * 1999-08-18 2002-01-08 International Business Machines Corporation Graded composition diffusion barriers for chip wiring applications
JP3976462B2 (ja) * 2000-01-26 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6576543B2 (en) 2001-08-20 2003-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively depositing diffusion barriers
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6849173B1 (en) * 2002-06-12 2005-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Technique to enhance the yield of copper interconnections
US6939800B1 (en) 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
DE10302644B3 (de) * 2003-01-23 2004-11-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum mittels stromloser Abscheidung unter Verwendung eines Katalysators
DE10303925B4 (de) * 2003-01-31 2007-06-06 Advanced Micro Devices, Inc., Sunnyvale Dielektrische Barrierenschicht für eine Kupfermetallisierungsschicht mit einer über die Dicke hinweg variierenden Siliziumkonzentration und Verfahren zu deren Herstellung
JP4086673B2 (ja) 2003-02-04 2008-05-14 Necエレクトロニクス株式会社 半導体装置及びその製造方法
US6812110B1 (en) * 2003-05-09 2004-11-02 Micron Technology, Inc. Methods of forming capacitor constructions, and methods of forming constructions comprising dielectric materials
US20050082089A1 (en) * 2003-10-18 2005-04-21 Stephan Grunow Stacked interconnect structure between copper lines of a semiconductor circuit
JP3759525B2 (ja) 2003-10-27 2006-03-29 松下電器産業株式会社 半導体装置の製造方法
US20050206000A1 (en) * 2004-03-19 2005-09-22 Sanjeev Aggarwal Barrier for copper integrated circuits
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
US7863179B2 (en) * 2006-10-31 2011-01-04 Lam Research Corporation Methods of fabricating a barrier layer with varying composition for copper metallization
JP4224434B2 (ja) 2004-06-30 2009-02-12 パナソニック株式会社 半導体装置及びその製造方法
US7037837B2 (en) 2004-07-29 2006-05-02 Texas Instruments Incorporated Method of fabricating robust nucleation/seed layers for subsequent deposition/fill of metallization layers
US7476618B2 (en) * 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US20070059502A1 (en) * 2005-05-05 2007-03-15 Applied Materials, Inc. Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
US7550385B2 (en) * 2005-09-30 2009-06-23 Intel Corporation Amine-free deposition of metal-nitride films
JP2007281114A (ja) * 2006-04-05 2007-10-25 Sony Corp 半導体装置の製造方法および半導体装置
US8242016B2 (en) * 2007-05-14 2012-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Approach for reducing copper line resistivity
JP5366235B2 (ja) 2008-01-28 2013-12-11 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及び記憶媒体
US7834457B2 (en) * 2008-02-28 2010-11-16 International Business Machines Corporation Bilayer metal capping layer for interconnect applications
JP5343369B2 (ja) * 2008-03-03 2013-11-13 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及び記憶媒体
US7928569B2 (en) * 2008-08-14 2011-04-19 International Business Machines Corporation Redundant barrier structure for interconnect and wiring applications, design structure and method of manufacture
US7977235B2 (en) * 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
US8344513B2 (en) * 2009-03-23 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier for through-silicon via
US8916469B2 (en) * 2013-03-12 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating copper damascene
TW201532247A (zh) * 2013-10-16 2015-08-16 Conversant Intellectual Property Man Inc 形成嵌入動態隨機存取記憶體電容器的成本效益佳的方法
KR20160098201A (ko) 2013-12-26 2016-08-18 인텔 코포레이션 직접 플라즈마 고밀화 프로세스 및 반도체 디바이스들
US9306023B2 (en) * 2014-02-06 2016-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with gate stacks and method of manufacturing the same
US10163644B2 (en) 2014-02-07 2018-12-25 Taiwan Semiconductor Manufacturing Company Interconnect structure including a conductive feature and a barrier layer on sidewalls and a bottom surface of the conductive feature and method of forming the same
US9847296B2 (en) * 2014-02-14 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer and structure method
US9123785B1 (en) 2014-03-10 2015-09-01 Intermolecular, Inc. Method to etch Cu/Ta/TaN selectively using dilute aqueous HF/HCI solution
US9966339B2 (en) * 2014-03-14 2018-05-08 Taiwan Semiconductor Manufacturing Company Barrier structure for copper interconnect
JP6268008B2 (ja) * 2014-03-17 2018-01-24 東京エレクトロン株式会社 Cu配線の製造方法
US9224686B1 (en) * 2014-09-10 2015-12-29 International Business Machines Corporation Single damascene interconnect structure
US9553100B2 (en) * 2014-12-04 2017-01-24 Sandisk Techologies Llc Selective floating gate semiconductor material deposition in a three-dimensional memory structure
WO2016099570A1 (en) * 2014-12-19 2016-06-23 Intel Corporation Selective deposition utilizing sacrificial blocking layers for semiconductor devices
JP6583081B2 (ja) * 2016-03-22 2019-10-02 東京エレクトロン株式会社 半導体装置の製造方法
US10580650B2 (en) * 2016-04-12 2020-03-03 Tokyo Electron Limited Method for bottom-up formation of a film in a recessed feature
US9899297B1 (en) * 2016-09-30 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a through-silicon via and manufacturing method thereof
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US9953927B1 (en) * 2017-04-26 2018-04-24 Globalfoundries Inc. Liner replacements for interconnect openings
JP6902958B2 (ja) * 2017-08-02 2021-07-14 東京エレクトロン株式会社 シリコン膜の形成方法および形成装置
CN107706189B (zh) * 2017-08-22 2018-12-14 长江存储科技有限责任公司 3d nand闪存的接触窗形成方法和接触窗结构

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070082132A1 (en) * 2005-10-07 2007-04-12 Asm Japan K.K. Method for forming metal wiring structure
US20070259519A1 (en) * 2006-05-02 2007-11-08 International Business Machines Corporation Interconnect metallization process with 100% or greater step coverage
US20080318417A1 (en) * 2006-09-01 2008-12-25 Asm Japan K.K. Method of forming ruthenium film for metal wiring structure

Also Published As

Publication number Publication date
US10741442B2 (en) 2020-08-11
CN110556334B (zh) 2022-08-23
CN110556334A (zh) 2019-12-10
US20200083095A1 (en) 2020-03-12
TW202004866A (zh) 2020-01-16
KR20190136879A (ko) 2019-12-10
KR102210976B1 (ko) 2021-02-03
US20200083096A1 (en) 2020-03-12
TWI698919B (zh) 2020-07-11
US11043413B2 (en) 2021-06-22
US11183424B2 (en) 2021-11-23
US20190371660A1 (en) 2019-12-05

Similar Documents

Publication Publication Date Title
DE102014115934B4 (de) Zwei-Schritt-Ausbildung von Metallisierungen
DE102015107271B4 (de) Zwei- oder mehrteilige Ätzstoppschicht in integrierten Schaltungen
DE10132882B4 (de) Verfahren zum Ausbilden einer Dünnschicht unter Verwendung einer Atomschichtabscheidung
EP1678746B1 (de) Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung
DE102014111780B4 (de) Verfahren zum Ausbilden von leitenden Strukturen in Vertiefungen
DE102014110645A1 (de) Hybrid-Kupferstruktur zur Verwendung in fortgeschrittener Verbindung
EP1724827B1 (de) Verfahren zur Herstellung einer Leitstruktur mit Barrieren-Schichtstapel und entsprechende Leitstruktur
DE10393738T5 (de) Abdichtung poröser Strukturen
DE102017118485A1 (de) Verfahren zum Bilden von Metallschichten in Öffnungen und Vorrichtung zu deren Bildung
DE102020119184A1 (de) Diffusionssperre für halbleitervorrichtung und verfahren
DE112005001489T5 (de) Atomlagenabgeschiedene Tantal enthaltende Haftschicht
DE10065454A1 (de) Verfahren zur Herstellung eines Aluminiumoxidfilms zur Verwendung in einem Halbleitergerät
DE102005052001B4 (de) Halbleiterbauelement mit einem Kontaktpfropfen auf Kupferbasis und ein Verfahren zur Herstellung desselben
DE102019116992A1 (de) Bildungs- und in-situ-ätzprozesse für metallschichten
DE102016100323B4 (de) Verringern der Dual-Damascene-Verwerfung in integrierten Schaltkreisstrukturen
DE102009052393A1 (de) Halbleiterherstellungsverfahren
DE102007020266B3 (de) Halbleiterstruktur mit einem elektrisch leitfähigen Strukturelement und Verfahren zu ihrer Herstellung
DE102020122807B4 (de) Durchkontaktierung für halbleitervorrichtung und verfahren
DE102018113674A1 (de) Sperrschichtbildung für leitfähiges Merkmal
DE102004062472B4 (de) Verfahren zur Herstellung einer Metallverbindungsleitung unter Verwendung einer bei niedriger Temperatur gebildeten Barrierenmetallschicht
DE102021108491A1 (de) Interconnect-struktur mit stapel aus dielektrischer kappschicht und ätzstoppschicht
DE102019117894A1 (de) Ätzstoppschicht mit hoher durchbruchspannung
DE102018126993A1 (de) Oberflächenänderungsschicht zum bilden eines leitenden merkmals
DE112004002377T5 (de) Doppel-Damaszierungsprozess unter Verwendung von kohlenstoffdotierten und kohlenstofffreien Oxidschichten
DE102020127319A1 (de) Zweischichtauskleidung für metallisierung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division