TWI698919B - 半導體結構及半導體製程方法 - Google Patents
半導體結構及半導體製程方法 Download PDFInfo
- Publication number
- TWI698919B TWI698919B TW108110702A TW108110702A TWI698919B TW I698919 B TWI698919 B TW I698919B TW 108110702 A TW108110702 A TW 108110702A TW 108110702 A TW108110702 A TW 108110702A TW I698919 B TWI698919 B TW I698919B
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- carbon
- precursor gas
- barrier layer
- pulsing
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 102
- 239000004065 semiconductor Substances 0.000 title claims abstract description 50
- 238000012545 processing Methods 0.000 title abstract description 6
- 239000002243 precursor Substances 0.000 claims abstract description 132
- 230000004888 barrier function Effects 0.000 claims abstract description 126
- 238000000151 deposition Methods 0.000 claims abstract description 63
- 230000008021 deposition Effects 0.000 claims abstract description 43
- 238000011534 incubation Methods 0.000 claims abstract description 26
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 189
- 229910052799 carbon Inorganic materials 0.000 claims description 189
- 239000007789 gas Substances 0.000 claims description 157
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 142
- 230000008569 process Effects 0.000 claims description 77
- 238000000231 atomic layer deposition Methods 0.000 claims description 50
- 239000012495 reaction gas Substances 0.000 claims description 42
- 239000011231 conductive filler Substances 0.000 claims description 41
- 239000000758 substrate Substances 0.000 claims description 24
- 238000004519 manufacturing process Methods 0.000 claims description 19
- 238000004140 cleaning Methods 0.000 claims description 15
- 230000015572 biosynthetic process Effects 0.000 claims description 8
- 238000000280 densification Methods 0.000 claims description 8
- 239000000203 mixture Substances 0.000 claims description 8
- 238000006243 chemical reaction Methods 0.000 claims description 2
- 239000000463 material Substances 0.000 abstract description 15
- 239000010410 layer Substances 0.000 description 377
- 238000009832 plasma treatment Methods 0.000 description 30
- 239000012159 carrier gas Substances 0.000 description 24
- 229910052715 tantalum Inorganic materials 0.000 description 24
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 24
- 238000005229 chemical vapour deposition Methods 0.000 description 15
- 229910052751 metal Inorganic materials 0.000 description 13
- 239000002184 metal Substances 0.000 description 13
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 10
- 238000005530 etching Methods 0.000 description 10
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 9
- 239000003708 ampul Substances 0.000 description 9
- 229910052802 copper Inorganic materials 0.000 description 9
- 239000010949 copper Substances 0.000 description 9
- 238000010926 purge Methods 0.000 description 8
- 230000008859 change Effects 0.000 description 7
- 238000005137 deposition process Methods 0.000 description 6
- 238000005240 physical vapour deposition Methods 0.000 description 6
- 239000000126 substance Substances 0.000 description 6
- 229910052786 argon Inorganic materials 0.000 description 5
- 229910017052 cobalt Inorganic materials 0.000 description 5
- 239000010941 cobalt Substances 0.000 description 5
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 5
- 150000001875 compounds Chemical class 0.000 description 5
- 230000005669 field effect Effects 0.000 description 5
- 239000011229 interlayer Substances 0.000 description 5
- 238000005498 polishing Methods 0.000 description 5
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 5
- 229910052721 tungsten Inorganic materials 0.000 description 5
- 239000010937 tungsten Substances 0.000 description 5
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 4
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 4
- 230000009286 beneficial effect Effects 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 239000005380 borophosphosilicate glass Substances 0.000 description 4
- 238000009395 breeding Methods 0.000 description 4
- 230000001488 breeding effect Effects 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- 239000011521 glass Substances 0.000 description 4
- 239000001257 hydrogen Substances 0.000 description 4
- 229910052739 hydrogen Inorganic materials 0.000 description 4
- 238000012986 modification Methods 0.000 description 4
- 230000004048 modification Effects 0.000 description 4
- 239000005360 phosphosilicate glass Substances 0.000 description 4
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 4
- 238000007747 plating Methods 0.000 description 4
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 239000012530 fluid Substances 0.000 description 3
- 239000012535 impurity Substances 0.000 description 3
- 230000001965 increasing effect Effects 0.000 description 3
- 230000004044 response Effects 0.000 description 3
- 229910052707 ruthenium Inorganic materials 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- QPLDLSVMHZLSFG-UHFFFAOYSA-N Copper oxide Chemical compound [Cu]=O QPLDLSVMHZLSFG-UHFFFAOYSA-N 0.000 description 2
- 239000005751 Copper oxide Substances 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 239000007983 Tris buffer Substances 0.000 description 2
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 2
- BBBUPKGELTZKDK-UHFFFAOYSA-N [Ta].C(=O)=O Chemical compound [Ta].C(=O)=O BBBUPKGELTZKDK-UHFFFAOYSA-N 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- 239000003575 carbonaceous material Substances 0.000 description 2
- 229910000431 copper oxide Inorganic materials 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 230000003111 delayed effect Effects 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 238000007772 electroless plating Methods 0.000 description 2
- 238000002474 experimental method Methods 0.000 description 2
- 239000000945 filler Substances 0.000 description 2
- 229940104869 fluorosilicate Drugs 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 238000005549 size reduction Methods 0.000 description 2
- 238000001179 sorption measurement Methods 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 238000005253 cladding Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- JMANVNJQNLATNU-UHFFFAOYSA-N oxalonitrile Chemical compound N#CC#N JMANVNJQNLATNU-UHFFFAOYSA-N 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/36—Carbonitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45529—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/02068—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/7685—Barrier, adhesion or liner layers the layer covering a conductive structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76855—After-treatment introducing at least one additional element into the layer
- H01L21/76856—After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76861—Post-treatment or after-treatment not introducing additional chemical elements into the layer
- H01L21/76862—Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76865—Selective removal of parts of the layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/528—Geometry or layout of the interconnection structure
- H01L23/5283—Cross-sectional geometry
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/45—Ohmic electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/32051—Deposition of metallic or metal-silicide layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76846—Layer combinations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/528—Geometry or layout of the interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53214—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
- H01L23/53223—Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53233—Copper alloys
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53257—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
- H01L23/53266—Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Geometry (AREA)
- Plasma & Fusion (AREA)
- Ceramic Engineering (AREA)
- Inorganic Chemistry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
本揭露的實施例大致上是關於在半導體製程中形成用於導電部件的阻障層的一個或多個方法。在一些實施例中,形成穿過介電層至導電部件的開口。在開口中沿著介電層的側壁及導電部件的表面上形成阻障層。阻障層的形成包括沉積膜層,膜層的沉積包括使用前驅氣體。前驅氣體具有用於沉積在導電部件的表面上的第一育成時間及沉積在介電層的側壁上的第二育成時間。第一育成時間大於第二育成時間。在開口中及阻障層上形成導電填料。
Description
本發明實施例是關於半導體積體電路的製造方法,特別是有關於半導體結構及半導體製程方法。
半導體積體電路(IC)產業已經經歷指數成長。積體電路材料及設計的技術進步已經產生多個積體電路世代,其中每個世代相較於前一個世代具有更小更複雜的電路。在積體電路發展的過程中,隨著幾何尺寸(例如,可以使用製程創造的最小元件(或線))的減小,功能密度(例如,每一晶片面積的互連裝置的數量)已經廣泛地提升。尺寸減小的過程通常藉由提高製造效率及減低相關的成本來提供好處。然而,尺寸減小也導致在過去世代的較大幾何尺寸下可能不曾被呈現的挑戰。
本發明的一些實施例提供半導體製程方法,此方法包括形成穿過介電層至導電部件的開口。在開口中沿著介電層的側壁及導電部件的表面上形成阻障層。阻障層的形成包括沉積膜層,膜層的沉積包括使用第一前驅氣體。第一前驅氣體具有用於沉積在導電部件的表面上的第一育成時間及沉積在介電層的側壁上的第二育成時間。第一育成時間大於 第二育成時間。在開口中及阻障層上形成導電填料。
本發明的一些實施例提供半導體結構,其包括位於基底上的第一介電層、位於第一介電層中的第一導電部件、位於第一介電層及第一導電部件上的第二介電層以及位於第二介電層中且接觸第一導電部件的第二導電部件。第二導電部件包括阻障層及位於阻障層上的導電填料。阻障層沿著第二介電層的側壁且位於第一導電部件的表面上。阻障層具有在第二介電層之側壁的第一厚度,且阻障層具有在第一導電部件的表面的第二厚度。第一厚度大於第二厚度。
本發明的一些實施例提供半導體製程方法,此方法包括形成穿過介電層至導電部件的開口。在開口中沿著介電層的側壁及導電部件的表面上沉積含碳層。沉積含碳層包括使用原子層沉積(ALD)製程,原子層沉積(ALD)製程包括至少一第一循環,其包括脈衝具有至少25原子百分比的碳濃度的前驅氣體以及脈衝反應氣體。緻密化含碳層,緻密化包括使含碳層暴露於電漿。在緻密化後,含碳層為阻障層。在開口中及阻障層上形成導電填料。
20‧‧‧半導體基底
22‧‧‧第一介電層
24‧‧‧導電部件
26‧‧‧蝕刻停止層
28‧‧‧第二介電層
30‧‧‧開口
40‧‧‧阻障層
42‧‧‧導電填料
50、60‧‧‧第一子層
52、62‧‧‧第二子層
66、54‧‧‧導電填料
64‧‧‧第三子層
102、104、106、110、112、114、116、118、302、304、306、310、312、314、316、318、320、322‧‧‧步驟
202‧‧‧第一擬合線
204‧‧‧第二擬合線
212‧‧‧第一數據點
214‧‧‧第二數據點
220‧‧‧育成時間差
402‧‧‧腔室
404‧‧‧第一安瓿
406‧‧‧第二安瓿
408‧‧‧基底支架器
410:氣體噴淋器
412:流體管線
414:閥
T1:第一厚度
T2:第二厚度
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。
第1圖至第5圖是根據一些實施例之在用於形成導電部件的示範方法的過程中,各個中間結構(intermediate structure)的剖面示意圖。
第6圖是根據一些實施例之在導電部件中形成阻障層的方法的流程圖。
第7圖是根據一些實施例,說明在導電部件中形成阻障層的面向的圖表。
第8圖及第9圖是根據一些實施例之在用於形成導電部件的另一示範方法的過程中,各個中間結構的剖面示意圖。
第10圖及第11圖是根據一些實施例之在用於形成導電部件的另一示範方法的過程中,各個中間結構的剖面示意圖。
第12圖是根據一些實施例之用於在導電部件中形成阻障層的方法的流程圖。
第13圖是根據一些實施例之用於在導電部件中形成阻障層的沉積設備的示意圖。
以下內容提供了很多不同的實施例或範例,用於實施本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一部件形成於第二部件上,可能包含第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。
再者,此處可能使用空間上的相關用語,例如「在……之下」、「在……下方」、「下方的」、「在……上方」、「上方的」和其他類似的用語可用於此,以便描述如圖所示之一元件或部件與其他元件或部件之間的關係。此空間上的相關用語除了包含圖式繪示的方位外,也包含使用或操作中的裝置的不同方位。當裝置被轉至其他方位時(旋轉90度或其他方位),則在此所使用的空間相對描述可同樣依旋轉後的方位來解讀。
本揭露的實施例大致上是關於在半導體製程中形成用於導電部件的阻障層的一個或多個方法。一般而言,用於沉積膜層的沉積製程(如原子層沉積(ALD))可實施具有育成時間差(incubation time difference)的前驅物(precursor),時間差是取決於具有膜層沉積於上的下方表面(如介電表面或導電(例如,金屬)表面)。在一些範例中,富碳氮化鉭前驅氣體(carbon-rich tantalum nitride precursor gas)用在原子層沉積(ALD)製程以沉積富碳氮化鉭層(carbon-rich tantalum nitride layer),富碳氮化鉭層用來實施阻障層。在一些範例中,相較於在導電(例如,金屬)表面上沉積富碳氮化鉭層,在介電表面上沉積富碳氮化鉭層,富碳氮化鉭層具有較少的育成時間。因此,相較於在介電表面上,富碳氮化鉭層在導電表面上具有減少的厚度。由於減少的厚度,這些厚度可有利於減少在其上形成有上述膜層的導電部件的電阻,且同時在介電表面維持足夠的擴散阻隔性(diffusion barrier properties)。一些範例可進一步被 實施,舉例而言,貧碳氮化鉭層(carbon-poor tantalum nitride layer)及富碳氮化鉭層一起被用來實施阻障層。其他的優點及利益也可被達成。
本文所述的一些實施例是在後段製程(BEOL)的背景下進行討論。在其他實施例的範圍內的其他製程及結構可在其他背景下被實施,如中段製程及其他背景。關於所公開的實施例,各種修改被討論;然而,當保持在本發明的範圍內時,可以對公開的實施例進行其他修改。本發明所屬技術領域中具有通常知識者將可輕易地理解,在其他實施例的範圍內可考慮其他修改。儘管方法實施例是以特定順序描述,但各種其他方法實施例可依任何合乎邏輯的順序執行,且可包含比本文所述的方法實施例更多或更少的步驟。
第1圖至第5圖是根據一些實施例之在用於形成導電部件的示範方法的過程中,各個中間結構的剖面示意圖。第1圖說明第一介電層22位於半導體基底20上。半導體基底20可以是或者包括整塊半導體(bulk semiconductor)基底、絕緣體上的半導體(semiconductor-on-insulator,SOI)基底或類似基底,其可摻雜(例如,以p型或n型摻雜物摻雜)或不摻雜。在一些實施例中,半導體基底20的半導體材料可包括元素半導體(elemental semiconductor)(例如矽(Si)或鍺(Ge))、化合物半導體、合金半導體或前述之組合。
各種裝置可在半導體基底20上。例如,半導體基底20可包括場效電晶體(Field Effect Transistors,FETs)(例如,鰭式場效電晶體(fin field effect transistor, FinFET)、平面式場效電晶體(planar FETs)、垂直式閘極全包覆(Vertical Gate All Around,VGAA)場效電晶體或類似物)、二極體、電容、電感及其他裝置。舉例而言,裝置可全部形成在半導體基底20中、形成在半導體基底20的一部分及一個或多個覆蓋層的一部分中及/或全部形成在一個或多個覆蓋層中。本文描述的製程(processing)可用來形成且/或將裝置彼此連結以形成積體電路。積體電路可為任何電路,如特殊應用積體電路(Application Specific Integrated Circuit(ASIC))、處理器、記憶體或其他電路。
第一介電層22位於半導體基底20上。第一介電層22可直接位於半導體基底20上,或任何數量的其他膜層可設置於第一介電層22及半導體基底20之間。舉例而言,第一介電層22可以是或者包括層間介電質(Inter-Layer Dielectric,ILD)或金屬間介電層(Inter-Metal Dielectric,IMD)。舉例而言,第一介電層22可以是或者包括具有介電常數k小於約4.0(例如約2.0或甚至更小)的低介電常數(low-k)介電質。在一些範例中,第一介電層22包括磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、氟矽酸鹽玻璃(fluorosilicate glass,FSG)、SiOxCy、旋塗式玻璃(spin-on-glass)、旋塗式高分子(spin-on-polymer)、矽碳材料、前述之化合物、前述之複合物或前述之組合。
導電部件24在第一介電層22中且/或穿過第一介電層22。導電部件24可以是或包括電晶體的閘極結構、電晶體 的閘極結構及/或源極/汲極區的接觸插頭(contact plug)、導電線及/或導電通孔。舉例而言,第一介電層22可包括層間介電層,且導電部件24可包括在層間介電層中的閘電極(例如鎢、鈷等),其藉由使用取代閘極製程(replacement gate process)來形成。在另一範例中,第一介電層22可為層間介電層,導電部件24可包括接觸插頭。可藉由形成穿過層間介電層至例如是形成於半導體基底20上的電晶體的閘電極及/或源極/汲極區的開口來形成接觸插頭。接觸插頭可包括黏合層(例如鈦等)、在黏合層上的阻障層(例如氮化鈦等)及在阻障層上的導電填料(例如鎢、鈷等)。在另一個範例中,第一介電層22可為金屬間介電層,導電部件24可包括導電線及/或導電通孔(共同(collectively)或單獨地(individually)稱作「內連接結構」(interconnect structure))。可藉由穿過金屬間介電層及/或在金屬間介電層中形成開口及/或凹槽(recess)(可例如是使用鑲嵌製程(damascene process))來形成內連接結構。內連接結構可包括例如沿著第一介電層22的側壁的阻障層(如本文所述)及導電填料(例如銅等)。
蝕刻停止層(ESL)26位在第一介電層22及導電部件24上。一般而言,當形成例如導電通孔時,蝕刻停止層可提供用以停止蝕刻製程的機制。蝕刻停止層可由具有不同於相鄰的膜層與元件的蝕刻選擇性的介電材料所形成。蝕刻停止層26沉積於第一介電層22及導電部件24之頂面上。蝕刻停止層26可包括或可為氮化矽、氮碳化矽、碳氧化矽、氮化 碳、類似材料或前述之組合,並且可透過化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)、原子層沉積(ALD)或其他的沉積技術沉積蝕刻停止層26。
第二介電層28位在蝕刻停止層26上。舉例而言,第二介電層28可以是或包括金屬間介電層(IMD)。第二介電層28沉積在蝕刻停止層26的頂面上。舉例而言,第二介電層28可以是或者包括具有介電常數k小於約4.0(例如約2.0或甚至更小)的低介電常數(low-k)介電質。在一些範例中,第二介電層28包括磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、氟矽酸鹽玻璃(fluorosilicate glass,FSG)、SiOxCy、旋塗式玻璃(spin-on-glass)、旋塗式高分子(spin-on-polymer)、矽碳材料、前述之化合物、前述之複合物或前述之組合。可透過化學氣相沉積(CVD)(例如電漿增強化學氣相沉積(PECVD)或可流動化學氣相沉積(FCVD))、旋轉塗佈(spin-on coating)或其他的沉積技術來沉積第二介電層28。在一些範例中,可實施化學機械研磨(CMP)或其他的平坦化製程以平坦化第二介電層28的頂面。
第1圖的配置是為了說明本文一些面向的範例。在其他範例中,各種不同的其他膜層可包含、省略及/或修改。本發明所屬技術領域中具有通常知識者將可輕易地理解可對本發明做各式各樣的修改。
第2圖說明於蝕刻停止層26及第二介電層28中及/或穿過蝕刻停止層26及第二介電層28形成開口30至導電部件 24。開口30可以是或包括通孔開口(via opening)、溝槽(trench)及/或類似物。可以使用光微影技術(photolithography)及蝕刻製程(例如是在鑲嵌製程(damascene process)中)來形成開口30。蝕刻製程可包含反應性離子蝕刻(reactive ion etching,RIE)、中子束蝕刻(neutral beam etch,NBE)、感應耦合電漿(inductive coupled plasma,ICP)蝕刻、類似蝕刻製程或前述之組合。蝕刻製程可以是異向性的(anisotropic)。
開口30的側壁繪示為垂直的。在其他範例中,開口30的側壁可以在朝向或遠離開口30的底部的方向上一起逐漸變窄形成錐形。舉例而言,開口30可具有正錐形輪廓(positive taper profile)或凹入輪廓(reentrant profile)。
第3圖說明在開口30中沿著第二介電層28與蝕刻停止層26的側壁及沿著導電部件24的上表面形成阻障層40。阻障層40進一步形成於第二介電層28的上表面上。一般而言,在一些範例中,阻障層40包括氮化鉭。用於形成阻障層40的製程更詳細地描述於下述第6圖。如第3圖所示,阻障層40具有沿著導電部件24的上表面的第一厚度T1,阻障層40具有沿著第二介電層28與蝕刻停止層26之側壁的第二厚度T2。一般而言,第二厚度T2大於第一厚度T1,並且第6圖的描述中將清楚呈現。
第4圖說明於阻障層40上形成導電填料42,其填充開口30。導電填料42可以是或包括金屬填料,例如銅,鎢,鈷,鋁,釕、相似的材料或前述之組合。可藉由任何可 接受的沉積製程以沉積導電填料42,沉積製程例如是化學氣相沉積(CVD)、物理氣相沉積(PVD)、鍍層(plating)(例如無電極鍍(electroless plating))、相似的製程或前述之組合。
第5圖說明移除多餘的沉積導電填料42及阻障層40以在第二介電層28中形成導電部件(包括導電填料42及阻障層40)。可藉由使用平坦化製程(如化學機械研磨(CMP))來移除多餘的導電填料42及阻障層40,這可使導電填料42、阻障層40及第二介電層28的上表面齊平。如第5圖所示,可形成例如鑲嵌內連接結構(damascene interconnect structure)中的導電部件。
儘管沒有顯示於圖式中,一個或多個額外的介電層可形成在第二介電層28及導電部件上(包括導電填料42及阻障層40)。此外,可於一個或多個額外的介電層中形成額外的導電部件,其形成類似於所示的導電部件(包括導電填料42及阻障層40),且額外的導電部件接觸所示導電部件的上表面。
第6圖是根據一些實施例之在導電部件中形成阻障層40的方法的流程圖。第6圖的方法包括執行清潔製程(cleaning process)(步驟102)、沉積富碳氮化鉭層(步驟104)以及執行電漿處理(plasma treatment)(步驟106)。在一些範例中,清潔製程、沉積以及電漿處理可在同一個設備腔室原位(in situ)實施。
在步驟102中,對第2圖的中間結構執行清潔製 程。清潔製程可以移除來自於形成開口30的蝕刻製程的多餘的材料,且可從導電部件24的頂面移除氧化物。在一些範例中,導電部件24可以是或包括銅,且氧化銅可形成於銅的上表面,例如是藉由形成開口30的蝕刻製程、形成導電部件24時的化學機械研磨(CMP)製程及/或其他製程。在一些範例中,清潔製程可移除氧化銅。
在一些範例中,清潔製程包括將第2圖的中間結構暴露於電漿。電漿可減少形成於導電部件24上的氧化物。電漿可以是或包括還原氣體(reducing gas),例如是氫氣(H2)。在一些範例中,電漿為遠端電漿(remote plasma)。
在步驟104中,在被清潔的中間結構上沉積富碳氮化鉭層。第6圖說明在步驟104中用於沉積富碳氮化鉭層的原子層沉積(ALD)製程,儘管在其他範例中,另外的化學氣相沉積(CVD)製程可被用來沉積富碳氮化鉭層。結合步驟106的電漿處理,原子層沉積(ALD)製程可被稱為電漿增強式原子層沉積(PEALD)。步驟104包括依序於腔室中脈衝(pulsing)富碳氮化鉭前驅氣體(步驟110)、清除(purging)(步驟112)腔室、於腔室中脈衝反應氣體(reactant gas)(步驟114)及清除(purging)(步驟116)腔室。在其他範例中,步驟110、112、114和116的順序可以被改變,例如在步驟110之前執行步驟114。步驟110、112、114和116創造出原子層沉積(ALD)製程的一個循環。在步驟118中,做出是否重複另一個循環的決定,如果是的話,從 步驟110開始的循環又再次被執行。循環可被重複直到預先決定的循環的數量已經被執行,以達到富碳氮化鉭層的目標厚度。舉例而言,在一些範例中,要執行的循環的數量為10次(cycles)至30次。
可在壓力為約2托耳(Torr)至約5托耳且溫度為約250℃至約350℃(更具體地,約275℃至約325℃)的腔室中實施原子層沉積(ALD)製程。用於每次脈衝(pulse)(步驟110和114)的浸潤時間(soak time)為約0.5秒至約10秒。每次清除(purge)(步驟112和116)的持續時間為約0.5秒至約10秒。
用於執行步驟110的富碳氮化鉭前驅氣體可以是或包括鉭(Ta)、[(3,4-eta)-alkyne]tris(N,N-alkylaminato)(Ta[N(CH3)2]3(C6H10))、Ta[N(C2H5)2]3NC(CH3)3及/或相似材料。在一些範例中,富碳氮化鉭前驅氣體可具有等於或大於約25原子百分比(at.%)的碳濃度,例如為約25原子百分比至約40原子百分比。用於步驟114的反應氣體可以是或包括氨(ammonia,NH3)、聯氨(hydrazine,N2H2)及/或相似材料。分別用於步驟110及114的富碳氮化鉭前驅氣體及反應氣體可與載體氣體(carrier gas)混合,載體氣體可為惰性的,例如是氬(Ar)。更進一步地,用於步驟112及116的清除(purge)的氣體可為惰性氣體,例如是氬(Ar)。
使用在步驟104中的示例性原子層沉積(ALD)製程,可以沉積具有可變化的厚度的富碳氮化鉭層,可變化的 厚度取決於該膜層沉積於上的表面。在一些範例中,相較於在金屬表面(例如,第3圖中的導電部件24的上表面)上,剛沉積的富碳氮化鉭層在介電表面(例如,第3圖中的第二介電層28和蝕刻停止層26的側壁)上具有更大的厚度。第7圖說明厚度的差異的面向。第7圖為說明沉積的膜層的厚度作為沉積循環次數的函數的圖表。以實驗的第一數據點212為基礎的第一擬合線(the first fitted-line)202顯示沉積於低介電常數(low-k)介電質(例如,SiOxCy材料)的表面上的富碳氮化鉭層的厚度,而以實驗的第二數據點214為基礎的第二擬合線(the second fitted-line)204顯示沉積於金屬(例如,銅)的表面上的富碳氮化鉭層的厚度。如圖所示,在金屬的表面上的沉積較在低介電常數(low-k)介電質的表面上的沉積延遲,一般認為因為相較於在低介電常數(low-k)介電質的表面上沉積,在金屬的表面上沉積的育成時間(incubation time)較長。育成時間差220顯示於第7圖,其顯示了較長的育成時間。在一些範例中,育成時間差220可導致低介電常數(low-k)介電質的表面與金屬的表面上的厚度的差異為約4埃(Å)至約6埃。不論下方表面如何,在對於表面的育成時間消逝(elapse)之後,沉積的速率大抵相同(例如,在彼此的約3%之內,例如小於約2.1%)。
一般相信相較於貧碳氮化鉭前驅氣體,富碳氮化鉭前驅氣體具有較大的立體位阻(steric hindrance),其可減少吸附在金屬(例如,銅)上。富碳氮化鉭前驅氣體的大的有機(例如,含碳)基團可以提供來自金屬的排斥力,該排 斥力可能不存在於貧碳氮化鉭前驅氣體。更進一步地,相較於金屬(例如,銅),低介電常數(low-k)介電質(例如,SiOxCy材料)可具有較低的吸附活化能,其可允許富碳氮化鉭前驅氣體在原子層沉積(ALD)製程的早期的循環中與低介電常數(low-k)介電質反應較多。
在步驟106中,使用電漿處理來處理在步驟104中沉積的富碳氮化鉭層。電漿處理可移除有機雜質。電漿處理也可緻密化富碳氮化鉭層,其也可提升該膜層的擴散阻隔性。在一些範例中,電漿處理實施電容耦合電漿(capacitively coupled plasma,CCP)。電漿處理可使用氫氣(H2)伴隨載體氣體(carrier gas)(例如,氬(Ar))。氫氣的流動速率(flow rate)可為氣體總流動速率(例如,氫氣及載體氣體的混合流體)的約50%至約95%。電漿處理的壓力可為約1托耳至約5托耳。電漿處理的溫度為約250℃至約350℃內,其相等於在步驟104中用於沉積的溫度。電漿處理的電漿產生器(plasma generator)的功率(power)為約100瓦(W)至約800瓦,電漿產生器的頻率可為約13.5百萬赫(MHz)、約20百萬赫至約40百萬赫或其他頻率。藉由電漿處理進行的處理的持續時間可為約5秒至約120秒。
富碳氮化鉭層可吸附及/或與電漿反應以消耗(deplete)富碳氮化鉭層的碳及緻密化富碳氮化鉭層。剛沉積的富碳氮化鉭層可具有等於或大於約15原子百分比的碳濃度,例如為約15原子百分比至約35原子百分比(例如,約20原子百分比)。剛沉積的富碳氮化鉭層的密度可為5克/立方 公分(g/cm3)至7克/立方公分。在電漿處理之後,來自於富碳氮化鉭層的碳可被消耗,因此,在電漿處理之後,富碳氮化鉭層可被稱為氮化鉭層,其可為貧碳的。更進一步地,氮化鉭層可以比剛沉積的富碳氮化鉭層更緻密。在電漿處理之後,氮化鉭層可具有約1原子百分比至約5原子百分比的碳濃度。在電漿處理之後,氮化鉭層的密度可為約11.0克/立方公分至約12.0克/立方公分。在電漿處理之後,形成的氮化鉭層可以是第3圖的阻障層40。
如前所述,位在導電部件24的上表面的阻障層40的第一厚度T1小於位在第二介電層28之側壁的阻障層40的第二厚度T2。厚度T1和T2的差異大部分是藉由在第6圖的步驟104的沉積期間的育成時間差所造成。在一個特定範例中,第一厚度T1可為約14埃至約16埃,第二厚度T2可為約20埃。因此,在這個特定範例中,第一厚度T1可以小於第二厚度T2,其量為第二厚度T2的約20%至約30%。在其他實施例中,此差異以百分比表示且可以根據例如第二厚度T2而變化。如前所述,在育成時間差(incubation period difference)之後,富碳的氮化鉭層可以大致上相同的速率沉積在例如導電部件24上和第二介電層28上。因此,雖然阻障層40可以形成各種厚度,但是厚度T1和T2之間的差異可以保持大致上相同,並且例如,當第二厚度T2增加時,厚度T1和T2之間的差異為第二厚度T2之較小的百分比。
不同厚度T1和T2可以使阻障層40對第二介電層28具有有益的阻擋性能,並同時減小導電部件24和導電填料42 之間的電阻。一般而言,在導電部件24和導電填料42之間的阻障層40越薄,導電部件24和導電填料42之間的電阻越低。相反地,在導電填料42和第二介電層28之間的阻障層40越厚,阻障層40可以更好地防止導電填料42擴散到第二介電層28中。因此,阻障層40能夠藉由沿著導電部件24具有更薄的第一厚度T1來實現更低的電阻,並且能夠藉由沿著第二介電層28的側壁具有更厚的第二厚度T2來實現有益的阻擋性能。
下面描述的範例使用沉積的差分碳濃度氮化鉭層(differential carbon-concentration tantalum nitride layer)實施阻障層。可藉由多個(例如,兩個或更多個)子層(sub-layers)(例如,多層(multilayer))及/或梯度層(gradient layer)來實施差分碳濃度氮化鉭層。在多層的實施方式中,藉由使用不同的富碳氮化鉭前驅氣體及貧碳氮化鉭前驅氣體、或者他們的不同混合物,可沉積多個子層中的每一個以具有不同的碳濃度,在整個子層中,各自的碳濃度大致上是均勻的。在梯度層的實施方式中,藉由使用不同的富碳氮化鉭前驅氣體及貧碳氮化鉭前驅氣體、或者他們的不同混合物,可沉積梯度層以具有大致上連續的梯度碳濃度。
第8圖及第9圖是根據一些實施例之在用於形成導電部件的示範方法的過程中的個別的中間結構的剖面示意圖。第8圖顯示半導體基底20、第一介電層22、導電部件24、蝕刻停止層26、第二介電層28及開口30,其如上述第1圖及第2圖的相關說明所述。
第8圖進一步說明,在開口30中,沿著第二介電 層28和蝕刻停止層26的側壁及沿著導電部件24的上表面形成阻障層。在第二介電層28的上表面上更進一步形成阻障層。除此之外,藉由沉積第一子層50及在第一子層50上的第二子層52來實施阻障層。一般而言,在一些範例中,阻障層包括氮化鉭。下述第12圖更詳細地描述用於形成阻障層的製程,其包括沉積第一子層50和第二子層52。如第8圖所示,阻障層具有沿著導電部件24的上表面的第一厚度T1及沿著第二介電層28和蝕刻停止層26之側壁的第二厚度T2。一般而言,第二厚度T2大於第一厚度T1,其在第12圖的描述中將變得明顯。
第9圖說明在阻障層上形成導電填料54,其填充開口30,並移除任何多餘的導電填料54和阻障層。導電填料54可為或包括金屬填料(metal fill),例如銅、鎢、鈷、鋁、釕、類似材料或前述之組合。可藉由任何可接受的沉積製程以沉積導電填料54,沉積製程例如是化學氣相沉積(CVD)、物理氣相沉積(PVD)、鍍層(plating)(例如無電極鍍)、相似的製程或前述之組合。可藉由使用平坦化製程(如化學機械研磨(CMP))來移除多餘的導電填料54及阻障層,這可使導電填料54、阻障層及第二介電層28的上表面齊平。如第9圖所示,可形成例如在鑲嵌內連接結構(damascene interconnect structure)中的導電部件。
第10圖及第11圖是根據一些實施例之在用於形成導電部件的示範方法過程中的個別的中間結構的剖面示意圖。第10圖顯示半導體基底20、第一介電層22、導電部件24、蝕刻停止層26、第二介電層28及開口30,如上述第1圖及 第2圖的相關說明所述。
第10圖進一步說明,在開口30中,沿著第二介電層28和蝕刻停止層26的側壁及沿著導電部件24的上表面形成阻障層。在第二介電層28的上表面上更進一步形成阻障層。除此之外,藉由沉積第一子層60、在第一子層60上的第二子層62及在第二子層62上的第三子層64來實施阻障層。一般而言,在一些範例中,阻障層包括氮化鉭。下述第12圖更詳細地描述用於形成阻障層的製程,其包括沉積第一子層60、第二子層62和第三子層64。如第10圖所示,阻障層具有沿著導電部件24的上表面的第一厚度T1及沿著第二介電層28和蝕刻停止層26之側壁的第二厚度T2。一般而言,第二厚度T2大於第一厚度T1,其在第12圖的描述中將變得明顯。
第11圖說明在阻障層上形成導電填料66,其填充開口30,並移除任何多餘的導電填料66和阻障層。導電填料66可為或包括金屬填料,例如銅、鎢、鈷、鋁、釕、相似的材料或前述之組合。可藉由任何可接受的沉積製程以沉積導電填料66,沉積製程例如是化學氣相沉積(CVD)、物理氣相沉積(PVD)、鍍層(plating)(例如無電極鍍)、相似的製程或前述之組合。可藉由使用平坦化製程(如化學機械研磨(CMP))來移除多餘的導電填料66及阻障層,這可使導電填料66、阻障層及第二介電層28的上表面齊平。如第11圖所示,可形成例如在鑲嵌內連接結構(damascene interconnect structure)中的導電部件。
第12圖是根據一些實施例之在導電部件中形成第 8圖及第10圖的阻障層的方法的流程圖。第12圖的方法包括執行清潔製程(cleaning process)(步驟302)、沉積差分碳濃度氮化鉭層(differential carbon-concentration tantalum nitride layer)(步驟304)以及電漿處理(plasma treatment)(步驟306)。在一些範例中,清潔製程、沉積以及電漿處理可在同一個工具腔室被原位(in situ)實施。清潔製程及電漿處理分別可以相同或相似於第6圖的清潔製程(步驟102)及電漿處理(步驟106),因此,為簡潔起見,這裡省略了這些製程的細節。
步驟304沉積具有變化的碳濃度的差分碳濃度氮化鉭層。在一些範例中,差分碳濃度氮化鉭層可為多個子層,每一個子層具有大致上均勻但不同的碳濃度(例如具有階段性提升或下降(step increases or decreases)的碳濃度)。在一些範例中,差分碳濃度氮化鉭層可為具有大致上連續的梯度碳濃度的膜層。在一些範例中,差分碳濃度氮化鉭層可以具有一個或多個子層和大致上連續的梯度的組合(例如,一個或多個子層各自具有均勻的濃度,同時一個或多個子層各自具有梯度濃度)。
在步驟304中,在被清潔的中間結構上沉積差分碳濃度氮化鉭層(如上述第6圖的相關說明所述)。第12圖說明在步驟304中用於沉積差分碳濃度氮化鉭層的原子層沉積(ALD)製程,儘管在其他範例中,另外的化學氣相沉積(CVD)製程可被用來沉積差分碳濃度氮化鉭層。除此之外,步驟304包括依序於腔室中脈衝富碳氮化鉭前驅氣體及貧 碳氮化鉭前驅氣體中的其中一個或其混合物(步驟312)、清除(步驟314)腔室、於腔室中脈衝反應氣體(步驟316)及清除(步驟318)腔室。在其他範例中,步驟312、314、316和318的順序可以被改變,例如在步驟312之前執行步驟316。步驟312、314、316和318創造出原子層沉積(ALD)製程的一個循環。
在執行一個初始的循環之前,在步驟310決定出要依序用來脈衝的富碳氮化鉭前驅氣體、貧碳氮化鉭前驅氣體及反應氣體的初始量(initial amount)或流動速率。步驟310的決定可以基於原子層沉積(ALD)製程的配方(recipe),其可根據不同的設計考量來實施期望的差分碳濃度氮化鉭層,其中一些描述於以下。在做出步驟310的決定之後,執行循環(包括步驟312、314、316和318)。在步驟320中,做出是否重複另一個循環的決定,如果是的話,在步驟322中,決定是否要為後續循環改變任何前驅氣體及/或反應氣體的量。步驟322的決定同樣地可以基於配方。如果要從步驟322的決定改變量,則接著在步驟310中,決定出要依序用來脈衝的富碳氮化鉭前驅氣體、貧碳氮化鉭前驅氣體及反應氣體的量或流動速率,且使用所決定的量來執行另一個循環。如果在步驟322中決定不改變量,則接著使用先前在前一個循環中決定和實施的量來執行另一循環。可以執行預先決定的循環的數量以達到差分碳濃度氮化鉭層的目標厚度。
可在壓力為約2托耳至約5托耳且溫度為約250℃至約350℃(更具體地,約275℃至約325℃,例如為300℃) 的腔室中實施原子層沉積(ALD)製程。用於每次脈衝(pulse)(步驟312和316)的浸潤時間(soak time)可為約0.5秒至約10秒。每次清除(purge)(步驟314和318)的持續時間可為約0.5秒至約10秒。
用於執行步驟312的富碳氮化鉭前驅氣體可以是或包括鉭(Ta)、[(3,4-eta)-alkyne]tris(N,N-alkylaminato)(Ta[N(CH3)2]3(C6H10))、Ta[N(C2H5)2]3NC(CH3)3及/或相似材料。在一些範例中,富碳氮化鉭前驅氣體可具有等於或大於25原子百分比的碳濃度,例如為約25原子百分比至約40原子百分比。用於執行步驟312的貧碳氮化鉭前驅氣體可以是或包括(Ta[N(CH3)2]5及/或相似的材料。在一些範例中,貧碳氮化鉭前驅氣體可具有小於25原子百分比的碳濃度,例如為約15原子百分比至小於約25原子百分比。用於步驟316的反應氣體可以是或包括氨、聯氨及/或相似的材料。用於步驟312及316的富碳氮化鉭前驅氣體、貧碳氮化鉭前驅氣體及反應氣體可與載體氣體(carrier gas)混合,載體氣體可為惰性的,例如是氬。更進一步地,用於步驟314及318的清除(purge)的氣體可為惰性氣體,例如是氬。
首先描述步驟304的實施方式以實現第8圖和第9圖的阻障層。在步驟304中,使用富碳氮化鉭前驅氣體而不使用貧碳氮化鉭前驅氣體來執行第一數量的循環,以沉積第一子層50。另外,可以在第一數量的循環中以低百分比量脈衝反應氣體,例如等於或小於總流量(例如,混合的反應氣體 和載體氣體的流量)的10%。藉由使用富碳氮化鉭前驅氣體而不使用貧碳氮化鉭前驅氣體來執行第一數量的循環,可使用關於第6圖及第7圖描述的育成時間差來沉積在導電部件24的上表面上和第二介電層28的側壁上具有不同厚度的第一子層50(例如,富碳氮化鉭層)。在一些範例中,第一數量的循環允許育成時間差消逝且允許第一子層50開始在導電部件24的上表面上生長。因此,在這樣的範例中,可以實現第一子層50的厚度的最大差異(例如,在導電部件24上的厚度對第二電介質層28上的厚度)。在其他範例中,第一數量的循環可能不足以允許育成時間差消逝。
為了更具體地將第一子層50的沉積與步驟304相關聯,在步驟310,決定前驅氣體的量為100%富碳氮化鉭前驅氣體和0%貧碳氮化鉭前驅氣體(例如,在不考慮載體氣體的情況下),以作為在步驟312中脈衝的前驅氣體,並且決定反應氣體的量等於或小於步驟316所要脈衝的總流量(例如,混合的反應氣體和載體氣體的流量)的10%。循環(步驟312、314、316及318)藉由步驟320重複第一數量,而不藉由步驟322改變氣體。
在執行第一數量的循環之後,進一步在步驟304中,使用貧碳氮化鉭前驅氣體而不使用富碳氮化鉭前驅氣體執行第二數量的循環,以沉積第二子層52(例如,貧碳氮化鉭層)。另外,可以在第二數量的循環中以高百分比量脈衝反應氣體,例如為總流量(例如,混合的反應氣體和載體氣體的流量)的約10%至約99%。在一些範例中,使用貧碳氮化 鉭前驅氣體的沉積通常不表現出如上所述的富碳氮化鉭前驅氣體可能發生的選擇性。更具體地,在那些範例中,基於具有膜層沉積於上的下方表面,通常沒有顯著的育成時間差及沉積速率的顯著差異。因此,第二子層52的沉積可以是大致上均勻的和順應性的(conformal)。第二數量的循環足以形成具有期望的厚度的第二子層52。
為了更具體地將第二子層52的沉積與步驟304相關聯,在完成第一數量的循環之後,在步驟320,決定重複循環以啟動第二數量的循環。在步驟322,做出要改變氣體的量的決定。作為響應,在步驟310,決定前驅氣體的量為0%富碳氮化鉭前驅氣體及100%貧碳氮化鉭前驅氣體(例如,在不考慮載體氣體的情況下),以作為在步驟312中脈衝的前驅氣體,並且決定反應氣體的量為在步驟316中要脈衝的總流量(例如,混合的反應氣體和載體氣體的流量)的約10%至約99%。藉由步驟320將循環(步驟312、314、316及318)重複第二數量,而不接著藉由步驟322改變氣體。在第二數量的循環結束時,在步驟320,決定不重複循環,然後執行步驟306。
在步驟306中,使用電漿處理來處理在步驟304中沉積的差分碳濃度氮化鉭層。一般而言,電漿處理可以去除有機雜質並且還可以緻密化差分碳濃度氮化鉭層。
差分碳濃度氮化鉭層可以吸附及/或與電漿反應以消耗差分碳濃度氮化鉭層的碳並緻密化差分碳濃度氮化鉭層。具有比貧碳氮化鉭層(例如,第二子層52)更高的碳濃 度的富碳氮化鉭層(例如,第一子層50)可以在步驟306中的電漿處理期間減緩或延遲電漿的滲透,其可以防止一些碳消耗和第二介電層28(例如,低介電常數(low-k)介電層)的損壞。
剛沉積的富碳氮化鉭層可具有等於或大於約15原子百分比的碳濃度,例如是約15原子百分比至約35原子百分比(例如,約20原子百分比),且貧碳氮化鉭層可具有小於約15原子百分比的碳濃度,例如是約1原子百分比至小於約15原子百分比(例如,約5原子百分比)。剛沉積的富碳氮化鉭層的密度可為約5克/立方公分至7克/立方公分,而剛沉積的貧碳氮化鉭層的密度可為約8克/立方公分至約10克/立方公分。在電漿處理之後,來自富碳氮化鉭層及貧碳氮化鉭層的碳可被消耗,因此,在電漿處理之後,富碳氮化鉭層及貧碳氮化鉭層可一起被稱為氮化鉭層,其可為貧碳的。更進一步地,氮化鉭層可以比上述剛沉積的各自子層更緻密。在電漿處理之後,氮化鉭層的第一子層50可具有約1原子百分比至約5原子百分比的碳濃度,而氮化鉭層的第二子層52可具有約1原子百分比至約3原子百分比的碳濃度。舉例而言,第一子層50可以具有比第二子層52更高的碳濃度。電漿處理後之氮化鉭層的第一子層50的密度可為約10.5克/立方公分至約11.5克/立方公分,而電漿處理後之氮化鉭層的第二子層52的密度可為約11.5克/立方公分至約12.5克/立方公分。舉例而言,第二子層52可以比第一子層50更緻密。氮化鉭層(例如是包括第一子層50及第二子層52)的密度可為約11.0克/立方公分至約12.0 克/立方公分。在電漿處理之後,形成的氮化鉭層可以是第8圖的阻障層。
如前所述,位在導電部件24的上表面的阻障層的第一厚度T1小於位在第二介電層28之側壁的阻障層的第二厚度T2。厚度T1和T2的差異大部分是藉由在第12圖的步驟304的沉積第一子層50的期間的育成時間差所造成。在一個特定範例中,第一厚度T1可為約11.5埃,第二厚度T2可為約17.5埃。因此,在這個特定範例中,第一厚度T1可以小於第二厚度T2,其量為第二厚度T2的約34%。在其他實施例中,差異以百分比表示,且可以根據例如第二厚度T2而變化,其相似於前面所描述的相關內容。更具體地說,在第一厚度T1處第一子層50的厚度可為約1.5埃,且在第二厚度T2處第一子層50的厚度可為約7.5埃。第二子層52的厚度可以大致上均勻地為約10埃。
藉由沉積包括富碳氮化鉭層和貧碳氮化鉭層的差分碳濃度氮化鉭層來形成阻障層可以實現有益的阻擋性能,並同時減小導電部件24和導電填料42之間的電阻。一般而言,厚度T1及T2可實現如前述的減少的電阻及有益的阻擋性能。更進一步地,藉由沉積貧碳氮化鉭層來實施子層可以達到更好的阻擋性能,其是因為沉積貧碳氮化鉭層可以達到更大的密度,而藉由沉積富碳氮化鉭層來實施子層可以減少或減輕(mitigate)在第二介電層28(例如,低介電常數(low-k)介電質)中的損壞和碳消耗,否則電漿處理可能造成上述損壞和碳消耗。
接下來描述步驟304的實施方式以實現第10圖和第11圖的阻障層。在步驟304中,使用富碳氮化鉭前驅氣體而不使用貧碳氮化鉭前驅氣體來執行第一數量的循環,以沉積第一子層60。另外,可以在第一數量的循環中以低百分比量脈衝反應氣體,例如等於或小於總流量(例如,混合的反應氣體和載體氣體的流量)的10%。藉由使用富碳氮化鉭前驅氣體而不使用貧碳氮化鉭前驅氣體來執行第一數量的循環,可使用關於第6圖及第7圖描述的育成時間差來沉積在導電部件24的上表面上和第二介電層28的側壁上具有不同厚度的第一子層60(例如,富碳氮化鉭層)。在一些範例中,第一數量的循環允許育成時間差消逝且允許第一子層60開始在導電部件24的上表面上生長。因此,在這樣的範例中,可以實現第一子層60的厚度的最大差異(例如,在導電部件24上的厚度對第二電介質層28上的厚度)。在其他範例中,第一數量的循環可能不足以允許育成時間差消逝。
為了更具體地將第一子層60的沉積與步驟304相關聯,在步驟310,決定前驅氣體的量為100%富碳氮化鉭前驅氣體和0%貧碳氮化鉭前驅氣體(例如,在不考慮載體氣體的情況下),以作為在步驟312中脈衝的前驅氣體,並且決定反應氣體的量等於或小於步驟316所要脈衝的總流量(例如,混合的反應氣體和載體氣體的流量)的10%。循環(步驟312、314、316及318)藉由步驟320重複第一數量,而不藉由步驟322改變氣體。
在執行第一數量的循環之後,進一步在步驟304 中,使用貧碳氮化鉭前驅氣體及富碳氮化鉭前驅氣體的混合物執行第二數量的循環,以沉積第二子層62(例如,中度碳氮化鉭層(carbon-moderate tantalum nitride layer))。舉例而言,混合物可包括大約等體積或等流量的富碳氮化鉭前驅氣體及貧碳氮化鉭前驅氣體。另外,可以在第二數量的循環中以總流量(例如,混合的反應氣體和載體氣體的流量)的任何百分比量脈衝反應氣體。改變反應氣體的量可以改變沉積的子層中的碳濃度。一般而言,並且假設其他條件相同,反應氣體的流量越大,沉積的子層中的碳濃度越低,相反地,反應氣體的流量越低,沉積的子層中的碳濃度越大。
為了更具體地將第二子層62的沉積與步驟304相關聯,在完成第一數量的循環之後,在步驟320,決定重複循環以啟動第二數量的循環,在步驟322,做出要改變氣體的量的決定。舉例而言,作為響應,在步驟310,決定前驅氣體的量為50%富碳氮化鉭前驅氣體及50%貧碳氮化鉭前驅氣體(例如,在不考慮載體氣體的情況下),以作為在步驟312中要脈衝的前驅氣體,並且決定反應氣體的量為步驟316所要脈衝的總流量(例如,混合的反應氣體和載體氣體的流量)的約0%至約99%。循環(步驟312、314、316及318)藉由步驟320重複第二數量,而不接著藉由步驟322改變氣體。
在執行第二數量的循環之後,進一步在步驟304中,使用貧碳氮化鉭前驅氣體而不使用富碳氮化鉭前驅氣體執行第三數量的循環,以沉積第三子層64(例如,貧碳氮化鉭層)。另外,可以在第二數量的循環中以高百分比量脈衝 反應氣體,例如為總流量(例如,混合的反應氣體和載體氣體的流量)的約10%至約99%。
為了更具體地將第三子64的沉積與步驟304相關聯,在完成第二數量的循環之後,在步驟320,決定重複循環以啟動第三數量的循環。在步驟322,做出要改變氣體的量的決定。作為響應,在步驟310,決定前驅氣體的量為0%富碳氮化鉭前驅氣體及100%貧碳氮化鉭前驅氣體,以作為在步驟312中脈衝的前驅氣體(例如,不考慮載體氣體),並且決定反應氣體的量為步驟316所要脈衝的總流量(例如,混合的反應氣體和載體氣體的流量)的約10%至約99%。藉由步驟320將循環(步驟312、314、316及318)重複第三數量,而不接著藉由步驟322改變氣體。在第三數量的循環結束時,在步驟320,決定不重複循環,然後執行步驟306。
在步驟306中,使用電漿處理來處理在步驟304中沉積的差分碳濃度氮化鉭層。一般而言,電漿處理可以去除有機雜質並且還可以緻密化差分碳濃度氮化鉭層。
中度碳氮化鉭層(例如,第二子層62)可具有介於上述富碳氮化鉭層和貧碳氮化鉭層的性質的範圍之間的性質。因此,差分碳濃度氮化鉭層可以允許平衡和調整特性以獲得期望的阻障層。可以在差分碳濃度鉭氮化物層中實施額外的膜層。
更進一步地,藉由增加經由步驟322改變的富碳氮化鉭前驅氣體、貧碳氮化鉭前驅氣體及/或反應氣體的各自的量的次數(其可對應地減少每次氣體改變之間的循環次 數),差分碳濃度氮化鉭層可以開始接近或者是成為梯度層,其中,剛沉積的梯度層可以具有大致上連續的梯度碳濃度。這可以進一步允許平衡和調整性質以獲得期望的阻障層。
作為具體範例,假設使用步驟304的原子層沉積(ALD)製程的19次循環來沉積差分碳濃度氮化鉭層。在用於第一循環的步驟310,決定要脈衝的氣體為:100%富碳氮化鉭前驅氣體、0%的貧碳氮化鉭前驅氣體及5%反應氣體,其與上述描述一致。第一循環實施這些量。在每一次循環之後,在步驟322做出要改變氣體的量的決定,而在步驟310,決定氣體的量為:將富碳氮化鉭前驅氣體減少5.55%,使貧碳氮化鉭前驅氣體增加5.55%,並使反應氣體增加5%以上。在最後一個循環(例如,第十九次循環),決定要脈衝的氣體為:0%富碳氮化鉭前驅氣體、100%貧碳氮化鉭前驅氣體及95%反應氣體,其與上述描述一致。
第13圖是根據一些實施例之用於在導電部件中形成阻障層的沉積設備的示意圖。更具體地,沉積設備包括用於輸送兩種前驅物的雙安瓿供應系統(dual-ampoule supply system)。沉積設備包括腔室402、第一安瓿(first ampoule)404及第二安瓿(second ampoule)406。基底座(substrate holder)408被配置在腔室402中。基底座408在沉積製程期間支撐並固定基底。腔室402還包括用於在腔室402內分配氣體的氣體噴淋器410。流體管線412和閥414被配置且可操作以將第一安瓿404和第二安瓿406流體地(fluidly)耦 接到腔室402。流動管線412和閥414被配置並可操作以選擇性地將來自第一安瓿404的第一前驅體A、來自第二安瓿406的第二前驅體B、一種或多種載體氣體和反應氣體傳輸至腔室402並通過氣體噴淋器410來分散。
沉積設備可用於實施上述沉積製程。舉例而言,可以選擇性地打開或關閉不同的閥414以開始或停止將不同的氣體傳輸到腔室402,以在腔室402中實現環境416。假設第一前驅體A是富碳氮化鉭前驅氣體且第二前驅體B是貧碳氮化鉭前驅氣體,可打開或關閉不同的閥414以僅將第一前驅體A、僅將第二前驅體B或將第一前驅體A和第二前驅體B的混合物傳輸到腔室402(伴隨或不伴隨著載體氣體),以用於例如脈衝步驟312。類似地,可以打開或關閉不同的閥414以將反應氣體傳輸到腔室402以用於例如脈衝步驟316。更進一步地,可以打開或關閉不同的閥414以將載體氣體傳輸到腔室402以用於例如清除步驟314及318。
本發明實施例是半導體製程方法。形成穿過介電層至導電部件的開口。在開口中沿著介電層的側壁及導電部件的表面上形成阻障層。阻障層的形成包括沉積膜層,膜層的沉積包括使用第一前驅氣體。第一前驅氣體具有用於沉積在導電部件的表面上的第一育成時間及沉積在介電層的側壁上的第二育成時間。第一育成時間大於第二育成時間。在開口中及阻障層上形成導電填料。在一實施例中,阻障層具有在介電層之側壁的第一厚度,阻障層具有在導電部件的表面的第二厚度,第一厚度大於第二厚度。在一實施例中,第一 前驅氣體具有至少25原子百分比的碳濃度。在一實施例中,阻障層包括氮化鉭。在一實施例中,阻障層的形成更包括穿過開口至導電部件的表面執行清潔製程,清潔製程的執行包括使用具有還原氣體的電漿;執行原子層沉積(ALD)製程以沉積膜層,原子層沉積(ALD)製程包括至少一循環,其包括脈衝第一前驅氣體及脈衝反應氣體。緻密化膜層以形成阻障層,緻密化包括使膜層暴露於電漿。在一實施例中,膜層的沉積包括執行複數個循環,複數個循環中的每一個包括脈衝第一前驅氣體及脈衝反應氣體。在一實施例中,膜層為在各處具有至少15原子百分比的碳濃度的含碳氮化鉭層。在一實施例中,膜層的沉積包括:執行複數個第一循環;以及在執行複數個第一循環後,執行複數個第二循環;其中複數個第一循環中的每一個包括脈衝第一前驅氣體而不脈衝第二前驅氣體,其中第一前驅氣體具有至少25原子百分比的碳濃度,其中第二前驅氣體具有少於25原子百分比的碳濃度;以及脈衝反應氣體;以及其中複數個第二循環中的每一個包括:脈衝第二前驅氣體而不脈衝第一前驅氣體;以及脈衝該反應氣體。在一實施例中,膜層包括:藉由複數個第一循環形成的具有至少15原子百分比的碳濃度的第一含碳氮化鉭子層;以及藉由複數個第二循環形成的具有少於15原子百分比的碳濃度的第二含碳氮化鉭子層。在一實施例中,膜層的沉積包括:執行第一循環;在執行第一循環後,執行第二循環;以及在執行第二循環後,執行第三循環;其中第一循環包括:脈衝第一前驅氣體而不脈衝第二前驅氣體,其中第一 前驅氣體具有至少25原子百分比的碳濃度,其中第二前驅氣體具有少於25原子百分比的碳濃度;以及脈衝反應氣體;其中第二循環包括:脈衝包括第一前驅氣體及第二前驅氣體的混合物;以及脈衝反應氣體;以及其中第三循環包括:脈衝第二前驅氣體而不脈衝第一前驅氣體;以及脈衝反應氣體。在一實施例中,膜層為具有梯度碳濃度的含碳氮化鉭層。
本發明另一實施例是半導體結構。半導體結構包括位於基底上的第一介電層、位於第一介電層中的第一導電部件、位於第一介電層及第一導電部件上的第二介電層以及位於第二介電層中且接觸第一導電部件的第二導電部件。第二導電部件包括阻障層及位於阻障層上的導電填料。阻障層沿著第二介電層的側壁且位於第一導電部件的表面上。阻障層具有在第二介電層之側壁的第一厚度,且阻障層具有在第一導電部件的表面的第二厚度。第一厚度大於第二厚度。在一實施例中,阻障層包括氮化鉭。在一實施例中,阻障層具有1原子百分比至5原子百分比的碳濃度。在一實施例中,阻障層具有11.0克/立方公分至12.0克/立方公分的密度。在一實施例中,阻障層包括靠近第二介電層之側壁的第一部分及遠離第二介電層之側壁的第二部分,第一部分的碳濃度大於第二部分的碳濃度,第二部分的密度大於第一部分的密度。阻障層包括靠近第二介電層之側壁的第一部分及遠離第二介電層之側壁的第二部分,其中:第一部分具有1原子百分比至5原子百分比的碳濃度;第二部分具有1原子百分比至3原子百分比的碳濃度;第一部分具有10.5克/立方公分至11.5克/立方 公分的密度;以及第二部分具有11.5克/立方公分至12.5克/立方公分的密度。
本發明另一實施例是半導體製程方法。形成穿過介電層至導電部件的開口。在開口中沿著介電層的側壁及導電部件的表面上沉積含碳層。沉積含碳層包括使用原子層沉積(ALD)製程,原子層沉積(ALD)製程包括至少一第一循環,其包括脈衝具有至少25原子百分比的碳濃度的前驅氣體以及脈衝反應氣體。緻密化含碳層,緻密化包括使含碳層暴露於電漿。在緻密化後,含碳層為阻障層。在開口中及阻障層上形成導電填料。在一實施例中,含碳層包括含碳氮化鉭層。在一實施例中,原子層沉積(ALD)製程更包括至少一第二循環,其包括:脈衝具有少於25原子百分比的碳濃度的前驅氣體;以及脈衝反應氣體。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
20‧‧‧半導體基底
22‧‧‧第一介電層
24‧‧‧導電部件
26‧‧‧蝕刻停止層
28‧‧‧第二介電層
40‧‧‧阻障層
42‧‧‧導電填料
Claims (15)
- 一種半導體製程方法,包括:形成穿過一介電層至一導電部件的一開口;在該開口中沿著該介電層的一側壁及該導電部件的一表面上形成一阻障層,該阻障層包括碳,該阻障層的形成包括沉積一膜層,該膜層的沉積包括使用一第一前驅氣體,該第一前驅氣體具有用於沉積在該導電部件的該表面上的一第一育成時間(incubation time)及沉積在該介電層的該側壁上的一第二育成時間,該第一育成時間大於該第二育成時間;以及在該開口中及該阻障層上形成一導電填料。
- 如申請專利範圍第1項所述之半導體製程方法,其中該阻障層具有在該介電層之該側壁的一第一厚度,該阻障層具有在該導電部件的該表面的一第二厚度,該第一厚度大於該第二厚度。
- 如申請專利範圍第1項所述之半導體製程方法,其中該第一前驅氣體具有至少25原子百分比的碳濃度。
- 如申請專利範圍第1項所述之半導體製程方法,其中該阻障層包括氮化鉭。
- 如申請專利範圍第1項所述之半導體製程方法,其中該阻障層的形成更包括:穿過該開口至該導電部件的該表面執行一清潔製程,該清潔製程的執行包括使用具有一還原氣體的一電漿;執行一原子層沉積(ALD)製程以沉積該膜層,該原子層 沉積(ALD)製程包括至少一循環,其包括脈衝該第一前驅氣體及脈衝一反應氣體;以及緻密化該膜層以形成該阻障層,該緻密化包括使該膜層暴露於一電漿。
- 如申請專利範圍第1項所述之半導體製程方法,其中該膜層的沉積包括執行複數個循環,該些循環中的每一個包括:脈衝該第一前驅氣體;以及脈衝一反應氣體;其中該膜層為在各處具有至少15原子百分比的碳濃度的一含碳氮化鉭層。
- 如申請專利範圍第1至6項中任一項所述之半導體製程方法,其中該膜層的沉積包括:執行複數個第一循環;以及在執行該些第一循環後,執行複數個第二循環;其中該些第一循環中的每一個包括:脈衝該第一前驅氣體而不脈衝一第二前驅氣體,其中該第一前驅氣體具有至少25原子百分比的碳濃度,其中該第二前驅氣體具有少於25原子百分比的碳濃度;以及脈衝一反應氣體;其中該些第二循環中的每一個包括:脈衝該第二前驅氣體而不脈衝該第一前驅氣體;以及脈衝該反應氣體。
- 如申請專利範圍第7項所述之半導體製程方法,其中該膜 層包括:藉由該些第一循環形成的具有至少15原子百分比的碳濃度的一第一含碳氮化鉭子層;以及藉由該些第二循環形成的具有少於15原子百分比的碳濃度的一第二含碳氮化鉭子層。
- 如申請專利範圍第1至6項中任一項所述之半導體製程方法,其中該膜層的沉積包括:執行一第一循環;在執行該第一循環後,執行一第二循環;以及在執行該第二循環後,執行一第三循環;其中該第一循環包括:脈衝該第一前驅氣體而不脈衝一第二前驅氣體,其中該第一前驅氣體具有至少25原子百分比的碳濃度,其中該第二前驅氣體具有少於25原子百分比的碳濃度;以及脈衝一反應氣體;其中該第二循環包括:脈衝包括該第一前驅氣體及該第二前驅氣體的一混合物;以及脈衝該反應氣體;其中該第三循環包括:脈衝該第二前驅氣體而不脈衝該第一前驅氣體;以及脈衝該反應氣體;其中該膜層為具有一梯度碳濃度的一含碳氮化鉭層。
- 一種半導體結構,包括: 一第一介電層,位於一基底上;一第一導電部件,位於該第一介電層中;一第二介電層,位於該第一介電層及該第一導電部件上;以及一第二導電部件,位於該第二介電層中,且該第二導電部件接觸該第一導電部件,該第二導電部件包括:一阻障層,沿著該第二介電層的一側壁且位於該第一導電部件的一表面上,該阻障層具有在該第二介電層之該側壁的一第一厚度,該阻障層具有在該第一導電部件的該表面的一第二厚度,該第一厚度大於該第二厚度,且該阻障層包括碳;以及一導電填料,位於該阻障層上。
- 如申請專利範圍第10項所述之半導體結構,其中該阻障層具有11.0克/立方公分至12.0克/立方公分的密度。
- 如申請專利範圍第10項所述之半導體結構,其中該阻障層包括靠近該第二介電層之該側壁的一第一部分及遠離該第二介電層之該側壁的一第二部分,該第一部分的碳濃度大於該第二部分的碳濃度,該第二部分的密度大於該第一部分的密度。
- 如申請專利範圍第10項所述之半導體結構,其中該阻障層包括靠近該第二介電層之該側壁的一第一部分及遠離該第二介電層之該側壁的一第二部分,其中:該第一部分具有1原子百分比至5原子百分比的碳濃度;該第二部分具有1原子百分比至3原子百分比的碳濃度; 該第一部分具有10.5克/立方公分至11.5克/立方公分的密度;以及該第二部分具有11.5克/立方公分至12.5克/立方公分的密度。
- 一種半導體製程方法,包括:形成穿過一介電層至一導電部件的一開口;以及在該開口中沿著該介電層的一側壁及該導電部件的一表面上沉積一含碳層,該含碳層的沉積包括使用一原子層沉積(ALD)製程,該原子層沉積(ALD)製程包括至少一第一循環,其包括:脈衝具有至少25原子百分比的碳濃度的一前驅氣體;脈衝一反應氣體;緻密化該含碳層,該含碳層的緻密化包括使該含碳層暴露於一電漿,其中,在該緻密化後,該含碳層為一阻障層;以及在該開口中及該阻障層上形成一導電填料。
- 如申請專利範圍第14項所述之半導體製程方法,其中該原子層沉積(ALD)製程更包括至少一第二循環,其包括:脈衝具有少於25原子百分比的碳濃度的一前驅氣體;以及脈衝該反應氣體。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/993,751 | 2018-05-31 | ||
US15/993,751 US10741442B2 (en) | 2018-05-31 | 2018-05-31 | Barrier layer formation for conductive feature |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202004866A TW202004866A (zh) | 2020-01-16 |
TWI698919B true TWI698919B (zh) | 2020-07-11 |
Family
ID=68576185
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW108110702A TWI698919B (zh) | 2018-05-31 | 2019-03-27 | 半導體結構及半導體製程方法 |
Country Status (5)
Country | Link |
---|---|
US (3) | US10741442B2 (zh) |
KR (1) | KR102210976B1 (zh) |
CN (1) | CN110556334B (zh) |
DE (1) | DE102018113674B4 (zh) |
TW (1) | TWI698919B (zh) |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11769692B2 (en) * | 2018-10-31 | 2023-09-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | High breakdown voltage inter-metal dielectric layer |
US11251261B2 (en) * | 2019-05-17 | 2022-02-15 | Micron Technology, Inc. | Forming a barrier material on an electrode |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20030036263A1 (en) * | 2001-08-20 | 2003-02-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for selectively depositing diffusion barriers |
US7282438B1 (en) * | 2004-06-15 | 2007-10-16 | Novellus Systems, Inc. | Low-k SiC copper diffusion barrier films |
US9837270B1 (en) * | 2016-12-16 | 2017-12-05 | Lam Research Corporation | Densification of silicon carbide film using remote plasma treatment |
Family Cites Families (54)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3807008A (en) * | 1969-05-02 | 1974-04-30 | Texas Instruments Inc | Chemical vapor deposition coatings on titanium |
JPH01298765A (ja) * | 1988-05-27 | 1989-12-01 | Fujitsu Ltd | 半導体装置及びその製造方法 |
US5968333A (en) * | 1998-04-07 | 1999-10-19 | Advanced Micro Devices, Inc. | Method of electroplating a copper or copper alloy interconnect |
US6169024B1 (en) * | 1998-09-30 | 2001-01-02 | Intel Corporation | Process to manufacture continuous metal interconnects |
US6417094B1 (en) * | 1998-12-31 | 2002-07-09 | Newport Fab, Llc | Dual-damascene interconnect structures and methods of fabricating same |
US6245655B1 (en) * | 1999-04-01 | 2001-06-12 | Cvc Products, Inc. | Method for planarized deposition of a material |
US6337151B1 (en) | 1999-08-18 | 2002-01-08 | International Business Machines Corporation | Graded composition diffusion barriers for chip wiring applications |
JP3976462B2 (ja) * | 2000-01-26 | 2007-09-19 | エルピーダメモリ株式会社 | 半導体装置の製造方法 |
US6551893B1 (en) * | 2001-11-27 | 2003-04-22 | Micron Technology, Inc. | Atomic layer deposition of capacitor dielectric |
US6849173B1 (en) * | 2002-06-12 | 2005-02-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Technique to enhance the yield of copper interconnections |
US6939800B1 (en) * | 2002-12-16 | 2005-09-06 | Lsi Logic Corporation | Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures |
DE10302644B3 (de) * | 2003-01-23 | 2004-11-25 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum mittels stromloser Abscheidung unter Verwendung eines Katalysators |
DE10303925B4 (de) * | 2003-01-31 | 2007-06-06 | Advanced Micro Devices, Inc., Sunnyvale | Dielektrische Barrierenschicht für eine Kupfermetallisierungsschicht mit einer über die Dicke hinweg variierenden Siliziumkonzentration und Verfahren zu deren Herstellung |
JP4086673B2 (ja) | 2003-02-04 | 2008-05-14 | Necエレクトロニクス株式会社 | 半導体装置及びその製造方法 |
US6812110B1 (en) * | 2003-05-09 | 2004-11-02 | Micron Technology, Inc. | Methods of forming capacitor constructions, and methods of forming constructions comprising dielectric materials |
US20050082089A1 (en) * | 2003-10-18 | 2005-04-21 | Stephan Grunow | Stacked interconnect structure between copper lines of a semiconductor circuit |
JP3759525B2 (ja) * | 2003-10-27 | 2006-03-29 | 松下電器産業株式会社 | 半導体装置の製造方法 |
US20050206000A1 (en) * | 2004-03-19 | 2005-09-22 | Sanjeev Aggarwal | Barrier for copper integrated circuits |
US7863179B2 (en) * | 2006-10-31 | 2011-01-04 | Lam Research Corporation | Methods of fabricating a barrier layer with varying composition for copper metallization |
JP4224434B2 (ja) | 2004-06-30 | 2009-02-12 | パナソニック株式会社 | 半導体装置及びその製造方法 |
US7037837B2 (en) * | 2004-07-29 | 2006-05-02 | Texas Instruments Incorporated | Method of fabricating robust nucleation/seed layers for subsequent deposition/fill of metallization layers |
US7476618B2 (en) * | 2004-10-26 | 2009-01-13 | Asm Japan K.K. | Selective formation of metal layers in an integrated circuit |
US8025922B2 (en) * | 2005-03-15 | 2011-09-27 | Asm International N.V. | Enhanced deposition of noble metals |
US20070059502A1 (en) * | 2005-05-05 | 2007-03-15 | Applied Materials, Inc. | Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer |
US7550385B2 (en) * | 2005-09-30 | 2009-06-23 | Intel Corporation | Amine-free deposition of metal-nitride films |
US7785658B2 (en) | 2005-10-07 | 2010-08-31 | Asm Japan K.K. | Method for forming metal wiring structure |
JP2007281114A (ja) * | 2006-04-05 | 2007-10-25 | Sony Corp | 半導体装置の製造方法および半導体装置 |
US20070259519A1 (en) | 2006-05-02 | 2007-11-08 | International Business Machines Corporation | Interconnect metallization process with 100% or greater step coverage |
US7435484B2 (en) | 2006-09-01 | 2008-10-14 | Asm Japan K.K. | Ruthenium thin film-formed structure |
US8242016B2 (en) * | 2007-05-14 | 2012-08-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Approach for reducing copper line resistivity |
JP5366235B2 (ja) | 2008-01-28 | 2013-12-11 | 東京エレクトロン株式会社 | 半導体装置の製造方法、半導体製造装置及び記憶媒体 |
US7834457B2 (en) * | 2008-02-28 | 2010-11-16 | International Business Machines Corporation | Bilayer metal capping layer for interconnect applications |
JP5343369B2 (ja) * | 2008-03-03 | 2013-11-13 | 東京エレクトロン株式会社 | 半導体装置の製造方法、半導体製造装置及び記憶媒体 |
US7928569B2 (en) | 2008-08-14 | 2011-04-19 | International Business Machines Corporation | Redundant barrier structure for interconnect and wiring applications, design structure and method of manufacture |
US7977235B2 (en) * | 2009-02-02 | 2011-07-12 | Tokyo Electron Limited | Method for manufacturing a semiconductor device with metal-containing cap layers |
US8344513B2 (en) | 2009-03-23 | 2013-01-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Barrier for through-silicon via |
US8916469B2 (en) * | 2013-03-12 | 2014-12-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating copper damascene |
TW201532247A (zh) * | 2013-10-16 | 2015-08-16 | Conversant Intellectual Property Man Inc | 形成嵌入動態隨機存取記憶體電容器的成本效益佳的方法 |
KR20160098201A (ko) | 2013-12-26 | 2016-08-18 | 인텔 코포레이션 | 직접 플라즈마 고밀화 프로세스 및 반도체 디바이스들 |
US9306023B2 (en) * | 2014-02-06 | 2016-04-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with gate stacks and method of manufacturing the same |
US10163644B2 (en) * | 2014-02-07 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company | Interconnect structure including a conductive feature and a barrier layer on sidewalls and a bottom surface of the conductive feature and method of forming the same |
US9847296B2 (en) * | 2014-02-14 | 2017-12-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Barrier layer and structure method |
US9123785B1 (en) | 2014-03-10 | 2015-09-01 | Intermolecular, Inc. | Method to etch Cu/Ta/TaN selectively using dilute aqueous HF/HCI solution |
US9966339B2 (en) * | 2014-03-14 | 2018-05-08 | Taiwan Semiconductor Manufacturing Company | Barrier structure for copper interconnect |
JP6268008B2 (ja) * | 2014-03-17 | 2018-01-24 | 東京エレクトロン株式会社 | Cu配線の製造方法 |
US9224686B1 (en) | 2014-09-10 | 2015-12-29 | International Business Machines Corporation | Single damascene interconnect structure |
US9553100B2 (en) * | 2014-12-04 | 2017-01-24 | Sandisk Techologies Llc | Selective floating gate semiconductor material deposition in a three-dimensional memory structure |
US10243080B2 (en) * | 2014-12-19 | 2019-03-26 | Intel Corporation | Selective deposition utilizing sacrificial blocking layers for semiconductor devices |
JP6583081B2 (ja) * | 2016-03-22 | 2019-10-02 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
US10580650B2 (en) * | 2016-04-12 | 2020-03-03 | Tokyo Electron Limited | Method for bottom-up formation of a film in a recessed feature |
US9899297B1 (en) * | 2016-09-30 | 2018-02-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having a through-silicon via and manufacturing method thereof |
US9953927B1 (en) * | 2017-04-26 | 2018-04-24 | Globalfoundries Inc. | Liner replacements for interconnect openings |
JP6902958B2 (ja) * | 2017-08-02 | 2021-07-14 | 東京エレクトロン株式会社 | シリコン膜の形成方法および形成装置 |
CN107706189B (zh) * | 2017-08-22 | 2018-12-14 | 长江存储科技有限责任公司 | 3d nand闪存的接触窗形成方法和接触窗结构 |
-
2018
- 2018-05-31 US US15/993,751 patent/US10741442B2/en active Active
- 2018-06-08 DE DE102018113674.9A patent/DE102018113674B4/de active Active
- 2018-08-10 KR KR1020180093941A patent/KR102210976B1/ko active IP Right Grant
- 2018-11-20 CN CN201811382303.9A patent/CN110556334B/zh active Active
-
2019
- 2019-03-27 TW TW108110702A patent/TWI698919B/zh active
- 2019-11-12 US US16/680,880 patent/US11043413B2/en active Active
- 2019-11-12 US US16/680,858 patent/US11183424B2/en active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20030036263A1 (en) * | 2001-08-20 | 2003-02-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for selectively depositing diffusion barriers |
US7282438B1 (en) * | 2004-06-15 | 2007-10-16 | Novellus Systems, Inc. | Low-k SiC copper diffusion barrier films |
US9837270B1 (en) * | 2016-12-16 | 2017-12-05 | Lam Research Corporation | Densification of silicon carbide film using remote plasma treatment |
Also Published As
Publication number | Publication date |
---|---|
CN110556334A (zh) | 2019-12-10 |
US20200083096A1 (en) | 2020-03-12 |
KR20190136879A (ko) | 2019-12-10 |
CN110556334B (zh) | 2022-08-23 |
TW202004866A (zh) | 2020-01-16 |
US11043413B2 (en) | 2021-06-22 |
US11183424B2 (en) | 2021-11-23 |
KR102210976B1 (ko) | 2021-02-03 |
US10741442B2 (en) | 2020-08-11 |
DE102018113674B4 (de) | 2024-08-29 |
DE102018113674A1 (de) | 2019-12-05 |
US20190371660A1 (en) | 2019-12-05 |
US20200083095A1 (en) | 2020-03-12 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI643292B (zh) | 形成金屬內連線的方法以及使用該方法製造半導體裝置的方法 | |
US9748105B2 (en) | Tungsten deposition with tungsten hexafluoride (WF6) etchback | |
JP2008532271A (ja) | 原子層堆積のための表面のプラズマ前処理 | |
US20230253247A1 (en) | Interconnect structure with dielectric cap layer and etch stop layer stack | |
TWI698919B (zh) | 半導體結構及半導體製程方法 | |
US20240321632A1 (en) | Semiconductor device including liner structure | |
TWI732294B (zh) | 沉積方法及系統 | |
TWI731355B (zh) | 半導體裝置的形成方法 | |
US20050046028A1 (en) | Semiconductor device and method for fabricating the same | |
TWI609095B (zh) | 用於氮化錳整合之方法 | |
JP2006024668A (ja) | 半導体装置の製造方法 | |
KR102403920B1 (ko) | 구리 확산을 저감시키기 위한 비정질층 및 이것을 형성하는 방법 | |
TWI853995B (zh) | 用於混成特徵金屬化之方法與設備 | |
US20240332070A1 (en) | Etch stop layer for interconnect structures | |
TW202111870A (zh) | 用於混成特徵金屬化之方法與設備 | |
KR100891524B1 (ko) | 반도체 소자의 제조방법 | |
JP2006024667A (ja) | 半導体装置の製造方法 | |
JP2006147895A (ja) | 半導体装置の製造方法 | |
JP2006054326A (ja) | 半導体装置の製造方法及び半導体装置 |