DE102021100639A1 - Verschaltungsstruktur einer halbleitervorrichtung - Google Patents

Verschaltungsstruktur einer halbleitervorrichtung Download PDF

Info

Publication number
DE102021100639A1
DE102021100639A1 DE102021100639.2A DE102021100639A DE102021100639A1 DE 102021100639 A1 DE102021100639 A1 DE 102021100639A1 DE 102021100639 A DE102021100639 A DE 102021100639A DE 102021100639 A1 DE102021100639 A1 DE 102021100639A1
Authority
DE
Germany
Prior art keywords
layer
barrier layer
conductive feature
top surface
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021100639.2A
Other languages
English (en)
Inventor
Yao-Min Liu
Chia-Pang Kuo
Chien Chung Huang
Chih-Yi Chang
Ya-Lien Lee
Chun-Chieh Lin
Hung-Wen Su
Ming-Hsing Tsai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021100639A1 publication Critical patent/DE102021100639A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Photovoltaic Devices (AREA)
  • Coupling Device And Connection With Printed Circuit (AREA)

Abstract

Es werden eine Halbleiterstruktur und ein Verfahren zum Ausbilden davon bereitgestellt. Ein Verfahren umfasst Abscheiden einer dielektrischen Schicht über einem leitfähigen Merkmal. Die dielektrische Schicht wird strukturiert, um eine Öffnung darin zu bilden. Die Öffnung legt einen ersten Abschnitt des leitfähigen Merkmals frei. Eine erste Sperrschicht wird auf einer Seitenwand der Öffnung abgeschieden. Der erste Abschnitt des leitfähigen Merkmals ist am Ende des Abscheidens der ersten Sperrschicht weiterhin freigelegt.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung Nr. 63/076,999 , eingereicht am 11. September 2020, die vorliegend durch Bezugnahme aufgenommen ist.
  • HINTERGRUND
  • Im Allgemeinen werden aktive Bauelemente und passive Bauelemente auf und in einem Halbleitersubstrat ausgebildet. Nach der Ausbildung können diese aktiven Bauelemente und passiven Bauelemente unter Verwendung einer Abfolge von leitfähigen und isolierenden Schichten miteinander und mit externen Bauelementen verbunden werden. Diese Schichten können zur Verbindung von verschiedenen aktiven und passiven Bauelementen untereinander beitragen sowie eine elektrische Verschaltung zu externen Bauelementen zum Beispiel über Kontaktpads bereitstellen.
  • Um diese Verschaltungen (auch als Interconnects bezeichnet) innerhalb von diesen Schichten auszubilden, kann eine Abfolge aus Lithografie-, Ätz-, Abscheidungs- und Planarisierungstechniken eingesetzt werden. Mit abnehmender Größe der aktiven und passiven Bauelemente wird jedoch die Verwendung solcher Techniken komplizierter, was dazu führt, dass auch eine Verkleinerung der Verschaltungen gewünscht wird. Daher besteht Bedarf an Verbesserungen der Ausbildung und der Struktur der Verschaltungen, um das gesamte Bauelement kleiner, billiger, effizienter und mit weniger Defekten bzw. Problemen zu gestalten.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten anhand der folgenden detaillierten Beschreibung verständlich, wenn diese in Verbindung mit den beigefügten Figuren gelesen wird. Es sei noch angemerkt, dass entsprechend der üblichen Branchenpraxis verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Diskussion beliebig vergrößert oder verkleinert sein.
    • 1-17 veranschaulichen Querschnittsansichten verschiedener Zwischenstufen der Fertigung einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 18 veranschaulicht Konzentrationsprofile verschiedener Elemente innerhalb von leitfähigen Merkmalen gemäß einigen Ausführungsformen.
    • 19-27 veranschaulichen Querschnittsansichten verschiedener Zwischenstufen der Fertigung einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 28 veranschaulicht eine Querschnittsansicht einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 29 veranschaulicht eine Querschnittsansicht einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 30 veranschaulicht eine Querschnittsansicht einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 31 ist ein Flussdiagramm, das ein Verfahren zum Ausbilden einer Verschaltungsstruktur gemäß einigen Ausführungsformen veranschaulicht.
    • 32 ist ein Flussdiagramm, das einen Oberflächenmodifizierungsprozess gemäß einigen Ausführungsformen veranschaulicht.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen bzw. - beispiele zum Implementieren unterschiedlicher Merkmale der Erfindung bereit. Um die vorliegende Offenbarung zu vereinfachen, werden nachstehend konkrete Beispiele für Komponenten und Anordnungen beschrieben. Diese sind natürlich lediglich Ausführungsbeispiele und sollen nicht einschränkend sein. Zum Beispiel kann die Ausbildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei welchen das erste und das zweite Merkmal in direktem Kontakt ausgebildet werden, und auch Ausführungsformen umfassen, bei welchen zusätzliche Merkmale derart zwischen dem ersten und dem zweiten Merkmal ausgebildet werden können, dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt sind. Außerdem kann die vorliegende Offenbarung in den verschiedenen Beispielen Bezugszeichen und/oder Buchstaben wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und gibt an sich keine Beziehung zwischen den verschiedenen diskutierten Ausführungsformen und/oder Ausgestaltungen vor.
  • Ferner können räumlich relative Begriffe wie „unter“, „unterhalb“, „untere/r/s“, „über“, „obere/r/s“ und dergleichen hier zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elementes oder Merkmals zu einem anderen Element (anderen Elementen) oder Merkmal(en), wie in den Figuren veranschaulicht, zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren gezeigten Ausrichtung unterschiedliche Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb umfassen. Der Gegenstand kann anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen), und die vorliegend verwendeten räumlich relativen Beschreibungen können ebenso entsprechend interpretiert werden.
  • Ausführungsformen werden mit Bezug auf einen bestimmten Kontext beschrieben, nämlich Verschaltungsstrukturen von Halbleitervorrichtungen und Verfahren zum Ausbilden davon. Einige Ausführungsformen ermöglichen ein Verändern der Abscheidungsrate einer Sperrschicht auf einer Oberfläche eines ersten leitfähigen Merkmals, das durch eine Öffnung in einer darüberliegenden dielektrischen Schicht freigelegt ist, derart, dass die Abscheidungsrate auf dem Boden der Öffnung (d. h. auf der freiliegenden Oberfläche des ersten leitfähigen Merkmals) verringert oder unterdrückt wird, und derart, dass die Sperrschicht selektiv auf Seitenwänden der Öffnung und nicht auf dem Boden der Öffnung abgeschieden wird. In einigen Ausführungsformen kann die Abscheidungsrate der Sperrschicht auf dem Boden der Öffnung verringert oder unterdrückt werden, indem ein Oberflächenmodifizierungsprozess an der freiliegenden Oberfläche des ersten leitfähigen Merkmals durchgeführt wird. In einigen Ausführungsformen umfasst der Oberflächenmodifizierungsprozess Durchführen eines Oxidreduktionsprozesses an der freiliegenden Oberfläche des leitfähigen Merkmals, gefolgt von Durchführen eines Tensideinwirkungsprozesses an der freiliegenden Oberfläche des leitfähigen Merkmals. Verschiedene vorliegend erörterte Ausführungsformen ermöglichen ein Verringern der Menge der Sperrschicht in der Öffnung und ein Verringern des Kontaktwiderstands zwischen dem ersten leitfähigen Merkmal und einem zweiten leitfähigen Merkmal, das in der Öffnung ausgebildet ist.
  • 1-17 veranschaulichen Querschnittsansichten verschiedener Zwischenstufen der Fertigung einer Halbleitervorrichtung 100 gemäß einigen Ausführungsformen. Unter Bezugnahme auf 1 umfasst der Prozess zum Ausbilden der Halbleitervorrichtung 100 Bereitstellen eines Substrats 101. Das Substrat 101 kann zum Beispiel ein dotiertes oder ein undotiertes Silizium-Vollsubstrat oder eine aktive Schicht eines Halbleiter-auf-Isolator-Substrats (SOI-Substrats) umfassen. Im Allgemeinen weist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, etwa Silizium, auf, die auf einer Isolatorschicht ausgebildet ist. Die Isolatorschicht kann zum Beispiel eine vergrabene Oxidschicht (Buried Oxide- bzw. BOX-Schicht) oder eine Siliziumoxidschicht sein. Die Isolatorschicht ist auf einem Substrat bereitgestellt, etwa einem Silizium- oder Glassubstrat. Alternativ dazu kann das Halbleitersubstrat 101 einen anderen Elementhalbleiter wie Germanium; einen Verbindungshalbleiter, etwa Siliziumkarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, etwa SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon enthalten. Andere Substrate, wie mehrschichtige oder Gradientensubstrate, können ebenfalls verwendet werden.
  • In einigen Ausführungsformen werden ein oder mehrere aktive und/oder passive Bauelemente 103 (in 1 als einzelner Transistor veranschaulicht) auf dem Substrat 101 ausgebildet. Das eine oder die mehreren aktiven und/oder passiven Bauelemente 103 können verschiedene n-Metall-Oxid-Halbleiter-(NMOS-) und/oder p-Metall-Oxid-Halbleiter- (PMOS-) Bauelemente umfassen, zum Beispiel Transistoren, Kondensatoren, Widerstände, Dioden, Fotodioden, Sicherungen und dergleichen. Es ist für den Durchschnittsfachmann ersichtlich, dass die vorstehenden Beispiele nur zu Veranschaulichungszwecken bereitgestellt sind und dass sie die vorliegende Offenbarung in keiner Weise einschränken sollen. Andere Schaltungen können ebenfalls verwendet werden, sofern sie für eine gegebene Anwendung angemessen sind.
  • In einigen Ausführungsformen weist der Transistor 103 einen Gatestapel, der ein Gate-Dielektrikum 105 und eine Gate-Elektrode 107 aufweist, Abstandshalter 109 auf gegenüberliegenden Seitenwänden des Gatestapels und Source-/Drain-Bereiche 111 benachbart zu dem jeweiligen Abstandshalter 109 auf. Zur Vereinfachung sind Komponenten, die typischerweise in integrierten Schaltungen ausgebildet sind, beispielsweise Gate-Silizide, Source-/Drain-Silizide, Kontaktätzstoppschichten und dergleichen, nicht veranschaulicht. In einigen Ausführungsformen kann der Transistor 103 unter Verwendung von beliebigen annehmbaren Verfahren ausgebildet werden. In einigen Ausführungsformen kann der Transistor 103 ein planarer MOSFET, ein FinFET oder dergleichen sein.
  • In einigen Ausführungsformen werden eine oder mehrere Zwischenschichtdielektrikumschichten (Interlayer Dielectric- bzw. ILD-Schichten) 113 über dem Substrat und dem einen oder den mehreren aktiven und/oder passiven Bauelementen 103 ausgebildet. In einigen Ausführungsformen kann die eine oder die mehreren ILD-Schichten 113 zum Beispiel Phosphorsilikatglas (PSG), Borphosphorsilikatglas (BPSG), Fluorsilikatglas (FSG), SiOxCy, aufgeschleudertes Glas, aufgeschleuderte Polymere, Silizium-Kohlenstoff-Materialien, Verbindungen daraus, Verbundstoffe daraus, Kombinationen davon oder dergleichen aufweisen und durch jedes geeignete Verfahren ausgebildet werden, etwa Aufschleuderbeschichten, chemische Gasphasenabscheidung (CVD - Chemical Vapor Deposition), plasmaunterstützte CVD (PECVD - Plasma-enhanced CVD), Atomlagenabscheidung (ALD - Atomic Layer Deposition), eine Kombination davon oder dergleichen.
  • In einigen Ausführungsformen werden Source-/Drain-Kontaktstecker 115 und ein Gate-Kontaktstecker 117 in der einer oder den mehreren ILD-Schichten 113 ausgebildet. Die Source-/Drain-Kontaktstecker 115 stellen elektrischen Kontakt zu den Source-/Drain-Bereichen 111 bereit. Der Gate-Kontaktstecker 117 stellt elektrischen Kontakt zu der Gate-Elektrode 107 bereit. In einigen Ausführungsformen umfassen die Schritte zum Ausbilden der Kontaktstecker 115 und 117 Ausbilden von Öffnungen in der einen oder den mehreren ILD-Schichten 113, Abscheiden einer oder mehrerer Sperr-/Haftschichten (nicht explizit gezeigt) in den Öffnungen, Abscheiden von Keimschichten (nicht explizit gezeigt) über der einen oder den mehreren Sperr-/Haftschichten und Füllen der Öffnungen mit einem leitfähigen Material (nicht explizit gezeigt). Dann wird ein chemisch-mechanisches Polieren (CMP) durchgeführt, um überschüssiges Material der einen oder mehreren Sperr-/Haftschichten, der Keimschicht und des leitfähigen Materials, das die Öffnungen überfüllt, zu entfernen. In einigen Ausführungsformen sind oberste Oberflächen der Kontaktstecker 115 und 117 im Rahmen von Prozessschwankungen des CMP-Prozesses im Wesentlichen koplanar oder bündig mit einer obersten Oberfläche der einen oder mehreren ILD-Schichten 113.
  • In einigen Ausführungsformen kann die eine oder die mehreren Sperr-/Haftschichten Titan, Titannitrid, Tantal, Tantalnitrid, eine Kombination davon, eine Mehrfachschicht daraus oder dergleichen aufweisen und unter Verwendung von physikalischer Gasphasenabscheidung (PVD - Physical Vapor Deposition), CVD, ALD, einer Kombination davon oder dergleichen ausgebildet werden. Die eine oder die mehreren Sperr-/Haftschichten schützen die eine oder die mehreren ILD-Schichten 113 vor Diffusion und Metallvergiftung. Die Keimschichten können Kupfer, Titan, Nickel, Gold, Mangan, eine Kombination davon, eine Mehrfachschicht daraus oder dergleichen aufweisen und durch ALD, CVD, PVD, Sputtern (Kathodenzerstäubung), eine Kombination davon oder dergleichen ausgebildet werden. Das leitfähige Material kann Kupfer, Aluminium, Wolfram, Kobalt, Ruthenium, Kombinationen davon, Legierungen davon, Mehrfachschichten daraus oder dergleichen aufweisen und zum Beispiel durch Plattieren oder andere geeignete Verfahren ausgebildet werden.
  • 2-17 veranschaulichen Querschnittsansichten verschiedener Zwischenstufen der Fertigung einer Verschaltungsstruktur 201 über der Struktur aus 1 gemäß einigen Ausführungsformen. Unter Bezugnahme auf 2 beginnen in einigen Ausführungsformen die Schritte zum Ausbilden der Verschaltungsstruktur 201 mit dem Ausbilden einer Metallisierungsschicht 2031 über der einen oder den mehreren ILD-Schichten 113 und den Kontaktsteckern 115 und 117. In einigen Ausführungsformen beginnt die Ausbildung der Metallisierungsschicht 2031 mit dem Ausbilden einer Ätzstoppschicht (ESL - Etch Stop Layer) 2051 über der einen oder den mehreren ILD-Schichten 113 und den Kontaktsteckern 115 und 117 und dem Ausbilden einer Zwischenmetalldielektrikumschicht (Inter-metal Dielectric- bzw. IMD-Schicht) 2071 über der ESL 2051.
  • In einigen Ausführungsformen wird ein Material für die ESL 2051 derart ausgewählt, dass die Ätzrate der ESL 2051 kleiner ist als die Ätzrate der IMD-Schicht 2071. In einigen Ausführungsformen kann die ESL 2051 eine oder mehrere Schichten aus dielektrischen Materialien aufweisen. Geeignete dielektrische Materialien können Oxide (z. B. Siliziumoxid, Aluminiumoxid oder dergleichen), Nitride (z. B. SiN oder dergleichen), Oxynitride (z. B. SiON oder dergleichen), Oxykarbide (z. B. SiOC oder dergleichen), Karbonitride (z. B. SiCN oder dergleichen), Karbide (z. B. SiC oder dergleichen), Kombinationen davon oder dergleichen umfassen und durch Aufschleuderbeschichten, CVD, PECVD, ALD, eine Kombination davon oder dergleichen ausgebildet werden. In einigen Ausführungsformen kann die IMD-Schicht 2071 unter Verwendung von ähnlichen Materialien und Verfahren ausgebildet werden wie die eine oder die mehreren ILD-Schichten 113, die vorstehend unter Bezugnahme auf 1 beschrieben wurden; die Beschreibung wird vorliegend nicht wiederholt. In einigen Ausführungsformen können die eine oder die mehreren ILD-Schichten 113 und die IMD-Schicht 2071 das gleiche Material aufweisen. In anderen Ausführungsformen können die eine oder die mehreren ILD-Schichten 113 und die IMD-Schicht 2071 unterschiedliche Materialien aufweisen.
  • Nochmals unter Bezugnahme auf 2 werden die IMD-Schicht 2071 und die ESL 2051 derart strukturiert, dass Öffnungen 209 und 211 in der IMD-Schicht 2071 und der ESL-Schicht 2051 gebildet werden. In einigen Ausführungsformen legt die Öffnung 209 eine obere Oberfläche des Source-/Drain-Kontaktsteckers 115 frei, und die Öffnung 211 legt eine obere Oberfläche des Source-/Drain-Kontaktsteckers 115 und eine obere Oberfläche des Gate-Kontaktsteckers 117 frei. Die Öffnung 209 weist einen unteren Abschnitt 2091, der auch als Durchkontaktierungsöffnung 2091 bezeichnet wird, und einen oberen Abschnitt 2092 auf, der auch als Leitungsöffnung 2092 bezeichnet wird. Die Öffnung 211 weist untere Abschnitte 2111, die auch als Durchkontaktierungsöffnungen 2111 bezeichnet werden, und einen oberen Abschnitt 2112 auf, der auch als Leitungsöffnung 2112 bezeichnet wird. In einigen Ausführungsformen können die Öffnungen 209 und 211 durch einen „Via-first“-Prozess (Durchkontaktierungsöffnungen-zuerst-Prozess) gebildet werden. In derartigen Ausführungsformen werden die Durchkontaktierungsöffnungen der Öffnungen 209 und 211 vor den Leitungsöffnungen der Öffnungen 209 und 211 gebildet. In anderen Ausführungsformen können die Öffnungen 209 und 211 durch einen „Trench-first“-Prozess (Gräben-zuerst-Prozess) gebildet werden. In derartigen Ausführungsformen werden die Durchkontaktierungsöffnungen der Öffnungen 209 und 211 nach den Leitungsöffnungen der Öffnungen 209 und 211 gebildet. In einigen Ausführungsformen können die Öffnungen 209 und 211 unter Verwendung geeigneter Lithografie- und Ätzprozesse gebildet werden. Die Ätzprozesse können einen oder mehrere Trockenätzprozesse umfassen. Die Ätzprozesse können anisotrop sein.
  • In einigen Ausführungsformen wird die sich ergebende Struktur nach dem Bilden der Öffnungen 209 und 211 in eine Nicht-Vakuum-Anlage zum Ausbilden einer Sperrschicht, wie nachstehend unter Bezugnahme auf 3-5 beschrieben ist, überführt. In derartigen Ausführungsformen werden Oxidschichten 213 auf den freiliegenden Oberflächen der Kontaktstecker 115 und 117 ausgebildet. Die Oxidschichten 213 sind native Oxidschichten. In einigen Ausführungsformen, in denen die Kontaktstecker 115 und 117 ein metallisches Material aufweisen, weisen die Oxidschichten 213 ein Oxid des metallischen Materials auf.
  • 3-5 veranschaulichen Querschnittsansichten verschiedener Zwischenstufen der Fertigung einer Sperrschicht 501 in den Öffnungen 209 und 211 gemäß einigen Ausführungsformen. In Speziellen veranschaulichen 3 und 4 einen Oberflächenmodifizierungsprozess, der an den freiliegenden Oberflächen der Kontaktstecker 115 und 117 durchgeführt wird, und 5 veranschaulicht einen selektiven Abscheidungsprozess zum Ausbilden der Sperrschicht 501.
  • Unter Bezugnahme auf 3 wird ein Oxidreduktionsprozess an der Struktur aus 2 durchgeführt. In einigen Ausführungsformen entfernt der Oxidreduktionsprozess Sauerstoff aus den Oxidschichten 213 (siehe 2). In einigen Ausführungsformen, in denen die Oxidschichten 213 ein Oxid eines metallischen Materials enthalten, entfernt der Oxidreduktionsprozess Sauerstoff aus dem Oxid des metallischen Materials und hinterlässt das metallische Material. In einigen Ausführungsformen umfasst der Oxidreduktionsprozess Durchführen eines Plasmaprozesses an den Oxidschichten 213 (siehe 2).
  • In einigen Ausführungsformen, in denen die Oxidschichten 213 Kupferoxid, Kobaltoxid oder Rutheniumoxid enthalten, kann der Plasmaprozess einen H2-Plasmaprozess umfassen. In einigen Ausführungsformen ist der H2-Plasmaprozess ein Remote-Plasmaprozess mit einem Filter für positive Ionen und kann bei einer Temperatur zwischen ungefähr 300 °C und 350 °C und einem Druck zwischen ungefähr 0,2 Torr und 3 Torr durchgeführt werden. In einigen Ausführungsformen kann der H2-Plasmaprozess zusätzlich zum Entfernen von Sauerstoff aus den Oxidschichten 213 auch Ätznebenprodukte entfernen, die während des Ätzprozesses zum Bilden der Öffnungen 209 und 211 an Seitenwänden und Böden der Öffnungen 209 und 211 ausgebildet wurden (siehe 2).
  • In einigen Ausführungsbeispielen, in denen die Oxidschichten 213 (siehe 2) Wolframoxid enthalten, ist der H2-Plasmaprozess möglicherweise nicht wirksam zum Entfernen von Sauerstoff aus dem Wolframoxid, ohne Kohlenstoffverarmung eines Materials mit niedrigem k-Wert der IMD-Schicht 2071 zu bewirken. In derartigen Ausführungsformen umfasst der Plasmaprozess einen Ar-Plasmaprozess, gefolgt von einem H2-Plasmaprozess. In einigen Ausführungsformen ist der Ar-Plasmaprozess ein direkter Plasmaprozess und kann bei einer Temperatur zwischen ungefähr 300 °C und 350 °C und einem Druck zwischen ungefähr 2 mTorr bis 30 mTorr durchgeführt werden.
  • Unter Bezugnahme auf 4 wird ein Tensideinwirkungsprozess an der Struktur aus 3 durchgeführt. In einigen Ausführungsformen wird der Tensideinwirkungsprozess durch Einwirken der Struktur in einem Gas aus Tensidmolekülen durchgeführt. Die Tensidmoleküle können Alkenmoleküle, Alkinmoleküle oder dergleichen sein. Die Alkenmoleküle weisen die chemische Formel CnH2n+iCH=CHCmH2m+i auf, wobei n und m im Bereich von 0 bis 10 liegen und wobei n und m gleich oder verschieden sind. Die Alkinmoleküle weisen die chemische Formel CnH2n+1C=CCmH2m+1 auf, wobei n und m im Bereich von 0 bis 10 liegen und wobei n und m gleich oder verschieden sind. In einigen Ausführungsformen wird der Tensideinwirkungsprozess mit einer Einwirkungsprozessdauer zwischen ungefähr 20 s und ungefähr 300 s durchgeführt. In einigen Ausführungsformen bildet der Tensideinwirkungsprozess Tensidlagen 401 auf den freiliegenden Oberflächen der Kontaktstecker 115 und 117 aus. In einigen Ausführungsformen werden die Tensidlagen 401 nicht auf Oberflächen der durch die Öffnungen 209 und 211 freiliegenden IMD-Schicht 2071 ausgebildet. In einigen Ausführungsformen können die Tensidlagen 401 eine oder mehrere Lagen der Tensidmoleküle umfassen. Jede der Tensidlagen 401 kann eine Monolage sein. In einigen Ausführungsformen können die Oberflächen der durch die Öffnungen 209 und 211 freiliegenden IMD-Schicht 2071 tensidmolekülfrei sein.
  • Unter Bezugnahme auf 5 wird in den Öffnungen 209 und 211 und über der IMD-Schicht 2071 eine Sperrschicht 501 ausgebildet. Die Sperrschicht 501 kann Titan, Titannitrid, Tantal, Tantalnitrid, eine Kombination daraus, eine Mehrfachschicht daraus oder dergleichen aufweisen. In einigen Ausführungsformen wird die Sperrschicht 501 unter Verwendung eines ALD-Prozesses abgeschieden. Der vorstehend unter Bezugnahme auf 4 beschriebene Tensideinwirkungsprozess verändert die ALD-Abscheidungsrate der Sperrschicht 501 über den freiliegenden Oberflächen der Kontaktstecker 115 und 117. In einigen Ausführungsformen unterdrückt der Tensideinwirkungsprozess die ALD-Abscheidungsrate der Sperrschicht 501 über der Tensidlage 401 derart, dass die Sperrschicht 501 auf den freiliegenden Oberflächen der IMD-Schicht 2071, jedoch nicht über den freiliegenden Oberflächen der Kontaktstecker 115 und 117 abgeschieden wird.
  • 6 veranschaulicht eine vergrößerte Ansicht eines Bereichs 503 der in 5 gezeigten Struktur gemäß einigen Ausführungsformen. In einigen Ausführungsformen, in denen die Tensidmoleküle Alkenmoleküle 601 sind, sind die Alkenmoleküle 601 über Alkengruppen 603 an das leitfähige Material des Kontaktsteckers 115 gebunden. In einigen Ausführungsformen sind die Alkengruppen 603 der Alkenmoleküle 601 durch Van-der-Waals-Kräfte an das leitfähige Material des Kontaktsteckers 115 gebunden. In einigen Ausführungsformen sind die Alkenmoleküle 601 derart an die freiliegende Oberfläche des Kontaktsteckers 115 gebunden, dass an Ecken der Durchkontaktierungsöffnung 2091 keine Alkenmoleküle gebunden sind. In derartigen Ausführungsformen wird die Sperrschicht 501 so abgeschieden, dass die Sperrschicht 501 Seitenwände der Durchkontaktierungsöffnung 2091 vollständig bedeckt und physisch die obere Oberfläche des Kontaktsteckers 115 kontaktiert. In einigen Ausführungsformen kann sich die Sperrschicht 501 teilweise entlang der oberen Oberfläche des Kontaktsteckers 115 erstrecken.
  • 7 veranschaulicht eine vergrößerte Ansicht des Bereichs 503 der in 5 gezeigten Struktur gemäß einigen Ausführungsformen. In einigen Ausführungsformen, in denen die Tensidmoleküle Alkenmoleküle 601 sind, sind die Alkenmoleküle 601 über Alkengruppen 603 an das leitfähige Material des Kontaktsteckers 115 gebunden. In einigen Ausführungsformen sind die Alkengruppen 603 der Alkenmoleküle 601 durch Van-der-Waals-Kräfte an das leitfähige Material des Kontaktsteckers 115 gebunden. In einigen Ausführungsformen sind die Alkenmoleküle 601 derart an die freiliegende Oberfläche des Kontaktsteckers 115 gebunden, dass die Alkenmoleküle 601 Ecken der Durchkontaktierungsöffnung 2091 bedecken. In derartigen Ausführungsformen wird die Sperrschicht 501 derart abgeschieden, dass die Sperrschicht 501 die Seitenwände der Durchkontaktierungsöffnung 2091 teilweise bedeckt und aufgrund der sterischen Hinderung die Ecken der Durchkontaktierungsöffnungen 2091 nicht bedeckt. In einigen Ausführungsformen steht die Sperrschicht 501 nicht in physischem Kontakt mit der oberen Oberfläche des Kontaktsteckers 115.
  • Unter Bezugnahme auf 8 wird in einigen Ausführungsformen nach dem Ausbilden der Sperrschicht 501 ein Plasmaprozess an der Sperrschicht 501 durchgeführt, um das Material der Sperrschicht 501 zu verdichten. In einigen Ausführungsformen ist der Plasmaprozess ein H2-Plasmaprozess. In einigen Ausführungsformen entfernt der H2-Plasmaprozess ferner die Tensidlage 401 (siehe 5) und legt die oberen Oberflächen der Kontaktstecker 115 und 117 frei.
  • Unter Bezugnahme auf 9 wird in den Öffnungen 209 und 211 und über der IMD-Schicht 2071 eine Haftschicht 901 ausgebildet. Die Haftschicht 901 kann Kobalt, Ruthenium, eine Legierung davon, eine Kombination davon, eine Mehrfachschicht daraus oder dergleichen aufweisen und kann durch ALD, CVD, PVD, Sputtern, eine Kombination davon oder dergleichen ausgebildet werden.
  • Unter Bezugnahme auf 10 wird in den Öffnungen 209 und 211 und über den IMD-Schichten 2071 eine Keimschicht 1001 über der Haftschicht 901 ausgebildet. Die Keimschicht 1001 kann Kupfer, Titan, Nickel, Gold, Mangan, eine Kombination davon, eine Mehrfachschicht daraus oder dergleichen aufweisen und durch ALD, CVD, PVD, Sputtern, eine Kombination davon oder dergleichen ausgebildet werden. Anschließend wird in den Öffnungen 209 und 211 und über den IMD-Schichten 2071 ein leitfähiges Material 1003 über der Keimschicht 1001 ausgebildet. In einigen Ausführungsformen überfüllt das leitfähige Material 1003 die Öffnungen 209 und 211. Das leitfähige Material 1003 kann Kupfer, Aluminium, Wolfram, Ruthenium, Kobalt, Kombinationen davon, Legierungen davon, Mehrfachschichten daraus oder dergleichen aufweisen und zum Beispiel durch Plattieren oder andere geeignete Verfahren ausgebildet werden.
  • Unter Bezugnahme auf 11 werden Abschnitte der Sperrschicht 501, der Haftschicht 901, der Keimschicht 1001 und des leitfähigen Materials 1003, die die Öffnungen 209 und 211 überfüllen (siehe 9), entfernt, um eine obere Oberfläche der IMD-Schicht 2071 freizulegen. In einigen Ausführungsformen kann der Entfernungsprozess ein Planarisierungsprozess sein, der einen CMP-Prozess, einen Schleifprozess, einen Ätzprozess, eine Kombination davon oder dergleichen umfasst. Verbleibende Abschnitte der Sperrschicht 501, der Haftschicht 901, der Keimschicht 1001 und des leitfähigen Materials 1003, die die Durchkontaktierungsöffnungen 2091 und 2111 füllen (siehe 9), bilden leitfähige Durchkontaktierungen 11011, und verbleibende Abschnitte der Sperrschicht 501, der Haftschicht 901, der Keimschicht 1001 und des leitfähigen Materials 1003, die die Leitungsöffnungen 2092 und 2112 füllen (siehe 9), bilden Leitungen 11031. In einigen Ausführungsformen sind oberste Oberflächen der Leitungen 11031 im Rahmen von Prozessschwankungen des Planarisierungsprozesses im Wesentlichen koplanar oder bündig mit einer obersten Oberfläche der IMD-Schicht 2071.
  • 12 veranschaulicht eine vergrößerte Ansicht eines Bereichs 1105 der in 11 gezeigten Struktur gemäß einigen Ausführungsformen. In der veranschaulichten Ausführungsform wird die Sperrschicht 501 derart abgeschieden, dass die Sperrschicht 501 die Seitenwände der ESL 2051 vollständig bedeckt und in physischem Kontakt mit diesen steht sowie in physischem Kontakt mit der oberen Oberfläche des Kontaktsteckers 115 steht. Die Sperrschicht 501 bedeckt Ecken, die durch die Seitenwände der ESL 2051 und die obere Oberfläche des Kontaktsteckers 115 gebildet werden. In der veranschaulichten Ausführungsform erstreckt sich die Haftschicht 901 entlang der oberen Oberfläche des Kontaktsteckers 115 und steht in physischem Kontakt mit dieser. Durch selektives Abscheiden der Sperrschicht 501 in den Öffnungen 209 und 211 (siehe 5) wird die Menge (bzw. das Volumen) der Sperrschicht 501 in den Öffnungen 209 und 211 verringert. Als Ergebnis ist der Kontaktwiderstand zwischen den leitfähigen Durchkontaktierungen 11011 und den entsprechenden Kontaktsteckern 115 und 117 (siehe 11) verringert.
  • 13 veranschaulicht eine vergrößerte Ansicht des Bereichs 1105 der in 11 gezeigten Struktur gemäß einigen Ausführungsformen. In der veranschaulichten Ausführungsform wird die Sperrschicht 501 derart abgeschieden, dass die Sperrschicht 501 die Seitenwände der ESL 2051 teilweise bedeckt und in physischem Kontakt mit diesen steht, jedoch nicht die Ecken bedeckt, die durch die Seitenwände der ESL 2051 und die obere Oberfläche des Kontaktsteckers 115 gebildet werden. In einigen Ausführungsformen bedeckt die Haftschicht 901 Ecken, die durch die Seitenwände der ESL 2051 und die obere Oberfläche des Kontaktsteckers 115 gebildet werden, steht in physischem Kontakt mit den Seitenwänden der ESL 2051 und erstreckt sich entlang der oberen Oberfläche des Kontaktsteckers 115 und steht in physischem Kontakt mit dieser. Durch selektives Abscheiden der Sperrschicht 501 in den Öffnungen 209 und 211 (siehe 5) wird die Menge (bzw. das Volumen) der Sperrschicht 501 in den Öffnungen 209 und 211 verringert. Als Ergebnis ist der Kontaktwiderstand zwischen den leitfähigen Durchkontaktierungen 11011 und den entsprechenden Kontaktsteckern 115 und 117 (siehe 11) verringert.
  • Unter Bezugnahme auf 14 wird eine Metallisierungsschicht 2032 über der Metallisierungsschicht 2031 ausgebildet. In einigen Ausführungsformen beginnen Prozessschritte zur Ausbildung der Metallisierungsschicht 2032 mit dem Ausbilden einer ESL 2052 über der Metallisierungsschicht 2031. In einigen Ausführungsformen wird die ESL 2052 unter Verwendung ähnlicher Materialien und Verfahren ausgebildet wie die ESL 2051, die vorstehend unter Bezugnahme auf 2 beschrieben wurde; die Beschreibung wird vorliegend nicht wiederholt. Anschließend wird eine IMD-Schicht 2072 über der ESL 2052 ausgebildet. In einigen Ausführungsformen wird die IMD-Schicht 2072 unter Verwendung ähnlicher Materialien und Verfahren ausgebildet wie die IMD-Schicht 2071, die vorstehend unter Bezugnahme auf 2 beschrieben wurde; die Beschreibung wird vorliegend nicht wiederholt.
  • In einigen Ausführungsformen werden in der IMD-Schicht 2072 und der ESL 2052 Interconnects ausgebildet, wie zum Beispiel leitfähige Durchkontaktierungen 11012 und Leitungen 11032. In einigen Ausführungsformen können die leitfähigen Durchkontaktierungen 11012 und die Leitungen 11032 eine ähnliche Struktur wie die leitfähigen Durchkontaktierungen 11011 und die Leitungen 11031 aufweisen, wobei ähnliche Merkmale ähnliche Bezugszeichen tragen. In einigen Ausführungsformen können die leitfähigen Durchkontaktierungen 11012 und die Leitungen 11032 unter Verwendung von Prozessschritten ausgebildet werden, die vorstehend unter Bezugnahme auf 2-11 beschrieben wurden; die Beschreibung wird vorliegend nicht wiederholt.
  • 15 veranschaulicht eine vergrößerte Ansicht eines Bereichs 1401 der in 14 gezeigten Struktur gemäß einigen Ausführungsformen. In der veranschaulichten Ausführungsform wird die Sperrschicht 501 derart abgeschieden, dass die Sperrschicht 501 die Seitenwände der ESL 2052 vollständig bedeckt und in physischem Kontakt mit diesen steht sowie in physischem Kontakt mit der oberen Oberfläche des leitfähigen Materials 1003 der Leitung 11031 steht. Die Sperrschicht 501 bedeckt Ecken, die durch die Seitenwände der ESL 2052 und die obere Oberfläche des leitfähigen Materials 1003 der Leitungen 11031 gebildet werden. In der veranschaulichten Ausführungsform erstreckt sich die Haftschicht 901 entlang der oberen Oberfläche des leitfähigen Materials 1003 der Leitung 11031 und steht in physischem Kontakt mit dieser. Durch selektives Abscheiden der Sperrschicht 501 in den Öffnungen in der ESL 2052 und der IMD-Schicht 2072 kann die Menge (bzw. das Volumen) der Sperrschicht 501 in den Öffnungen verringert werden. Als Ergebnis ist der Kontaktwiderstand zwischen den leitfähigen Durchkontaktierungen 11012 und den entsprechenden Leitungen 11031 verringert.
  • 16 veranschaulicht eine vergrößerte Ansicht des Bereichs 1401 der in 14 gezeigten Struktur gemäß einigen Ausführungsformen. In der veranschaulichten Ausführungsform wird die Sperrschicht 501 derart abgeschieden, dass die Sperrschicht 501 die Seitenwände der ESL 2052 teilweise bedeckt und in physischem Kontakt mit diesen steht, jedoch nicht die Ecken bedeckt, die durch die Seitenwände der ESL 2052 und die obere Oberfläche des leitfähigen Materials 1003 der Leitungen 11031 gebildet werden. In einigen Ausführungsformen bedeckt die Haftschicht 901 Ecken, die durch die Seitenwände der ESL 2052 und die obere Oberfläche des leitfähigen Materials 1003 der Leitung 11031 gebildet werden, steht in physischem Kontakt mit den Seitenwänden der ESL 2052 und erstreckt sich entlang der oberen Oberfläche des leitfähigen Materials 1003 der Leitung 11031 und steht in physischem Kontakt mit dieser. Durch selektives Abscheiden der Sperrschicht 501 in den Öffnungen in der ESL 2052 und der IMD-Schicht 2072 kann die Menge (bzw. das Volumen) der Sperrschicht 501 in den Öffnungen verringert werden. Als Ergebnis ist der Kontaktwiderstand zwischen den leitfähigen Durchkontaktierungen 11012 und den entsprechenden Leitungen 11031 verringert.
  • Unter Bezugnahme auf 17 werden eine oder mehrere Metallisierungsschichten über der Metallisierungsschicht 2032 ausgebildet, bis eine Metallisierungsschicht 203M ausgebildet worden ist. In einigen Ausführungsformen ist die Metallisierungsschicht 203M die abschließende Metallisierungsschicht der Verschaltungsstruktur 201. In einigen Ausführungsformen kann M zwischen 1 und 5 liegen. In einigen Ausführungsformen werden die Zwischen-Metallisierungsschichten zwischen der Metallisierungsschicht 2032 und der Metallisierungsschicht 203M in ähnlicher Weise ausgebildet wie die Metallisierungsschicht 2031; die Beschreibung wird vorliegend nicht wiederholt. In anderen Ausführungsformen ist die Metallisierungsschicht 203M keine abschließende Metallisierungsschicht der Verschaltungsstruktur 201 und es werden weitere Metallisierungsschichten über der Metallisierungsschicht 203M ausgebildet.
  • In einigen Ausführungsformen beginnen Prozessschritte zur Ausbildung der Metallisierungsschicht 203M mit dem Ausbilden einer ESL 205M über einer vorhergehenden Metallisierungsschicht. In einigen Ausführungsformen wird die ESL 205M unter Verwendung ähnlicher Materialien und Verfahren ausgebildet wie die ESL 2051, die vorstehend unter Bezugnahme auf 2 beschrieben wurde; die Beschreibung wird vorliegend nicht wiederholt. Anschließend wird eine IMD-Schicht 207M über der ESL 205M ausgebildet. In einigen Ausführungsformen wird die IMD-Schicht 207M unter Verwendung ähnlicher Materialien und Verfahren ausgebildet wie die IMD-Schicht 2071, die vorstehend unter Bezugnahme auf 2 beschrieben wurde; die Beschreibung wird vorliegend nicht wiederholt.
  • In einigen Ausführungsformen werden in der IMD-Schicht 207M und der ESL 205M Interconnects ausgebildet, wie zum Beispiel leitfähige Durchkontaktierungen 1101M und Leitungen 1103M. In einigen Ausführungsformen können die leitfähigen Durchkontaktierungen 1101M und die Leitungen 1103M eine ähnliche Struktur wie die leitfähigen Durchkontaktierungen 11011 und die Leitungen 11031 aufweisen, wobei ähnliche Merkmale ähnliche Bezugszeichen tragen. In einigen Ausführungsformen können die leitfähigen Durchkontaktierungen 1101M und die Leitungen 1103M unter Verwendung von Prozessschritten ausgebildet werden, die vorstehend unter Bezugnahme auf 2-11 beschrieben wurden; die Beschreibung wird vorliegend nicht wiederholt.
  • Wieder unter Bezugnahme auf 15 ist eine vergrößerte Ansicht eines Bereichs 1701 der in 17 gezeigten Struktur gemäß einigen Ausführungsformen veranschaulicht. In der veranschaulichten Ausführungsform wird die Sperrschicht 501 derart abgeschieden, dass die Sperrschicht 501 Seitenwände der ESL 205M vollständig bedeckt und in physischem Kontakt mit diesen steht sowie in physischem Kontakt mit der oberen Oberfläche des leitfähigen Materials 1003 der Leitung 1103M-1 steht. Die Sperrschicht 501 bedeckt Ecken, die durch die Seitenwände der ESL 205M und die obere Oberfläche des leitfähigen Materials 1003 der Leitungen 1103M-1 gebildet werden. In der veranschaulichten Ausführungsform erstreckt sich die Haftschicht 901 entlang der oberen Oberfläche des leitfähigen Materials 1003 der Leitungen 1103M-1 und steht in physischem Kontakt mit dieser. Durch selektives Abscheiden der Sperrschicht 501 in den Öffnungen in der ESL 205M und der IMD-Schicht 207M kann die Menge (bzw. das Volumen) der Sperrschicht 501 in den Öffnungen verringert werden. Als Ergebnis ist der Kontaktwiderstand zwischen den leitfähigen Durchkontaktierungen 1101M und den entsprechenden Leitungen 1103M-1 verringert.
  • Wieder unter Bezugnahme auf 16 ist eine vergrößerte Ansicht des Bereichs 1701 der in 17 gezeigten Struktur gemäß einigen Ausführungsformen veranschaulicht. In der veranschaulichten Ausführungsform wird die Sperrschicht 501 derart abgeschieden, dass die Sperrschicht 501 Seitenwände der ESL 205M teilweise bedeckt und in physischem Kontakt mit diesen steht, jedoch nicht die Ecken bedeckt, die durch die Seitenwände der ESL 205M und die obere Oberfläche des leitfähigen Materials 1003 der Leitungen 1103M-1 gebildet werden. In einigen Ausführungsformen bedeckt die Haftschicht 901 Ecken, die durch die Seitenwände der ESL 205M und die obere Oberfläche des leitfähigen Materials 1003 der Leitung 1103M-1 gebildet werden, steht in physischem Kontakt mit den Seitenwänden der ESL 205M und erstreckt sich entlang der oberen Oberfläche des leitfähigen Materials 1003 der Leitung 1103M-1 und ist in physischem Kontakt mit dieser. Durch selektives Abscheiden der Sperrschicht 501 in den Öffnungen in der ESL 205M und der IMD-Schicht 207M kann die Menge (bzw. das Volumen) der Sperrschicht 501 in den Öffnungen verringert werden. Als Ergebnis ist der Kontaktwiderstand zwischen den leitfähigen Durchkontaktierungen 1101M und den entsprechenden Leitungen 1103M-1 verringert.
  • 18 veranschaulicht Konzentrationsprofile verschiedener Elemente innerhalb der leitfähigen Durchkontaktierung 11012 und der Leitung 11031 gemäß einigen Ausführungsformen. In der veranschaulichten Ausführungsform sind die Konzentrationsprofile der verschiedenen Elemente entlang der in 17 veranschaulichten Linie 1703 veranschaulicht. In einigen Ausführungsformen können die Konzentrationsprofile durch energiedispersive Röntgenspektroskopie (EDX), Elektronenenergieverlustspektroskopie (EELS), Sekundärionen-Massenspektroskopie (SIMS) oder dergleichen bestimmt werden. In einigen Ausführungsformen, in denen die Sperrschicht 501 Tantalnitrid enthält, die Haftschicht 901 Kobalt enthält, die Keimschicht 1001 Kupfer enthält und das leitfähige Material 1003 Kupfer enthält, veranschaulicht die durchgezogene Linie 1801 das Konzentrationsprofil von Kupfer, die Strich-Punkt-Linie 1803 das Konzentrationsprofil von Tantal und die gestrichelte Linie 1805 das Konzentrationsprofil von Kobalt. In einigen Ausführungsformen sinkt die Konzentration von Kupfer an einem Boden der leitfähigen Durchkontaktierung 11012 und an einem Boden der Leitung 11031. In einigen Ausführungsformen erreicht die Konzentration von Kobalt ein Maximum am Boden der leitfähigen Durchkontaktierung 11012. In einigen Ausführungsformen erreicht die Konzentration von Tantal ein Maximum am Boden der Leitung 11032. In einigen Ausführungsformen ist die Konzentration von Tantal am Boden der leitfähigen Durchkontaktierung 11012 geringer als die Konzentration von Tantal am Boden der Leitung 11031.
  • 19-25 veranschaulichen Querschnittsansichten verschiedener Zwischenstufen der Fertigung einer Halbleitervorrichtung 1900 gemäß einigen Ausführungsformen. Im Speziellen veranschaulichen 19-25 Querschnittsansichten verschiedener Zwischenstufen der Fertigung einer Verschaltungsstruktur 1901 über der Struktur aus 1. Unter Bezugnahme auf 19 beginnen in einigen Ausführungsformen die Schritte zum Ausbilden der Verschaltungsstruktur 1901 mit dem Ausbilden einer Metallisierungsschicht 19031 über der einen oder den mehreren ILD-Schichten 113 und den Kontaktsteckern 115 und 117. In einigen Ausführungsformen beginnt die Ausbildung der Metallisierungsschicht 19031, wie vorstehend unter Bezugnahme auf 2 beschrieben, mit dem Ausbilden einer ESL 2051 über der einen oder den mehreren ILD-Schichten 113 und den Kontaktsteckern 115 und 117 und dem Ausbilden einer IMD-Schicht 2071 über der ESL 2051; die Beschreibung wird vorliegend nicht wiederholt.
  • In einigen Ausführungsformen werden nach dem Ausbilden der IMD-Schicht 2071, wie vorstehend unter Bezugnahme auf 2 beschrieben, Öffnungen 209 und 211 in der IMD-Schicht 2071 und der ESL 2051 gebildet; die Beschreibung wird vorliegend nicht wiederholt. Anschließend wird, wie vorstehend unter Bezugnahme auf 2-8 beschrieben, eine Sperrschicht 501 in den Öffnungen 209 und 211 gebildet; die Beschreibung wird vorliegend nicht wiederholt.
  • Unter Bezugnahme auf 20 wird eine Sperrschicht 2001 in den Öffnungen 209 und 211 und über der Sperrschicht 501 ausgebildet. Die Sperrschicht 2001 kann Titan, Titannitrid, Tantal, Tantalnitrid, eine Kombination daraus, eine Mehrfachschicht daraus oder dergleichen aufweisen. In einigen Ausführungsformen können die Sperrschicht 501 und die Sperrschicht 2001 das gleiche Material aufweisen. In anderen Ausführungsformen können die Sperrschicht 501 und die Sperrschicht 2001 verschiedene Materialien aufweisen. In einigen Ausführungsformen wird die Sperrschicht 2001 entlang von Böden und Seitenwänden der Öffnungen 209 und 211 unter Verwendung eines Abscheidungsverfahrens abgeschieden, das nicht von dem Oberflächenmodifizierungsprozess beeinträchtigt wird, der, wie vorstehend unter Bezugnahme auf 4 beschrieben, vor dem Ausbilden der Sperrschicht 501 durchgeführt wird. In derartigen Ausführungsformen wird die Sperrschicht 2001 über und in physischem Kontakt mit den freiliegenden Oberflächen der Kontaktstecker 115 und 117 ausgebildet. In einigen Ausführungsformen kann die Sperrschicht 2001 unter Verwendung von PVD oder dergleichen abgeschieden werden. Die Sperrschichten 501 und 2001 werden zusammen auch als kombinierte Sperrschicht bezeichnet. In einigen Ausführungsformen weist die kombinierte Sperrschicht entlang der Seitenwände der Durchkontaktierungsöffnungen 2091 und 2111 eine Dicke T1 und entlang der Böden der Durchkontaktierungsöffnungen 2091 und 2111 eine Dicke T2 auf. In einigen Ausführungsformen ist die Dicke T1 größer als die Dicke T2. In einigen Ausführungsformen liegt die Dicke T1 zwischen ungefähr 10 Å und ungefähr 30 Å. In einigen Ausführungsformen liegt die Dicke T2 zwischen ungefähr 1 Å und ungefähr 10 Å. In einigen Ausführungsformen liegt das Verhältnis der Dicke T1 zu der Dicke T2 (T1/T2) zwischen ungefähr 1 und ungefähr 30.
  • Unter Bezugnahme auf 21 wird nach dem Ausbilden der Sperrschicht 2001, wie vorstehend unter Bezugnahme auf 9 beschrieben, eine Haftschicht 901 in den Öffnungen 209 und 211 und über der Sperrschicht 2001 ausgebildet; die Beschreibung wird vorliegend nicht wiederholt. Anschließend wird, wie vorstehend unter Bezugnahme auf 10 beschrieben, eine Keimschicht 1001 in den Öffnungen 209 und 211 und über der Haftschicht 901 ausgebildet; die Beschreibung wird vorliegend nicht wiederholt. Nach dem Ausbilden der Keimschicht 1001 wird, wie vorstehend unter Bezugnahme auf 10 beschrieben, ein leitfähiges Material 1003 in den Öffnungen 209 und 211 ausgebildet; die Beschreibung wird vorliegend nicht wiederholt. In einigen Ausführungsformen überfüllt das leitfähige Material 1003 die Öffnungen 209 und 211.
  • Unter Bezugnahme auf 22 werden Abschnitte der Sperrschichten 501 und 2001, der Haftschicht 901, der Keimschicht 1001 und des leitfähigen Materials 1003, die die Öffnungen 209 und 211 überfüllen (siehe 21), entfernt, um eine obere Oberfläche der IMD-Schicht 2071 freizulegen. In einigen Ausführungsformen kann der Entfernungsprozess ein Planarisierungsprozess sein, der einen CMP-Prozess, einen Schleifprozess, einen Ätzprozess, eine Kombination davon oder dergleichen umfasst. Verbleibende Abschnitte der Sperrschichten 501 und 2001, der Haftschicht 901, der Keimschicht 1001 und des leitfähigen Materials 1003, die die Durchkontaktierungsöffnungen 2091 und 2111 füllen (siehe 19), bilden leitfähige Durchkontaktierungen 22011, und verbleibende Abschnitte der Sperrschichten 501 und 2001, der Haftschicht 901, der Keimschicht 1001 und des leitfähigen Materials 1003, die die Leitungsöffnungen 2092 und 2112 füllen (siehe 9), bilden Leitungen 2203i. In einigen Ausführungsformen sind oberste Oberflächen der Leitungen 22031 im Rahmen von Prozessschwankungen des Planarisierungsprozesses im Wesentlichen koplanar oder bündig mit einer obersten Oberfläche der IMD-Schicht 2071.
  • 23 veranschaulicht eine vergrößerte Ansicht eines Bereichs 2205 der in 22 gezeigten Struktur gemäß einigen Ausführungsformen. In der veranschaulichten Ausführungsform wird die Sperrschicht 501 derart abgeschieden, dass die Sperrschicht 501 die Seitenwände der ESL 2051 vollständig bedeckt und in physischem Kontakt mit diesen steht sowie in physischem Kontakt mit der oberen Oberfläche des Kontaktsteckers 115 steht. Die Sperrschicht 501 bedeckt Ecken, die durch die Seitenwände der ESL 2051 und die obere Oberfläche des Kontaktsteckers 115 gebildet werden. In der veranschaulichten Ausführungsform erstreckt sich die Sperrschicht 2001 entlang der oberen Oberfläche des Kontaktsteckers 115 und steht in physischem Kontakt mit dieser.
  • 24 veranschaulicht eine vergrößerte Ansicht des Bereichs 2205 der in 22 gezeigten Struktur gemäß einigen Ausführungsformen. In der veranschaulichten Ausführungsform wird die Sperrschicht 501 derart abgeschieden, dass die Sperrschicht 501 die Seitenwände der ESL 2051 teilweise bedeckt und in physischem Kontakt mit diesen steht, jedoch nicht die Ecken bedeckt, die durch die Seitenwände der ESL 2051 und die obere Oberfläche des Kontaktsteckers 115 gebildet werden. In einigen Ausführungsformen bedeckt die Sperrschicht 2001 Ecken, die durch die Seitenwände der ESL 2051 und die obere Oberfläche des Kontaktsteckers 115 gebildet werden, steht in physischem Kontakt mit den Seitenwänden der ESL 2051 und erstreckt sich entlang der oberen Oberfläche des Kontaktsteckers 115 und steht in physischem Kontakt mit dieser.
  • Nochmals unter Bezugnahme auf 23 und 24 wird durch derartiges Abscheiden der Sperrschichten 501 und 2001 in den Öffnungen in der ESL 2051 und der IMD-Schicht 2071, dass die Dicke der kombinierten Sperrschicht an den Böden der Öffnungen im Vergleich zu den Seitenwänden der Öffnungen verringert ist, die Menge (bzw. das Volumen) der kombinierten Sperrschicht in den Öffnungen verringert. Als Ergebnis ist der Kontaktwiderstand zwischen den leitfähigen Durchkontaktierungen 22011 und dem jeweiligen Kontaktstecker 115 bzw. 117 verringert.
  • Unter Bezugnahme auf 25 wird eine Metallisierungsschicht 19032 über der Metallisierungsschicht 19031 ausgebildet. In einigen Ausführungsformen beginnen Prozessschritte zur Ausbildung der Metallisierungsschicht 19032 mit dem Ausbilden einer ESL 2052 über der Metallisierungsschicht 19031. In einigen Ausführungsformen wird die ESL 2052 unter Verwendung ähnlicher Materialien und Verfahren ausgebildet wie die ESL 2051, die vorstehend unter Bezugnahme auf 2 beschrieben wurde; die Beschreibung wird vorliegend nicht wiederholt. Anschließend wird eine IMD-Schicht 2072 über der ESL 2052 ausgebildet. In einigen Ausführungsformen wird die IMD-Schicht 2072 unter Verwendung ähnlicher Materialien und Verfahren ausgebildet wie die IMD-Schicht 2071, die vorstehend unter Bezugnahme auf 2 beschrieben wurde; die Beschreibung wird vorliegend nicht wiederholt.
  • In einigen Ausführungsformen werden in der IMD-Schicht 2072 und der ESL 2052 Interconnects ausgebildet, wie zum Beispiel leitfähige Durchkontaktierungen 22012 und Leitungen 22032. In einigen Ausführungsformen können die leitfähigen Durchkontaktierungen 22012 und die Leitungen 22032 eine ähnliche Struktur wie die leitfähigen Durchkontaktierungen 22011 und die Leitungen 22031 aufweisen, wobei ähnliche Merkmale ähnliche Bezugszeichen tragen. In einigen Ausführungsformen können die leitfähigen Durchkontaktierungen 22012 und die Leitungen 22032 unter Verwendung von Prozessschritten ausgebildet werden, die vorstehend unter Bezugnahme auf 19-22 beschrieben wurden; die Beschreibung wird vorliegend nicht wiederholt.
  • In einigen Ausführungsformen werden nach dem Ausbilden der Metallisierungsschicht 19032 eine oder mehrere Metallisierungsschichten über der Metallisierungsschicht 19032 ausgebildet, bis eine Metallisierungsschicht 1903M ausgebildet worden ist. In einigen Ausführungsformen ist die Metallisierungsschicht 1903M die abschließende Metallisierungsschicht der Verschaltungsstruktur 1901. In einigen Ausführungsformen kann M zwischen 1 und 5 liegen. In einigen Ausführungsformen werden die Zwischen-Metallisierungsschichten zwischen der Metallisierungsschicht 19032 und der Metallisierungsschicht 1903M in ähnlicher Weise ausgebildet wie die Metallisierungsschicht 19031; die Beschreibung wird vorliegend nicht wiederholt. In anderen Ausführungsformen ist die Metallisierungsschicht 1903M keine abschließende Metallisierungsschicht der Verschaltungsstruktur 1901 und es werden weitere Metallisierungsschichten über der Metallisierungsschicht 1903M ausgebildet.
  • In einigen Ausführungsformen beginnen Prozessschritte zur Ausbildung der Metallisierungsschicht 1903M mit dem Ausbilden einer ESL 205M über einer vorhergehenden Metallisierungsschicht. In einigen Ausführungsformen wird die ESL 205M unter Verwendung ähnlicher Materialien und Verfahren ausgebildet wie die ESL 2051, die vorstehend unter Bezugnahme auf 2 beschrieben wurde; die Beschreibung wird vorliegend nicht wiederholt. Anschließend wird eine IMD-Schicht 207M über der ESL 205M ausgebildet. In einigen Ausführungsformen wird die IMD-Schicht 207M unter Verwendung ähnlicher Materialien und Verfahren ausgebildet wie die IMD-Schicht 2071, die vorstehend unter Bezugnahme auf 2 beschrieben wurde; die Beschreibung wird vorliegend nicht wiederholt.
  • In einigen Ausführungsformen werden in der IMD-Schicht 207M und der ESL 205M Interconnects ausgebildet, wie zum Beispiel leitfähige Durchkontaktierungen 2201M und Leitungen 2203M. In einigen Ausführungsformen können die leitfähigen Durchkontaktierungen 2201M und die Leitungen 2203M eine ähnliche Struktur wie die leitfähigen Durchkontaktierungen 22011 und die Leitungen 22031 aufweisen, wobei ähnliche Merkmale ähnliche Bezugszeichen tragen. In einigen Ausführungsformen können die leitfähigen Durchkontaktierungen 2201M und die Leitungen 2203M unter Verwendung von Prozessschritten ausgebildet werden, die vorstehend unter Bezugnahme auf 19-22 beschrieben wurden; die Beschreibung wird vorliegend nicht wiederholt.
  • 26 veranschaulicht vergrößerte Ansichten von Bereichen 2501 und 2503 der in 25 gezeigten Struktur gemäß einigen Ausführungsformen. Zunächst unter Bezugnahme auf den Bereich 2501 wird in der veranschaulichten Ausführungsform die Sperrschicht 501 derart abgeschieden, dass die Sperrschicht 501 Seitenwände der ESL 2052 vollständig bedeckt und in physischem Kontakt mit diesen steht sowie in physischem Kontakt mit der oberen Oberfläche des leitfähigen Materials 1003 der Leitung 22031 steht. Die Sperrschicht 501 bedeckt Ecken, die durch die Seitenwände der ESL 2052 und die obere Oberfläche des leitfähigen Materials 1003 der Leitungen 22031 gebildet werden. In der veranschaulichten Ausführungsform erstreckt sich die Sperrschicht 2001 entlang der oberen Oberfläche des leitfähigen Materials 1003 der Leitung 22031 und steht in physischem Kontakt mit dieser.
  • Durch derartiges Abscheiden der Sperrschichten 501 und 2001 in den Öffnungen in der ESL 2052 und der IMD-Schicht 2072, dass die Dicke der kombinierten Sperrschicht an den Böden der Öffnungen im Vergleich zu den Seitenwänden der Öffnungen verringert ist, wird die Menge (bzw. das Volumen) der kombinierten Sperrschicht in den Öffnungen verringert. Als Ergebnis ist der Kontaktwiderstand zwischen den leitfähigen Durchkontaktierungen 22012 und den entsprechenden Leitungen 22031 verringert.
  • Nun unter Bezugnahme auf den Bereich 2503 wird in der veranschaulichten Ausführungsform die Sperrschicht 501 derart abgeschieden, dass die Sperrschicht 501 Seitenwände der ESL 205M vollständig bedeckt und in physischem Kontakt mit diesen steht sowie in physischem Kontakt mit der oberen Oberfläche des leitfähigen Materials 1003 der Leitung 2203M-1 steht. Die Sperrschicht 501 bedeckt Ecken, die durch die Seitenwände der ESL 205M und die obere Oberfläche des leitfähigen Materials 1003 der Leitungen 2203M-1 gebildet werden. In der veranschaulichten Ausführungsform erstreckt sich die Sperrschicht 2001 entlang der oberen Oberfläche des leitfähigen Materials 1003 der Leitung 2203M-1 und steht in physischem Kontakt mit dieser.
  • Durch derartiges Abscheiden der Sperrschichten 501 und 2001 in den Öffnungen in der ESL 205M und der IMD-Schicht 207M, dass die Dicke der kombinierten Sperrschicht an den Böden der Öffnungen im Vergleich zu den Seitenwänden der Öffnungen verringert ist, wird die Menge (bzw. das Volumen) der kombinierten Sperrschicht innerhalb der Öffnungen verringert. Als Ergebnis ist der Kontaktwiderstand zwischen den leitfähigen Durchkontaktierungen 2201M und den entsprechenden Leitungen 2203M-1 verringert.
  • 27 veranschaulicht vergrößerte Ansichten der Bereiche 2501 und 2503 der in 25 gezeigten Struktur gemäß einigen Ausführungsformen. Zunächst unter Bezugnahme auf den Bereich 2501 wird in der veranschaulichten Ausführungsform die Sperrschicht 501 derart abgeschieden, dass die Sperrschicht 501 Seitenwände der ESL 2052 teilweise bedeckt und in physischem Kontakt mit diesen steht, jedoch nicht die Ecken bedeckt, die durch die Seitenwände der ESL 2052 und die obere Oberfläche des leitfähigen Materials 1003 der Leitungen 22031 gebildet werden. In einigen Ausführungsformen bedeckt die Sperrschicht 2001 Ecken, die durch die Seitenwände der ESL 2052 und die obere Oberfläche des leitfähigen Materials 1003 der Leitung 22031 gebildet werden, steht in physischem Kontakt mit den Seitenwänden der ESL 2052 und erstreckt sich entlang der oberen Oberfläche des leitfähigen Materials 1003 der Leitung 22031 und steht in physischem Kontakt mit dieser.
  • Durch derartiges Abscheiden der Sperrschichten 501 und 2001 in den Öffnungen in der ESL 2052 und der IMD-Schicht 2072, dass die Dicke der kombinierten Sperrschicht an den Böden der Öffnungen im Vergleich zu den Seitenwänden der Öffnungen verringert ist, wird die Menge (bzw. das Volumen) der kombinierten Sperrschicht in den Öffnungen verringert. Als Ergebnis ist der Kontaktwiderstand zwischen den leitfähigen Durchkontaktierungen 22012 und den entsprechenden Leitungen 22031 verringert.
  • Nun unter Bezugnahme auf den Bereich 2503 wird in der veranschaulichten Ausführungsform die Sperrschicht 501 derart abgeschieden, dass die Sperrschicht 501 Seitenwände der ESL 205M teilweise bedeckt und in physischem Kontakt mit diesen steht, jedoch nicht die Ecken bedeckt, die durch die Seitenwände der ESL 205M und die obere Oberfläche des leitfähigen Materials 1003 der Leitungen 2203M-1 gebildet werden. In einigen Ausführungsformen bedeckt die Haftschicht 901 Ecken, die durch die Seitenwände der ESL 205M und die obere Oberfläche des leitfähigen Materials 1003 der Leitung 2203M-1 gebildet werden, steht in physischem Kontakt mit den Seitenwänden der ESL 205M und erstreckt sich entlang der oberen Oberfläche des leitfähigen Materials 1003 der Leitung 2203M-1 und ist in physischem Kontakt mit dieser.
  • Durch derartiges Abscheiden der Sperrschichten 501 und 2001 in den Öffnungen in der ESL 205M und der IMD-Schicht 207M, dass die Dicke der kombinierten Sperrschicht an den Böden der Öffnungen im Vergleich zu den Seitenwänden der Öffnungen verringert ist, wird die Menge (bzw. das Volumen) der kombinierten Sperrschicht innerhalb der Öffnungen verringert. Als Ergebnis ist der Kontaktwiderstand zwischen den leitfähigen Durchkontaktierungen 2201M und den entsprechenden Leitungen 2203M-1 verringert.
  • Nochmals unter Bezugnahme auf 17 und 25 werden die Verschaltungsstrukturen 201 und 1900 derart ausgebildet, dass alle Interconnects (z. B. leitfähige Durchkontaktierungen und Leitungen) innerhalb der jeweiligen Verschaltungsstruktur 201 bzw. 1900 eine ähnliche Struktur aufweisen und unter Verwendung ähnlicher Prozessschritte ausgebildet werden. In anderen Ausführungsformen können verschiedene Interconnects innerhalb der Verschaltungsstruktur eine unterschiedliche Struktur aufweisen und unter Verwendung unterschiedlicher Prozessschritte ausgebildet werden. Derartige Ausführungsformen werden nachstehend unter Bezugnahme auf 28-30 beschrieben.
  • 28 veranschaulicht eine Querschnittsansicht einer Halbleitervorrichtung 2800 gemäß einigen Ausführungsformen. In einigen Ausführungsformen ist die Halbleitervorrichtung 2800 der in 17 veranschaulichten Halbleitervorrichtung 100 ähnlich, wobei ähnliche Merkmale ähnliche Bezugszeichen tragen und die Beschreibungen der ähnlichen Merkmale vorliegend nicht wiederholt werden. In einigen Ausführungsformen ist die Verschaltungsstruktur 2801 der Halbleitervorrichtung 2800 ähnlich der Verschaltungsstruktur 201 der Halbleitervorrichtung 100 (siehe 17), wobei ähnliche Merkmale ähnliche Bezugszeichen tragen und die Beschreibungen der ähnlichen Merkmale vorliegend nicht wiederholt werden. Die Verschaltungsstruktur 2801 umfasst mehrere Metallisierungsschichten 28031 bis 1803M. In einigen Ausführungsformen ist die Metallisierungsschicht 2803M die abschließende Metallisierungsschicht der Verschaltungsstruktur 2801. In einigen Ausführungsformen kann M zwischen 1 und 5 liegen. In anderen Ausführungsformen ist die Metallisierungsschicht 2803M keine abschließende Metallisierungsschicht der Verschaltungsstruktur 2801 und es werden weitere Metallisierungsschichten über der Metallisierungsschicht 2803M ausgebildet.
  • In der veranschaulichten Ausführungsform weisen Interconnects innerhalb von verschiedenen Metallisierungsschichten der Verschaltungsstruktur 2801 eine unterschiedliche Struktur auf. Im Speziellen können Interconnects unterschiedlicher Größe eine unterschiedliche Struktur aufweisen und unter Verwendung unterschiedlicher Prozessschritte ausgebildet werden. In einigen Ausführungsformen weist die Metallisierungsschicht 28031 der Verschaltungsstruktur 2801 leitfähige Durchkontaktierungen 28051 und Leitungen 28071 auf. In einigen Ausführungsformen, in denen die Breite der leitfähigen Durchkontaktierungen 28051 am Boden der leitfähigen Durchkontaktierungen 28051 zwischen ungefähr 5 nm und ungefähr 10 nm liegt, können die leitfähigen Durchkontaktierungen 28051 und die Leitungen 28071 unter Verwendung der vorstehend unter Bezugnahme auf 2-11 beschriebenen Prozessschritte ausgebildet werden; die Beschreibung wird vorliegend nicht wiederholt. In derartigen Ausführungsformen ist die Metallisierungsschicht 28031 ähnlich der Metallisierungsschicht 2031 (siehe 17).
  • In einigen Ausführungsformen weist die Metallisierungsschicht 28032 der Verschaltungsstruktur 2801 leitfähige Durchkontaktierungen 28052 und Leitungen 28072 auf. In einigen Ausführungsformen, in denen die Breite der leitfähigen Durchkontaktierungen 28052 am Boden der leitfähigen Durchkontaktierungen 28052 zwischen ungefähr 8 nm und ungefähr 14 nm liegt, können die leitfähigen Durchkontaktierungen 28052 und die Leitungen 28072 unter Verwendung der vorstehend unter Bezugnahme auf 2-11 beschriebenen Prozessschritte ausgebildet werden; die Beschreibung wird vorliegend nicht wiederholt. In derartigen Ausführungsformen ist die Metallisierungsschicht 28032 ähnlich der Metallisierungsschicht 2032 (siehe 17). Außerdem weisen die Metallisierungsschicht 28031 und die Metallisierungsschicht 28032 Interconnects mit einer ähnlichen Struktur auf.
  • In einigen Ausführungsformen weist die Metallisierungsschicht 2803M der Verschaltungsstruktur 2801 leitfähige Durchkontaktierungen 2805M und Leitungen 2807M auf. In der veranschaulichten Ausführungsform ist die Breite der leitfähigen Durchkontaktierungen 2805M größer als die Breite der leitfähigen Durchkontaktierungen 28051 und die Breite der leitfähigen Durchkontaktierungen 28052. In einigen Ausführungsformen, in denen die Breite der leitfähigen Durchkontaktierungen 2805M am Boden der leitfähigen Durchkontaktierungen 2805M zwischen ungefähr 15 nm und ungefähr 30 nm liegt, können die leitfähigen Durchkontaktierungen 2805M und die Leitungen 2807M unter Verwendung von Prozessschritten, die den vorstehend unter Bezugnahme auf 2-11 beschriebenen Prozessschritten ähnlich sind, ausgebildet werden, mit dem Unterschied, dass die vorstehend unter Bezugnahme auf 3 und 4 beschriebenen Oberflächenmodifizierungsprozesse weggelassen werden. In derartigen Ausführungsformen wird die Sperrschicht 501 (siehe 5) nicht ausgebildet, stattdessen wird die Sperrschicht 2809 über und in physischem Kontakt mit der Leitung der darunterliegenden Metallisierungsschicht ausgebildet. Dementsprechend weisen die Metallisierungsschicht 2803M und die Metallisierungsschicht 28031 Interconnects mit einer unterschiedlichen Struktur auf. In einigen Ausführungsformen kann die Sperrschicht 2809 Titan, Titannitrid, Tantal, Tantalnitrid, eine Kombination davon, Mehrfachschichten daraus oder dergleichen aufweisen und unter Verwendung von ALD, CVD, PVD, einer Kombination davon oder dergleichen abgeschieden werden.
  • In einigen Ausführungsformen können Interconnects der Metallisierungsschichten, die zwischen der Metallisierungsschicht 28032 und der Metallisierungsschicht 2803M angeordnet sind, abhängig von der Größe der Interconnects eine unterschiedliche Struktur aufweisen. In einigen Ausführungsformen, in denen die Breite von Durchkontaktierungen zwischen ungefähr 5 nm und ungefähr 14 nm liegt, werden Interconnects mit einer Struktur ausgebildet, die der Struktur von Interconnects der Metallisierungsschicht 28031 (z. B. die leitfähigen Durchkontaktierungen 28051 und die Leitungen 28071) ähnlich ist. In einigen Ausführungsformen, in denen die Breite von Durchkontaktierungen zwischen ungefähr 15 nm und ungefähr 30 nm liegt, werden Interconnects mit einer Struktur ausgebildet, die der Struktur von Interconnects der Metallisierungsschicht 2803M (z. B. die leitfähigen Durchkontaktierungen 2805M und die Leitungen 2807M) ähnlich ist.
  • 29 veranschaulicht eine Querschnittsansicht einer Halbleitervorrichtung 2900 gemäß einigen Ausführungsformen. In einigen Ausführungsformen ist die Halbleitervorrichtung 2900 der in 25 veranschaulichten Halbleitervorrichtung 1900 ähnlich, wobei ähnliche Merkmale ähnliche Bezugszeichen tragen und die Beschreibungen der ähnlichen Merkmale vorliegend nicht wiederholt werden. In einigen Ausführungsformen ist die Verschaltungsstruktur 2901 der Halbleitervorrichtung 2900 ähnlich der Verschaltungsstruktur 1901 der Halbleitervorrichtung 1900 (siehe 25), wobei ähnliche Merkmale ähnliche Bezugszeichen tragen und die Beschreibungen der ähnlichen Merkmale vorliegend nicht wiederholt werden. Die Verschaltungsstruktur 2901 umfasst mehrere Metallisierungsschichten 29031 bis 2903M. In einigen Ausführungsformen ist die Metallisierungsschicht 2903M die abschließende Metallisierungsschicht der Verschaltungsstruktur 2901. In einigen Ausführungsformen kann M zwischen 1 und 5 liegen. In anderen Ausführungsformen ist die Metallisierungsschicht 2903M keine abschließende Metallisierungsschicht der Verschaltungsstruktur 2901 und es werden weitere Metallisierungsschichten über der Metallisierungsschicht 2903M ausgebildet.
  • In der veranschaulichten Ausführungsform weisen Interconnects innerhalb von verschiedenen Metallisierungsschichten der Verschaltungsstruktur 2901 eine unterschiedliche Struktur auf. Im Speziellen können Interconnects unterschiedlicher Größe eine unterschiedliche Struktur aufweisen und unter Verwendung unterschiedlicher Prozessschritte ausgebildet werden. In einigen Ausführungsformen weist die Metallisierungsschicht 29031 der Verschaltungsstruktur 2901 leitfähige Durchkontaktierungen 29051 und Leitungen 29071 auf. In einigen Ausführungsformen, in denen die Breite der leitfähigen Durchkontaktierungen 29051 am Boden der leitfähigen Durchkontaktierungen 29051 zwischen ungefähr 6 nm und ungefähr 10 nm liegt, können die leitfähigen Durchkontaktierungen 29051 und die Leitungen 29071 unter Verwendung der vorstehend unter Bezugnahme auf 19-22 beschriebenen Prozessschritte ausgebildet werden; die Beschreibung wird vorliegend nicht wiederholt. In derartigen Ausführungsformen ist die Metallisierungsschicht 29031 ähnlich der Metallisierungsschicht 19031 (siehe 25).
  • In einigen Ausführungsformen weist die Metallisierungsschicht 29032 der Verschaltungsstruktur 2901 leitfähige Durchkontaktierungen 29052 und Leitungen 29072 auf. In einigen Ausführungsformen, in denen die Breite der leitfähigen Durchkontaktierungen 29052 am Boden der leitfähigen Durchkontaktierungen 29052 zwischen ungefähr 8 nm und ungefähr 14 nm liegt, können die leitfähigen Durchkontaktierungen 29052 und die Leitungen 29072 unter Verwendung der vorstehend unter Bezugnahme auf 19-22 beschriebenen Prozessschritte ausgebildet werden; die Beschreibung wird vorliegend nicht wiederholt. In derartigen Ausführungsformen ist die Metallisierungsschicht 29032 ähnlich der Metallisierungsschicht 19032 (siehe 25). Außerdem weisen die Metallisierungsschicht 29031 und die Metallisierungsschicht 29032 Interconnects mit einer ähnlichen Struktur auf.
  • In einigen Ausführungsformen weist die Metallisierungsschicht 2903M der Verschaltungsstruktur 2901 leitfähige Durchkontaktierungen 2905M und Leitungen 2907M auf. In der veranschaulichten Ausführungsform ist die Breite der leitfähigen Durchkontaktierungen 2905M größer als die Breite der leitfähigen Durchkontaktierungen 29051 und die Breite der leitfähigen Durchkontaktierungen 29052. In einigen Ausführungsformen, in denen die Breite der leitfähigen Durchkontaktierungen 2905M am Boden der leitfähigen Durchkontaktierungen 2905M zwischen ungefähr 15 nm und ungefähr 30 nm liegt, können die leitfähigen Durchkontaktierungen 2905M und die Leitungen 2907M unter Verwendung von Prozessschritten, die den vorstehend unter Bezugnahme auf 2-11 beschriebenen Prozessschritten ähnlich sind, ausgebildet werden, mit dem Unterschied, dass die vorstehend unter Bezugnahme auf 3 und 4 beschriebenen Oberflächenmodifizierungsprozesse weggelassen werden. In derartigen Ausführungsformen wird die Sperrschicht 501 (siehe 5) nicht ausgebildet, stattdessen wird die Sperrschicht 2909 über und in physischem Kontakt mit der Leitung der darunterliegenden Metallisierungsschicht ausgebildet. Dementsprechend weisen die Metallisierungsschicht 2903M und die Metallisierungsschicht 29031 Interconnects mit einer unterschiedlichen Struktur auf. In einigen Ausführungsformen kann die Sperrschicht 2909 Titan, Titannitrid, Tantal, Tantalnitrid, eine Kombination davon, Mehrfachschichten daraus oder dergleichen aufweisen und unter Verwendung von ALD, CVD, PVD, einer Kombination davon oder dergleichen abgeschieden werden.
  • In einigen Ausführungsformen können Interconnects der Metallisierungsschichten, die zwischen der Metallisierungsschicht 29032 und der Metallisierungsschicht 2903M angeordnet sind, abhängig von der Größe der Interconnects eine unterschiedliche Struktur aufweisen. In einigen Ausführungsformen, in denen die Breite von Durchkontaktierungen zwischen ungefähr 6 nm und ungefähr 14 nm liegt, werden Interconnects mit einer Struktur ausgebildet, die der Struktur von Interconnects der Metallisierungsschicht 29031 (z. B. die leitfähigen Durchkontaktierungen 29051 und die Leitungen 29071) ähnlich ist. In einigen Ausführungsformen, in denen die Breite von Durchkontaktierungen zwischen ungefähr 15 nm und ungefähr 30 nm liegt, werden Interconnects mit einer Struktur ausgebildet, die der Struktur von Interconnects der Metallisierungsschicht 2903M (z. B. die leitfähigen Durchkontaktierungen 2905M und die Leitungen 2907M) ähnlich ist.
  • 30 veranschaulicht eine Querschnittsansicht einer Halbleitervorrichtung 3000 gemäß einigen Ausführungsformen. In einigen Ausführungsformen ist die Halbleitervorrichtung 3000 den Halbleitervorrichtungen 100 und 1900 ähnlich, die in 17 bzw. 25 veranschaulicht sind, wobei ähnliche Merkmale ähnliche Bezugszeichen tragen und die Beschreibungen der ähnlichen Merkmale vorliegend nicht wiederholt werden. In einigen Ausführungsformen ist die Verschaltungsstruktur 3001 der Halbleitervorrichtung 3000 den Verschaltungsstrukturen 201 und 1901 der Halbleitervorrichtung 100 bzw. 1900 (siehe 17 und 25) ähnlich, wobei ähnliche Merkmale ähnliche Bezugszeichen tragen und die Beschreibungen der ähnlichen Merkmale vorliegend nicht wiederholt werden. Die Verschaltungsstruktur 3001 umfasst mehrere Metallisierungsschichten 30031 bis 3003M. In einigen Ausführungsformen ist die Metallisierungsschicht 3003M die abschließende Metallisierungsschicht der Verschaltungsstruktur 3001. In einigen Ausführungsformen kann M zwischen 1 und 5 liegen. In anderen Ausführungsformen ist die Metallisierungsschicht 3003M keine abschließende Metallisierungsschicht der Verschaltungsstruktur 3001 und es werden weitere Metallisierungsschichten über der Metallisierungsschicht 3003M ausgebildet.
  • In der veranschaulichten Ausführungsform weisen Interconnects innerhalb von verschiedenen Metallisierungsschichten der Verschaltungsstruktur 3001 eine unterschiedliche Struktur auf. Im Speziellen können Interconnects unterschiedlicher Größe eine unterschiedliche Struktur aufweisen und unter Verwendung unterschiedlicher Prozessschritte ausgebildet werden. In einigen Ausführungsformen weist die Metallisierungsschicht 30031 der Verschaltungsstruktur 3001 leitfähige Durchkontaktierungen 30051 und Leitungen 30071 auf. In einigen Ausführungsformen, in denen die Breite der leitfähigen Durchkontaktierungen 30051 am Boden der leitfähigen Durchkontaktierungen 30051 zwischen ungefähr 5 nm und ungefähr 10 nm liegt, können die leitfähigen Durchkontaktierungen 30051 und die Leitungen 30071 unter Verwendung der vorstehend unter Bezugnahme auf 2-11 beschriebenen Prozessschritte ausgebildet werden; die Beschreibung wird vorliegend nicht wiederholt. In derartigen Ausführungsformen ist die Metallisierungsschicht 30031 ähnlich der Metallisierungsschicht 2031 (siehe 17).
  • In einigen Ausführungsformen weist die Metallisierungsschicht 30032 der Verschaltungsstruktur 3001 leitfähige Durchkontaktierungen 30052 und Leitungen 30072 auf. In einigen Ausführungsformen, in denen die Breite der leitfähigen Durchkontaktierungen 30052 am Boden der leitfähigen Durchkontaktierungen 30052 zwischen ungefähr 8 nm und ungefähr 14 nm liegt, können die leitfähigen Durchkontaktierungen 30052 und die Leitungen 30072 unter Verwendung der vorstehend unter Bezugnahme auf 19-22 beschriebenen Prozessschritte ausgebildet werden; die Beschreibung wird vorliegend nicht wiederholt. In derartigen Ausführungsformen ist die Metallisierungsschicht 30032 ähnlich der Metallisierungsschicht 19032 (siehe 25). Außerdem weisen die Metallisierungsschicht 30031 und die Metallisierungsschicht 30032 Interconnects mit einer unterschiedlichen Struktur auf.
  • In einigen Ausführungsformen weist die Metallisierungsschicht 3003M der Verschaltungsstruktur 3001 leitfähige Durchkontaktierungen 3005M und Leitungen 3007M auf. In der veranschaulichten Ausführungsform ist die Breite der leitfähigen Durchkontaktierungen 3005M größer als die Breite der leitfähigen Durchkontaktierungen 30051 und die Breite der leitfähigen Durchkontaktierungen 30052. In einigen Ausführungsformen, in denen die Breite der leitfähigen Durchkontaktierungen 3005M am Boden der leitfähigen Durchkontaktierungen 3005M zwischen ungefähr 15 nm und ungefähr 30 nm liegt, können die leitfähigen Durchkontaktierungen 3005M und die Leitungen 3007M unter Verwendung von Prozessschritten, die den vorstehend unter Bezugnahme auf 2-11 beschriebenen Prozessschritten ähnlich sind, ausgebildet werden, mit dem Unterschied, dass die vorstehend unter Bezugnahme auf 3 und 4 beschriebenen Oberflächenmodifizierungsprozesse weggelassen werden. In derartigen Ausführungsformen wird die Sperrschicht 501 (siehe 5) nicht ausgebildet, stattdessen wird die Sperrschicht 3009 über und in physischem Kontakt mit der Leitung der darunterliegenden Metallisierungsschicht ausgebildet. Dementsprechend weisen die Metallisierungsschicht 3003M und die Metallisierungsschicht 30031 Interconnects mit einer unterschiedlichen Struktur auf. Außerdem weisen die Metallisierungsschicht 3003M und die Metallisierungsschicht 30032 Interconnects mit einer unterschiedlichen Struktur auf. In einigen Ausführungsformen kann die Sperrschicht 3009 Titan, Titannitrid, Tantal, Tantalnitrid, eine Kombination davon, Mehrfachschichten daraus oder dergleichen aufweisen und unter Verwendung von ALD, CVD, PVD, einer Kombination davon oder dergleichen abgeschieden werden.
  • In einigen Ausführungsformen können Interconnects der Metallisierungsschichten, die zwischen der Metallisierungsschicht 30032 und der Metallisierungsschicht 3003M angeordnet sind, abhängig von der Größe der Interconnects eine unterschiedliche Struktur aufweisen. In einigen Ausführungsformen, in denen die Breite von Durchkontaktierungen zwischen ungefähr 5 nm und ungefähr 10 nm liegt, werden Interconnects mit einer Struktur ausgebildet, die der Struktur von Interconnects der Metallisierungsschicht 30031 (z. B. die leitfähigen Durchkontaktierungen 30051 und die Leitungen 30071) ähnlich ist. In einigen Ausführungsformen, in denen die Breite von Durchkontaktierungen zwischen ungefähr 8 nm und ungefähr 14 nm liegt, werden Interconnects mit einer Struktur ausgebildet, die der Struktur von Interconnects der Metallisierungsschicht 30032 (z. B. die leitfähigen Durchkontaktierungen 30052 und die Leitungen 30072) ähnlich ist. In einigen Ausführungsformen, in denen die Breite von Durchkontaktierungen zwischen ungefähr 15 nm und ungefähr 30 nm liegt, werden Interconnects mit einer Struktur ausgebildet, die der Struktur von Interconnects der Metallisierungsschicht 3003M (z. B. die leitfähigen Durchkontaktierungen 3005M und die Leitungen 3007M) ähnlich ist.
  • 31 ist ein Flussdiagramm, das ein Verfahren 3100 zum Ausbilden einer Verschaltungsstruktur gemäß einigen Ausführungsformen veranschaulicht. Das Verfahren 3100 beginnt mit Schritt 3101, in welchem, wie vorstehend unter Bezugnahme auf 2 beschrieben, eine dielektrische Schicht über einem ersten leitfähigen Merkmal ausgebildet wird. In Schritt 3103 wird, wie vorstehend unter Bezugnahme auf 2 beschrieben, eine Öffnung in der dielektrischen Schicht derart gebildet, dass die Öffnung das erste leitfähige Merkmal freilegt. In Schritt 3105 wird, wie vorstehend unter Bezugnahme auf 3 und 4 beschrieben, ein Oberflächenmodifizierungsprozess an einer freiliegenden Oberfläche des ersten leitfähigen Merkmals durchgeführt. In Schritt 3107 wird, wie vorstehend unter Bezugnahme auf 5 beschrieben, eine erste Sperrschicht selektiv auf Seitenwänden der Öffnung abgeschieden. In Schritt 3109 wird, wie vorstehend unter Bezugnahme auf 20 beschrieben, eine zweite Sperrschicht über der ersten Sperrschicht und auf der freiliegenden Oberfläche des ersten leitfähigen Merkmals abgeschieden. In einigen Ausführungsformen wird der Schritt 3109 weggelassen. In Schritt 3111 wird, wie vorstehend unter Bezugnahme auf 9 beschrieben, eine Haftschicht in der Öffnung abgeschieden. In Schritt 3113 wird, wie vorstehend unter Bezugnahme auf 10 und 11 beschrieben, die Öffnung mit einem leitfähigen Material gefüllt, um ein zweites leitfähiges Merkmal in der dielektrischen Schicht auszubilden.
  • 32 ist ein Flussdiagramm, das einen Oberflächenmodifizierungsprozess 3105 des Verfahrens 3100 (siehe 31) gemäß einigen Ausführungsformen veranschaulicht. Der Oberflächenmodifizierungsprozess 3105 beginnt in Schritt 3201, in welchem, wie vorstehend unter Bezugnahme auf 3 beschrieben, ein Oxidreduktionsprozess an der freiliegenden Oberfläche des ersten leitfähigen Merkmals durchgeführt wird. In Schritt 3303 wird, wie vorstehend unter Bezugnahme auf 4 beschrieben, ein Oberflächeneinwirkungsprozess an der freiliegenden Oberfläche des ersten leitfähigen Merkmals durchgeführt.
  • Ausführungsformen können Vorteile erzielen. Verschiedene vorliegend erörterte Ausführungsformen ermöglichen eine Verringerung der Menge (bzw. des Volumens) einer Sperrschicht innerhalb eines Interconnects (zum Beispiel einer leitfähigen Durchkontaktierung) und als Ergebnis eine Verringerung des Kontaktwiderstands zwischen Interconnects.
  • Gemäß einer Ausführungsform umfasst ein Verfahren Abscheiden einer dielektrischen Schicht über einem leitfähigen Merkmal. Die dielektrische Schicht wird strukturiert, um eine Öffnung darin zu bilden. Die Öffnung legt einen ersten Abschnitt des leitfähigen Merkmals frei. Eine erste Sperrschicht wird auf einer Seitenwand der Öffnung abgeschieden. Der erste Abschnitt des leitfähigen Merkmals ist am Ende des Abscheidens der ersten Sperrschicht weiterhin freigelegt. In einer Ausführungsform umfasst das Verfahren ferner Abscheiden einer zweiten Sperrschicht über der ersten Sperrschicht in der Öffnung, wobei die zweite Sperrschicht in physischem Kontakt mit dem ersten Abschnitt des leitfähigen Merkmals steht. In einer Ausführungsform ist die erste Sperrschicht durch die zweite Sperrschicht von dem ersten Abschnitt des leitfähigen Merkmals getrennt. In einer Ausführungsform umfasst das Verfahren ferner: vor dem Abscheiden der ersten Sperrschicht, Entfernen einer nativen Oxidschicht von dem ersten Abschnitt des leitfähigen Merkmals; und, vor dem Abscheiden der ersten Sperrschicht, Durchführen eines Tensideinwirkungsprozesses an dem ersten Abschnitt des leitfähigen Merkmals, wobei der Tensideinwirkungsprozess die Abscheidungsrate eines ersten Sperrmaterials der ersten Sperrschicht über dem ersten Abschnitt des leitfähigen Merkmals unterdrückt. In einer Ausführungsform bildet der Tensideinwirkungsprozess eine Tensidlage über dem ersten Abschnitt des leitfähigen Merkmals aus. In einer Ausführungsform weist die Tensidlage eine Monolage von Alkenmolekülen oder eine Monolage von Alkinmolekülen auf. In einer Ausführungsform umfasst das Verfahren ferner: Abscheiden einer Haftschicht über der ersten Sperrschicht in der Öffnung, wobei die Haftschicht in physischem Kontakt mit dem ersten Abschnitt des leitfähigen Merkmals steht, und Füllen der Öffnung mit einem leitfähigen Material.
  • Gemäß einer weiteren Ausführungsform umfasst ein Verfahren Ausbilden einer dielektrischen Schicht über einem leitfähigen Merkmal. In der dielektrischen Schicht wird eine Öffnung gebildet. Die Öffnung legt einen ersten Abschnitt des ersten leitfähigen Merkmals frei. Ein zweites leitfähiges Merkmal wird in der Öffnung ausgebildet. Das Bilden des zweiten leitfähigen Merkmals umfasst Durchführen eines Oberflächenmodifizierungsprozesses an einer oberen Oberfläche des ersten Abschnitts des ersten leitfähigen Merkmals. Der Oberflächenmodifizierungsprozess unterdrückt die Abscheidungsrate eines ersten Sperrmaterials über der oberen Oberfläche des ersten Abschnitts des ersten leitfähigen Merkmals. Eine erste Sperrschicht, die ein erstes Sperrmaterial aufweist, wird selektiv auf einer Seitenwand der Öffnung abgeschieden. In einer Ausführungsform umfasst das Durchführen des Oberflächenmodifizierungsprozesses: Durchführen eines Oxidreduktionsprozesses an der oberen Oberfläche des ersten Abschnitts des ersten leitfähigen Merkmals, wobei der Oxidreduktionsprozess eine native Oxidschicht von dem ersten Abschnitt des ersten leitfähigen Merkmals entfernt; und Durchführen eines Tensideinwirkungsprozesses an der oberen Oberfläche des ersten Abschnitts des ersten leitfähigen Merkmals, wobei der Tensideinwirkungsprozess eine Tensidlage über der oberen Oberfläche des ersten Abschnitts des ersten leitfähigen Merkmals ausbildet. In einer Ausführungsform enthält die Tensidlage Alkenmoleküle oder Alkinmoleküle. In einer Ausführungsform umfasst das Durchführen des Oxidreduktionsprozesses Durchführen eines Plasmaprozesses an der oberen Oberfläche des ersten Abschnitts des ersten leitfähigen Merkmals. In einer Ausführungsform umfasst das Verfahren ferner Abscheiden einer Haftschicht über der ersten Sperrschicht und auf einem Boden der Öffnung, wobei die Haftschicht in physischem Kontakt mit der oberen Oberfläche des ersten Abschnitts des ersten leitfähigen Merkmals steht. In einer Ausführungsform umfasst das Verfahren ferner Abscheiden einer zweiten Sperrschicht, die ein zweites Sperrmaterial aufweist, über der ersten Sperrschicht und auf einem Boden der Öffnung, wobei die zweite Sperrschicht in physischem Kontakt mit der oberen Oberfläche des ersten Abschnitts des ersten leitfähigen Merkmals steht.
  • Gemäß noch einer weiteren Ausführungsform weist eine Halbleiterstruktur ein erstes leitfähiges Merkmal, eine dielektrische Schicht über dem ersten leitfähigen Merkmal und ein zweites leitfähiges Merkmal innerhalb der dielektrischen Schicht und in elektrischem Kontakt mit dem ersten leitfähigen Merkmal auf. Eine obere Oberfläche des ersten leitfähigen Merkmals weist einen ersten Bereich und einen zweiten Bereich auf, der sich von dem ersten Bereich unterscheidet. Die dielektrische Schicht bedeckt den ersten Bereich der oberen Oberfläche des ersten leitfähigen Merkmals. Die dielektrische Schicht bedeckt den zweiten Bereich der oberen Oberfläche des ersten leitfähigen Merkmals nicht. Das zweite leitfähige Merkmal weist ein leitfähiges Material und eine erste Sperrschicht auf, die zwischen einer Seitenwand des leitfähigen Materials und einer Seitenwand der dielektrischen Schicht angeordnet ist. Die erste Sperrschicht bedeckt den zweiten Bereich der oberen Oberfläche des ersten leitfähigen Merkmals nicht. In einer Ausführungsform weist die Halbleiterstruktur ferner eine Haftschicht auf, die zwischen der Seitenwand des leitfähigen Materials und der ersten Sperrschicht angeordnet ist, wobei die Haftschicht den zweiten Bereich der oberen Oberfläche des ersten leitfähigen Merkmals bedeckt. In einer Ausführungsform steht die Haftschicht in physischem Kontakt mit der Seitenwand der dielektrischen Schicht. In einer Ausführungsform ist die Haftschicht durch die erste Sperrschicht von der Seitenwand der dielektrischen Schicht getrennt. In einer Ausführungsform weist die Halbleiterstruktur ferner eine zweite Sperrschicht auf, die zwischen der Seitenwand des leitfähigen Materials und der ersten Sperrschicht angeordnet ist, wobei die zweite Sperrschicht den zweiten Bereich der oberen Oberfläche des ersten leitfähigen Merkmals bedeckt. In einer Ausführungsform steht eine Grenzfläche zwischen der ersten Sperrschicht und der zweiten Sperrschicht in physischem Kontakt mit der Seitenwand der dielektrischen Schicht. In einer Ausführungsform steht eine Grenzfläche zwischen der ersten Sperrschicht und der zweiten Sperrschicht in physischem Kontakt mit dem zweiten Bereich der oberen Oberfläche des ersten leitfähigen Merkmals.
  • Das Vorstehende umreißt Merkmale mehrerer Ausführungsformen, sodass die Fachperson die Aspekte der vorliegenden Offenbarung besser verstehen kann. Die Fachperson sollte sich darüber im Klaren sein, dass sie die vorliegende Offenbarung ohne Weiteres als Grundlage für das Entwerfen oder Abwandeln anderer Prozesse und Strukturen verwenden kann, um dieselben Zwecke auszuführen und/oder dieselben Vorteile der vorliegend vorgestellten Ausführungsformen zu erzielen. Die Fachperson sollte auch erkennen, dass derartige äquivalente Konstruktionen nicht von dem Geist und Umfang der vorliegenden Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Modifikationen hieran vornehmen kann, ohne von dem Geist und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/076999 [0001]

Claims (20)

  1. Verfahren, umfassend: Abscheiden einer dielektrischen Schicht über einem leitfähigen Merkmal; Strukturieren der dielektrischen Schicht, um eine Öffnung darin zu bilden, wobei die Öffnung einen ersten Abschnitt des leitfähigen Merkmals freilegt; und Abscheiden einer ersten Sperrschicht auf einer Seitenwand der Öffnung, wobei der erste Abschnitt des leitfähigen Merkmals am Ende des Abscheidens der ersten Sperrschicht weiterhin freigelegt ist.
  2. Verfahren nach Anspruch 1, ferner umfassend Abscheiden einer zweiten Sperrschicht über der ersten Sperrschicht in der Öffnung, wobei die zweite Sperrschicht in physischem Kontakt mit dem ersten Abschnitt des leitfähigen Merkmals steht.
  3. Verfahren nach Anspruch 2, wobei die erste Sperrschicht durch die zweite Sperrschicht von dem ersten Abschnitt des leitfähigen Merkmals getrennt ist.
  4. Verfahren nach einem der vorhergehenden Ansprüche, ferner umfassend: vor dem Abscheiden der ersten Sperrschicht, Entfernen einer nativen Oxidschicht von dem ersten Abschnitt des leitfähigen Merkmals; und, vor dem Abscheiden der ersten Sperrschicht, Durchführen eines Tensideinwirkungsprozesses an dem ersten Abschnitt des leitfähigen Merkmals, wobei der Tensideinwirkungsprozess die Abscheidungsrate eines ersten Sperrmaterials der ersten Sperrschicht über dem ersten Abschnitt des leitfähigen Merkmals unterdrückt.
  5. Verfahren nach Anspruch 4, wobei der Tensideinwirkungsprozess eine Tensidlage über dem ersten Abschnitt des leitfähigen Merkmals ausbildet.
  6. Verfahren nach Anspruch 5, wobei die Tensidlage eine Monolage von Alkenmolekülen oder eine Monolage von Alkinmolekülen aufweist.
  7. Verfahren nach einem der vorhergehenden Ansprüche, ferner umfassend: Abscheiden einer Haftschicht über der ersten Sperrschicht in der Öffnung, wobei die Haftschicht in physischem Kontakt mit dem ersten Abschnitt des leitfähigen Merkmals steht, und Füllen der Öffnung mit einem leitfähigen Material.
  8. Verfahren, umfassend: Ausbilden einer dielektrischen Schicht über einem ersten leitfähigen Merkmal; Bilden einer Öffnung in der dielektrischen Schicht, wobei die Öffnung einen ersten Abschnitt des ersten leitfähigen Merkmals freilegt; und Ausbilden eines zweiten leitfähigen Merkmals in der Öffnung, wobei das Ausbilden des zweiten leitfähigen Merkmals umfasst: Durchführen eines Oberflächenmodifizierungsprozesses an einer oberen Oberfläche des ersten Abschnitts des ersten leitfähigen Merkmals, wobei der Oberflächenmodifizierungsprozess eine Abscheidungsrate eines ersten Sperrmaterials über der oberen Oberfläche des ersten Abschnitts des ersten leitfähigen Merkmals unterdrückt; und selektives Abscheiden einer ersten Sperrschicht, die das erste Sperrmaterial aufweist, auf einer Seitenwand der Öffnung.
  9. Verfahren nach Anspruch 8, wobei das Durchführen des Oberflächenmodifizierungsprozesses umfasst: Durchführen eines Oxidreduktionsprozesses an der oberen Oberfläche des ersten Abschnitts des ersten leitfähigen Merkmals, wobei der Oxidreduktionsprozess eine native Oxidschicht von dem ersten Abschnitt des ersten leitfähigen Merkmals entfernt; und Durchführen eines Tensideinwirkungsprozesses an der oberen Oberfläche des ersten Abschnitts des ersten leitfähigen Merkmals, wobei der Tensideinwirkungsprozess eine Tensidlage über der oberen Oberfläche des ersten Abschnitts des ersten leitfähigen Merkmals ausbildet.
  10. Verfahren nach Anspruch 9, wobei die Tensidlage Alkenmoleküle oder Alkinmoleküle enthält.
  11. Verfahren nach Anspruch 9 oder 10, wobei das Durchführen des Oxidreduktionsprozesses Durchführen eines Plasmaprozesses an der oberen Oberfläche des ersten Abschnitts des ersten leitfähigen Merkmals umfasst.
  12. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 11, ferner umfassend Abscheiden einer Haftschicht über der ersten Sperrschicht und auf einem Boden der Öffnung, wobei die Haftschicht in physischem Kontakt mit der oberen Oberfläche des ersten Abschnitts des ersten leitfähigen Merkmals steht.
  13. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 12, ferner umfassend Abscheiden einer zweiten Sperrschicht, die ein zweites Sperrmaterial aufweist, über der ersten Sperrschicht und auf einem Boden der Öffnung, wobei die zweite Sperrschicht in physischem Kontakt mit der oberen Oberfläche des ersten Abschnitts des ersten leitfähigen Merkmals steht.
  14. Halbleiterstruktur, aufweisend: ein erstes leitfähiges Merkmal, wobei eine obere Oberfläche des ersten leitfähigen Merkmals einen ersten Bereich und einen zweiten Bereich, der sich von dem ersten Bereich unterscheidet, aufweist; eine dielektrische Schicht über dem ersten leitfähigen Merkmal, wobei die dielektrische Schicht den ersten Bereich der oberen Oberfläche des ersten leitfähigen Merkmals bedeckt und wobei die dielektrische Schicht den zweiten Bereich der oberen Oberfläche des ersten leitfähigen Merkmals nicht bedeckt; und ein zweites leitfähiges Merkmal innerhalb der dielektrischen Schicht und in elektrischem Kontakt mit dem ersten leitfähigen Merkmal, wobei das zweite leitfähige Merkmal aufweist: ein leitfähiges Merkmal; und eine erste Sperrschicht, die zwischen einer Seitenwand des leitfähigen Materials und einer Seitenwand der dielektrischen Schicht angeordnet ist, wobei die erste Sperrschicht den zweiten Bereich der oberen Oberfläche des ersten leitfähigen Merkmals nicht bedeckt.
  15. Halbleiterstruktur nach Anspruch 14, ferner aufweisend eine Haftschicht, die zwischen der Seitenwand des leitfähigen Materials und der ersten Sperrschicht angeordnet ist, wobei die Haftschicht den zweiten Bereich der oberen Oberfläche des ersten leitfähigen Merkmals bedeckt.
  16. Halbleiterstruktur nach Anspruch 15, wobei die Haftschicht in physischem Kontakt mit der Seitenwand der dielektrischen Schicht steht.
  17. Halbleiterstruktur nach Anspruch 15, wobei die Haftschicht durch die erste Sperrschicht von der Seitenwand der dielektrischen Schicht getrennt ist.
  18. Halbleiterstruktur nach einem der vorhergehenden Ansprüche 14 bis 16, ferner aufweisend eine zweite Sperrschicht, die zwischen der Seitenwand des leitfähigen Materials und der ersten Sperrschicht angeordnet ist, wobei die zweite Sperrschicht den zweiten Bereich der oberen Oberfläche des ersten leitfähigen Merkmals bedeckt.
  19. Halbleiterstruktur nach Anspruch 18, wobei eine Grenzfläche zwischen der ersten Sperrschicht und der zweiten Sperrschicht in physischem Kontakt mit der Seitenwand der dielektrischen Schicht steht.
  20. Halbleiterstruktur nach Anspruch 18, wobei eine Grenzfläche zwischen der ersten Sperrschicht und der zweiten Sperrschicht in physischem Kontakt mit dem zweiten Bereich der oberen Oberfläche des ersten leitfähigen Merkmals steht.
DE102021100639.2A 2020-09-11 2021-01-14 Verschaltungsstruktur einer halbleitervorrichtung Pending DE102021100639A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063076999P 2020-09-11 2020-09-11
US63/076,999 2020-09-11
US17/143,496 2021-01-07
US17/143,496 US11527476B2 (en) 2020-09-11 2021-01-07 Interconnect structure of semiconductor device

Publications (1)

Publication Number Publication Date
DE102021100639A1 true DE102021100639A1 (de) 2022-03-17

Family

ID=78972963

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021100639.2A Pending DE102021100639A1 (de) 2020-09-11 2021-01-14 Verschaltungsstruktur einer halbleitervorrichtung

Country Status (5)

Country Link
US (1) US11527476B2 (de)
KR (1) KR102582864B1 (de)
CN (1) CN113851422A (de)
DE (1) DE102021100639A1 (de)
TW (1) TWI792419B (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11967523B2 (en) * 2021-10-11 2024-04-23 Applied Materials, Inc. Self-assembled monolayer for selective deposition
WO2023076116A1 (en) * 2021-10-27 2023-05-04 Applied Materials, Inc. Selective blocking of metal surfaces using bifunctional self-assembled monolayers

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050020058A1 (en) 2003-07-25 2005-01-27 Gracias David H. Protecting metal conductors with sacrificial organic monolayers
US20060128142A1 (en) 2004-12-09 2006-06-15 Caroline Whelan Method for selective deposition of a thin self-assembled monolayer
US20150380302A1 (en) 2014-06-30 2015-12-31 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US20180082942A1 (en) 2015-04-29 2018-03-22 Intel Corporation Microelectronic conductive routes and methods of making the same
DE102014109352B4 (de) 2014-04-30 2019-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Zusammengesetzte kontaktstöpsel-struktur und verfahren zur herstellung
US20200144107A1 (en) 2018-11-05 2020-05-07 International Business Machines Corporation Method of forming barrier free contact for metal interconnects

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060113675A1 (en) 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
DE102006056626A1 (de) * 2006-11-30 2008-06-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
JP2008205298A (ja) 2007-02-21 2008-09-04 Renesas Technology Corp 半導体装置及びその製造方法
FR2917893B1 (fr) 2007-06-22 2009-08-28 Commissariat Energie Atomique Procede de fabrication d'une connexion electrique a base de nanotubes de carbone
US7651943B2 (en) * 2008-02-18 2010-01-26 Taiwan Semicondcutor Manufacturing Company, Ltd. Forming diffusion barriers by annealing copper alloy layers
US7964497B2 (en) * 2008-06-27 2011-06-21 International Business Machines Corporation Structure to facilitate plating into high aspect ratio vias
US9691622B2 (en) 2008-09-07 2017-06-27 Lam Research Corporation Pre-fill wafer cleaning formulation
JP5487748B2 (ja) * 2009-06-16 2014-05-07 東京エレクトロン株式会社 バリヤ層、成膜方法及び処理システム
US11398406B2 (en) 2018-09-28 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Selective deposition of metal barrier in damascene processes

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050020058A1 (en) 2003-07-25 2005-01-27 Gracias David H. Protecting metal conductors with sacrificial organic monolayers
US20060128142A1 (en) 2004-12-09 2006-06-15 Caroline Whelan Method for selective deposition of a thin self-assembled monolayer
DE102014109352B4 (de) 2014-04-30 2019-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Zusammengesetzte kontaktstöpsel-struktur und verfahren zur herstellung
US20150380302A1 (en) 2014-06-30 2015-12-31 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US20180082942A1 (en) 2015-04-29 2018-03-22 Intel Corporation Microelectronic conductive routes and methods of making the same
US20200144107A1 (en) 2018-11-05 2020-05-07 International Business Machines Corporation Method of forming barrier free contact for metal interconnects

Also Published As

Publication number Publication date
US11527476B2 (en) 2022-12-13
KR102582864B1 (ko) 2023-09-25
TW202211332A (zh) 2022-03-16
CN113851422A (zh) 2021-12-28
KR20220034643A (ko) 2022-03-18
US20220084937A1 (en) 2022-03-17
TWI792419B (zh) 2023-02-11

Similar Documents

Publication Publication Date Title
DE102015107271B4 (de) Zwei- oder mehrteilige Ätzstoppschicht in integrierten Schaltungen
DE102012219171B4 (de) Verfahren zum Bilden einer dreidimensionalen (3D) integrierten Schaltung und entsprechend gebildete dreidimensionale (3D) integrierte Schaltung
DE112011102446B4 (de) 3D-Durchkontaktierungskondensator mit einer potentialfreien leitfähigen Platte für eine verbesserte Zuverlässigkeit
DE102018110326B4 (de) Halbleitervorrichtung und Verfahren zur Herstellung derselben
DE102012111786B4 (de) Hybrid-Verbindungsaufbau und Verfahren zur Herstellung desselben
DE102013103206B4 (de) Durchkontaktierungsstruktur sowie Verfahren
DE102017120565B4 (de) Durchkontaktierungen für zwischenverbindungen auf cobaltbasis und verfahren zu deren herstellung
DE102010047806B4 (de) Integrierte Schaltkreise und Verfahren zum Ausbilden der integrierten Schaltkreise
DE102012111574A1 (de) Ätzschaden- und esl-freie dual-damaszene metallkontaktstruktur
DE102013104112A1 (de) Verbindungsstruktur und -verfahren
DE102008006960B4 (de) Halbleiterbauelement mit selbstjustierter Kontaktstruktur und Verfahren zur Herstellung
DE102019200054B4 (de) Verfahren zum Strukturieren von Metallisierungsleitungen mit variabler Breite
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102016100323B4 (de) Verringern der Dual-Damascene-Verwerfung in integrierten Schaltkreisstrukturen
DE102010002451A1 (de) Kontaktelemente von Halbleiterbauelementen, die einen kontinuierlichen Übergang zu Metallleitungen einer Metallisierungsschicht besitzen
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
EP1770726B1 (de) MIM-Kondensator sowie zugehöriges Herstellungsverfahren
DE112020003222B4 (de) Zwischenverbindungsanordnung mit vollständig ausgerichteten durchkontakten
DE102015119536A1 (de) Kondensatoren mit dielektrischen Barriereschichten und Verfahren zu deren Ausbildung
DE102021100639A1 (de) Verschaltungsstruktur einer halbleitervorrichtung
DE102013104368B4 (de) Vorrichtung, Bauteil und Verfahren für die Ausbildung einer Verbindungsstruktur
DE102019130124A1 (de) Funktionale komponente innerhalb einer verbindungsstruktur einer halbleitervorrichtung und verfahren zum bilden derselben
DE102014109352B4 (de) Zusammengesetzte kontaktstöpsel-struktur und verfahren zur herstellung
DE102018211683B4 (de) Verfahren zur herstellung von metallisierungsebenen
DE102004001853B3 (de) Verfahren zum Herstellen von Kontaktierungsanschlüssen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0023522000

Ipc: H01L0021768000

R016 Response to examination communication