DE102012111786B4 - Hybrid-Verbindungsaufbau und Verfahren zur Herstellung desselben - Google Patents

Hybrid-Verbindungsaufbau und Verfahren zur Herstellung desselben Download PDF

Info

Publication number
DE102012111786B4
DE102012111786B4 DE102012111786.1A DE102012111786A DE102012111786B4 DE 102012111786 B4 DE102012111786 B4 DE 102012111786B4 DE 102012111786 A DE102012111786 A DE 102012111786A DE 102012111786 B4 DE102012111786 B4 DE 102012111786B4
Authority
DE
Germany
Prior art keywords
low
layer
aluminum
dielectric layer
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102012111786.1A
Other languages
English (en)
Other versions
DE102012111786A1 (de
Inventor
Chen-Hua Yu
Tien-I Bao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102012111786A1 publication Critical patent/DE102012111786A1/de
Application granted granted Critical
Publication of DE102012111786B4 publication Critical patent/DE102012111786B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53219Aluminium alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

Bauteil, das aufweist:eine erste dielektrische Schicht (24) mit niedrigem k-Wert;eine Kupfer enthaltende Durchkontaktierung (32) in der ersten dielektrischen Schicht (24) mit niedrigem k-Wert;eine zweite dielektrische Schicht (46) mit niedrigem k-Wert über der ersten dielektrischen Schicht (24) mit niedrigem k-Wert;eine Aluminium enthaltende Metallleitung (38) über und in elektrischem Kontakt mit der Kupfer enthaltenden Durchkontaktierung (32), wobei die Aluminium enthaltende Metallleitung (38) in der zweiten dielektrischen Schicht (46) mit niedrigem k-Wert angeordnet ist; undeine dielektrische Sperrschicht (44) zwischen der zweiten dielektrischen Schicht (46) und der Aluminium enthaltenden Metallleitung (38), wobei die dielektrische Sperrschicht (44) aufweist:erste Abschnitte auf Seitenwänden der Aluminium enthaltenden Metallleitung (38); undeinen zweiten Abschnitt auf einer Oberseite der Aluminium enthaltenden Metallleitung (38).

Description

  • Hintergrund
  • Moderne integrierte Schaltkreise sind aus Transistoren, Kondensatoren und anderen auf Halbleitersubstraten ausgebildeten Bauteilen aufgebaut. Anfänglich sind diese Bauteile auf einem Substrat voneinander isoliert, werden jedoch später miteinander verbunden, um funktionale Schaltkreise auszubilden. Typische Verbindungsstrukturen umfassen laterale Verbindungen, wie Metallleitungen (Verdrahtungen) und vertikale Verbindungen, wie Durchkontaktierungen und Kontakte. Die Qualität der Verbindungsstrukturen beeinflusst die Leistungsfähigkeit und die Zuverlässigkeit des hergestellten Schaltkreises. Verbindungen bestimmen zunehmend die Grenzen der Leistungsfähigkeit und Dichte moderner integrierter Schaltkreise.
  • Die Verbindungsstrukturen können Wolframstecker und Aluminiumleitungen umfassen. Bei neueren Generationen integrierter Schaltkreise werden ebenfalls Damaszen-Strukturen, welche Kupferleitungen und Durchkontaktierungen umfassen, die unter Verwendung eines doppelten Damaszen-Prozesses ausgebildet sind, verwendet, um die Verbindungsstrukturen auszubilden.
  • Hinsichtlich weiteren Standes der Technik wird auf US 2002 / 0 058 405 A1 , US 2002 / 0 190 299 A1 und US 5 946 601 A verwiesen.
  • Abriss
  • Die Erfindung stellt ein Bauteil gemäß Anspruch 1 und ein Bauteil gemäß Anspruch 5 bereit. Ausgestaltungen sind in den abhängigen Ansprüchen angegeben.
  • Bei zumindest einer Ausführungsform weist das Bauteil weiterhin eine leitfähige Sperrschicht auf, wobei die leitfähige Sperrschicht aufweist: einen unteren Abschnitt, der unterhalb der Kupfer enthaltenden Durchkontaktierung liegt; sowie Seitenwandabschnitte auf Seitenwänden der Kupfer enthaltenden Durchkontaktierung.
  • Bei zumindest einer Ausführungsform ist die leitfähige Sperrschicht eine kein Kupfer enthaltende Schicht.
  • Bei zumindest einer Ausführungsform weist das Bauteil weiterhin eine kein Aluminium enthaltende leitfähige Sperrschicht zwischen der Aluminium enthaltenden Metallleitung und der Kupfer enthaltenden Durchkontaktierung auf, wobei die kein Aluminium aufweisende leitfähige Sperrschicht und die Aluminium enthaltende Metallleitung aneinandergrenzen.
  • Bei zumindest einer Ausführungsform weist der zweite Abschnitt der dielektrischen Sperrschicht eine Unterseite auf, die eine Oberseite der Aluminium enthaltenden Metallleitung berührt.
  • Bei zumindest einer Ausführungsform weist das Bauteil weiterhin eine zusätzliche kein Aluminium enthaltende leitfähige Sperrschicht über und in Kontakt mit der Aluminium enthaltenden Metallleitung auf, wobei der zweite Abschnitt der dielektrischen Sperrschicht eine Unterseite aufweist, die eine Oberseite der zusätzliche kein Aluminium enthaltenden leitfähigen Sperrschicht kontaktiert.
  • Bei zumindest einer Ausführungsform weist das Bauteil weiterhin auf: eine dritte dielektrische Schicht mit niedrigem k-Wert über der zweiten dielektrischen Schicht mit niedrigem k-Wert; und eine Metallleitung sowie eine Durchkontaktierung in der dritten dielektrischen Schicht mit niedrigem k-Wert, wobei die Metallleitung und die Durchkontaktierung eine doppelte Damaszen-Struktur ausbilden.
  • Bei zumindest einer Ausführungsform weist das Bauteil weiterhin eine Vielzahl Metallschichten über der zweiten dielektrischen Schicht mit niedrigem k-Wert auf, wobei sämtliche Metallschichten über der zweiten dielektrischen Schicht mit niedrigem k-Wert und in den dielektrischen Schichten mit niedrigem k-Wert doppelte Damaszen-Strukturen ausbilden, einher mit entsprechenden darunter liegenden Durchkontaktierungen.
  • Bei zumindest einer Ausführungsform weist das Bauteil weiterhin auf: eine dritte dielektrische Schicht mit niedrigem k-Wert, die unter der ersten dielektrischen Schicht mit niedrigem k-Wert liegt; eine zweite Kupfer enthaltende Durchkontaktierung in der dritten dielektrischen Schicht mit niedrigem k-Wert, wobei die zweite Kupfer enthaltende Durchkontaktierung eine einzelne Damaszen-Struktur aufweist; eine vierte dielektrische Schicht mit niedrigem k-Wert unterhalb der ersten dielektrischen Schicht mit niedrigem k-Wert und die unterhalb der dritten dielektrischen Schicht mit niedrigem k-Wert liegt; und eine zweite leitfähige Leitung in der vierten dielektrischen Schicht mit niedrigem k-Wert, wobei die zweite leitfähige Leitung aufweist: eine zweite leitfähige Sperrschicht; und eine zweite Aluminium enthaltende Metallleitung über der zweiten leitfähigen Sperrschicht.
  • Bei zumindest einer Ausführungsform weist die dielektrische Sperrschicht eine Unterseite auf, die eine Oberseite der ersten dielektrischen Schicht mit niedrigem k-Wert berührt.
  • Bei zumindest einer Ausführungsform weist das Bauteil weiterhin eine Ätzstoppschicht auf, die zwischen der ersten und der zweiten dielektrischen Schicht mit niedrigem k-Wert angeordnet ist, wobei die dielektrische Sperrschicht aufweist: eine Unterseite, die eine Oberseite der Ätzstoppschicht berührt; und eine Oberseite, die eine Unterseite der zweiten dielektrischen Schicht mit niedrigem k-Wert berührt.
  • Bei zumindest einer Ausführungsform weist das Bauteil weiterhin Kanten der ersten leitfähigen Sperrschicht auf, die entlang entsprechender Kanten der ersten Aluminium enthaltenden Metallleitung ausgerichtet sind.
  • Figurenliste
  • Für ein umfassenderes Verständnis der Ausführungsformen und deren Vorteile wird nunmehr in Verbindung mit den begleitenden Zeichnungen Bezug auf die nachstehende Beschreibung genommen, wobei:
    • Die 1 bis 11 sind Querschnittsansichten von Zwischenschritten bei der Herstellung einer Verbindungsstruktur gemäß einigen beispielhaften Ausführungsformen.
  • Detaillierte Beschreibung der veranschaulichenden Ausführungsformen
  • Nachstehend sind die Herstellung und die Verwendung der Ausführungsformen der Offenbarung im Detail diskutiert. Es sollte jedoch verstanden werden, dass die Ausführungsformen eine Vielzahl anwendbarer erfindungsgemäßer Konzepte bereitstellen, die in einer breiten Vielfalt spezifischer Anwendungen verwendet werden können. Die diskutierten spezifischen Ausführungsformen sind lediglich veranschaulichend und beschränken nicht den Umfang der Offenbarung.
  • Eine Verbindungsstruktur und das Verfahren zur Ausbildung derselben werden gemäß unterschiedlicher beispielhafter Ausführungsformen dargelegt. Die Zwischenschritte bei der Ausbildung der Verbindungsstruktur werden veranschaulicht. Abwandlungen der Ausführungsformen werden diskutiert. Über die verschiedenen Ansichten und veranschaulichenden Ausführungsformen hinweg werden dieselben Bezugszeichen dazu verwendet, dieselben Elemente zu kennzeichnen.
  • Die 1 veranschaulicht einen Wafer 100, welcher ein Halbleitersubstrat 10 umfasst. Das Halbleitersubstrat 10 kann aus Silizium, Germanium, Silizium-Germanium, III-V-Verbindungshalbleitern oder dergleichen ausgebildet sein. Aktive und passive Bauteile 12, wie Transistoren, Kondensatoren, Widerstände und dergleichen, können angrenzend an die Oberseite des Halbleitersubstrats 10 ausgebildet sein.
  • Die 1 veranschaulicht ebenfalls die Ausbildung des Zwischenschichtdielektrikums (ILD) 14 und der Kontaktstecker 16. Das ILD 14 kann unter Verwendung von Phospho-Silikat-Glas (PSG), Boro-Silikat-Glas (BSG), Bor-dotiertem Phospho-Silikat-Glas (BPSG), Tetraethyl-Orthosilikat(TEOS)-Oxid oder dergleichen ausgebildet sein. Die Kontaktstecker 16, welche Wolfram aufweisen können, können innerhalb des ILD 14 ausgebildet und mit den Bauteilen 12 verbunden sein. Eine dielektrische Schicht 20 ist über dem ILD 14 ausgebildet. Die dielektrische Schicht 20 wird alternativ als eine Zwischenmetalldielektrikums(IMD)-Schicht bezeichnet. Bei manchen Ausführungsformen weist die IMD-Schicht 20 ein dielektrisches Material mit niedrigem k-Wert auf, welches eine dielektrische Konstante (k-Wert) aufweist, die kleiner als 3,9 ist. Der k-Wert der IMD-Schicht 20 kann ebenfalls kleiner als ungefähr 3,0 oder kleiner als ungefähr 2,5 sein.
  • Metallleitungen 22 sind innerhalb der IMD-Schicht 20 ausgebildet. Über die Beschreibung hinweg werden die Metallleitungen in einer IMD-Schicht zusammenfassend als eine Metallschicht bezeichnet. Dementsprechend befinden sich Metallleitungen 22 in der unteren Metallschicht M1. Metallleitungen 22 können unter Verwendung eines einzigen Damaszen-Prozesses ausgebildet sein, welcher dem in den 1 und 2 gezeigten ähnelt. Bei manchen Ausführungsformen werden die Metallleitungen 22 durch Abscheiden und Ätzen einer Aluminium enthaltenden Schicht (wie AlCu) und Strukturieren der Aluminium enthaltenden Schicht ausgebildet. Bei alternativen Ausführungsformen werden die Metallleitungen 22 unter Verwendung eines einzelnen Damaszen-Prozesses ausgebildet und können daher eine Sperrschicht 22A und eine Kupfer enthaltende Schicht 22B über der Sperrschicht 22A aufweisen. Die Sperrschicht 22A kann Titan, Titan-Nitrid, Tantal, Tantal-Nitrid oder andere Alternativen aufweisen. Die Metallleitungen 22 können beispielsweise eine Dicke T1 zwischen ungefähr 10 nm und ungefähr 50 nm und eine Breite W1 zwischen ungefähr 8 nm und ungefähr 30 nm aufweisen.
  • Nochmals mit Bezug auf 1 wird die IMD-Schicht 24 über der IMD 20 ausgebildet. Bei manchen Ausführungsformen weist die IMD-Schicht 24 eine dielektrische Konstante (k-Wert) auf, die weniger als ungefähr 3,5 ist und daher über die Beschreibung hinweg als IMD-Schicht 24 mit niedrigem k-Wert bezeichnet wird. Der k-Wert der IMD-Schicht 24 mit niedrigem k-Wert kann ebenfalls niedriger als ungefähr 2,8 sein. Bei manchen Ausführungsformen umfasst die IMD-Schicht 24 mit niedrigem k-Wert Sauerstoff, Silizium, Stickstoff und dergleichen. Die beispielhaften Materialien umfassen Kohlenstoff enthaltende Materialien, Organo-Silikat-Glas, Porogen-enthaltende Materialien und dergleichen. In der IMD-Schicht 24 mit niedrigem k-Wert können Poren ausgebildet werden, um ihren k-Wert zu verringern. Die IMD-Schicht 24 mit niedrigem k-Wert kann unter Verwendung eines chemischen Dampfabscheidungsverfahrens (CVD), wie eines plasmaverstärktem CVD (PECVD), abgeschieden werden, obwohl auch andere Abscheidungsverfahren, wie Niederdruck-CVD (LPCVD), CVD atomarer Schichten (ALCVD) und Spin-on ebenfalls verwendet werden können.
  • Ein einziger Damaszen-Prozess ist in den 1 und 2 gezeigt. In 1 werden Durchkontaktierungsöffnungen 26 in der IMD-Schicht 24 mit niedrigem k-Wert durch Ätzen der IMD-Schicht 24 ausgebildet. Bei manchen Ausführungsformen wird eine Ätzstoppschicht (nicht dargestellt) unterhalb der IMD-Schicht 24 und oberhalb der IMD-Schicht 20 ausgebildet, wobei die Ätzstoppschicht Nitrid-, ein Silizium- und ein Kohlenstoff-basiertes Dielektrikum, ein Kohlenstoff-dotiertes Oxid oder dergleichen umfassen kann.
  • Die 2 veranschaulicht das Füllen der Durchkontaktierungsöffnungen 26, um die Durchkontaktierungen 32 auszubilden. Bei manchen Ausführungsformen wird zunächst eine Diffusionsstoppschicht 28 ausgebildet, welche eine Deckschicht mit Anteilen in den Durchkontaktierungsöffnungen 26 und Anteilen über der IMD-Schicht 24 ist. Eine Saatschicht (nicht dargestellt, verschmolzen mit Kupfer enthaltendem Material 30) wird dann oberhalb der Diffusionsstoppschicht 28 ausgebildet, gefolgt von einem Platierungsschritt, um Kupfer enthaltendes Material 30 auszubilden, so lange, bis die Oberseite des Kupfer enthaltenden Materials 30 höher als die Oberseite der IMD-Schicht 24 mit niedrigem k-Wert ist. Die Diffusionsdeckschicht 28 kann Titan, Titan-Nitrid, Tantal, Tantal-Nitrid oder andere Alternativen aufweisen. Das Kupfer enthaltende Material kann bei manchen beispielhaften Ausführungsformen mehr als 90 AT%, mehr als 95 AT% oder mehr als 99 AT% Kupfer aufweisen. Als nächstes wird ein chemisch-mechanischer Polierprozess (CMP) angewendet, um überschüssige Anteile des Kupfer enthaltenden Materials 30 und der Diffusionsstoppschicht 28, welche oberhalb der IMD-Schicht 24 mit niedrigem k-Wert angeordnet sind, zu entfernen, so dass die Durchkontaktierungen 32 in der IMD-Schicht 24 zurückbleiben.
  • Als nächstes wird, wie in 3 gezeigt ist, eine ESL 34, welche aus Siliziumnitrid, Siliziumcarbid oder dergleichen ausgebildet sein kann, über der IMD-Schicht 24 und den Durchkontaktierungen 32 ausgebildet. Bei alternativen Ausführungsformen wird keine ESL 34 ausgebildet. Die ESL 34 kann beispielsweise eine Dicke T8 zwischen ungefähr 2 nm und ungefähr 20 nm aufweisen. Weiter wird mit Bezug auf 4 ein Stapel leitfähiger Schichten, welcher die leitfähige Sperrschicht 36 und die Aluminium enthaltende Schicht 38 aufweist, durch einen Abscheidungs- und Strukturierungsprozess ausgebildet. Bei manchen Ausführungsformen ist die leitfähige Sperrschicht 40 weiterhin auf der Aluminium enthaltenden Schicht 38 ausgebildet. Bei alternativen Ausführungsformen ist die Sperrschicht 40 nicht ausgebildet. Die leitfähigen Sperrschichten 36 und 40 (falls vorhanden) können Titan, Titan-Nitrid, Tantal, Tantal-Nitrid oder andere Alternativen aufweisen. Die Aluminium enthaltende Schicht 38 kann bei manchen beispielhaften Ausführungsformen mehr als 90 AT%, mehr als 95 AT% oder mehr als 99 AT% Aluminium aufweisen. Die gestapelten Schichten werden daraufhin strukturiert, um Metallleitungen 42 auszubilden, welche mit den entsprechenden darunter liegenden Durchkontaktierungen 32 elektrisch verbunden sind (und mit diesen in Kontakt stehen können). Da die Schichten 36, 38 und 40 unter Verwendung derselben Lithographiemaske strukturiert worden sind, grenzen die Schichten 36, 38 und 40 aneinander, derart, dass die übereinstimmenden Kanten 36, 38 und 40 zueinander ausgerichtet sind. Über die Beschreibung hinweg werden die Metallleitungen 42 gemeinschaftlich als Metallschicht M2 bezeichnet. In dem Strukturierungsschritt kann die ESL 34 und/oder die leitfähige Sperre 36 als Ätzstoppschichten verwendet werden. Über die Beschreibung hinweg werden die Durchkontaktierungen 32 und die darüber liegenden Metallleitungen 42, deren Ausbildung ein hybrider Prozess ist, der einen einzigen Damaszen-Prozess und einen Abscheide- und Strukturierungsprozess umfasst, in Verbindung miteinander als eine Hybridstruktur bezeichnet. Die leitfähige Sperre 36 kann eine Dicke T2 zwischen ungefähr 1 nm und ungefähr 20 nm aufweisen. Die Aluminium enthaltende Schicht 38 kann eine Dicke T3 zwischen ungefähr 10 nm und ungefähr 50 nm aufweisen. Die leitfähige Sperrschicht 40 kann eine Dicke T4 zwischen ungefähr 1 nm und ungefähr 20 nm aufweisen. Die Metallleitungen 42 können beispielsweise eine Breite W2 zwischen ungefähr 8 nm und ungefähr 30 nm aufweisen.
  • 5 veranschaulicht die Ausbildung der dielektrischen Sperre 44, welche Seitenwandabschnitte auf den Seitenwänden der Metallleitungen 42, obere Abschnitte, die die Metallleitungen 42 überlappen, und untere Abschnitte auf der ESL 34 aufweist. Die dielektrische Sperre 44 kann eine Dicke T5 zwischen ungefähr 1 nm und ungefähr 20 nm aufweisen. Bei manchen Ausführungsformen, bei denen keine leitfähige Sperrschicht 40 ausgebildet ist, kontaktieren die oberen Abschnitte der dielektrischen Sperre 44 die Oberseiten der Aluminium enthaltenden Schichten 38 in den Metallleitungen 42. Andererseits kontaktieren die oberen Abschnitte der dielektrischen Sperre 44 die Oberseiten der leitfähigen Sperrschicht 40 in den Metallleitungen 42, falls eine leitfähige Sperrschicht 40 ausgebildet ist. Die dielektrische Sperre 44 kann aus AlOx, AINx, SiCN, SiN oder dergleichen ausgebildet sein, wobei „x“ einen Wert zwischen 0 und 1 hat. Die dielektrische Sperre 44 kann beispielsweise unter Verwendung atomarer Schichtabscheidung (ALD) ausgebildet werden.
  • Die 6 veranschaulicht die Ausbildung der IMD-Schicht 46. Das Material der IMD-Schicht 46 kann aus derselben Gruppe verfügbarer Materialien für die Ausbildung der IMD-Schicht 24 und/oder der IMD-Schicht 20 ausgebildet sein. Bei manchen Ausführungsformen wird die IMD-Schicht 26 unter Verwendung eines Spin-on-Dielektrikums (SOD), welches einen niedrigen k-Wert aufweist, ausgebildet. Bei alternativen Ausführungsformen wird die IMD-Schicht 46 unter Verwendung chemischer Dampfabscheidung (CVD), wie PECVD, LPCVD, ALCVD oder dergleichen ausgebildet. Aus dem CVD-Verfahren resultiert, dass eine Fehlstelle 48 in der IMD-Schicht 46 ausgebildet werden kann, wobei zwischen benachbarten Fehlstellen 48 der effektive k-Wert der IMD-Schicht 46 herabgesetzt werden kann, wodurch ebenfalls die parasitäre Kapazität zwischen den Metallleitungen 42 herabgesetzt wird. Bei den Ausführungsformen, bei welchen das CVD-Verfahren zur Ausbildung der IMD-Schicht 46 verwendet wird, kann ein CMP-Prozess oder ein Schleifprozess durchgeführt werden, um die Oberseite der IMD-Schicht einzuebnen. Bei den Ausführungsformen, bei denen das SOD für die Ausbildung der IMD-Schicht 46 verwendet wird, kann der CMP- oder der Schleifprozess durchgeführt oder weggelassen werden. Die Oberseite der IMD-Schicht 46 ist höher als die Oberseite der Metallleitungen 42 und die oberen Abschnitte der dielektrischen Sperrschicht 44.
  • In 7 werden die Durchkontaktierungen 50 unter Verwendung eines einzigen Damaszen-Prozesses ausgebildet, wobei die Ausbildung im Wesentlichen dieselbe wie die in den 1 und 5 gezeigte sein kann. Die Durchkontaktierungen 50 werden in der IMD-Schicht 46 ausgebildet und sie sind mit den darunter liegenden Metallleitungen 42 elektrisch verbunden. Die Durchkontaktierungen 50 treten durch die dielektrische Schicht 40 hindurch, um sich mit den Metallleitungen 42 elektrisch zu verbinden. In einem darauffolgenden Schritt werden, wie es in 8 gezeigt ist, die Metallleitungen 52 ausgebildet, wobei der Ausbildungsprozess im Wesentlichen derselbe wie der Ausbildungsprozess der Metallleitungen 42 sein kann. Die dielektrische Sperrschicht 47 kann daraufhin ausgebildet werden, beispielsweise mit Hilfe eines ähnlichen Materials und einer ähnlichen Dicke wie die dielektrische Sperrschicht 44. Die Metallleitungen 52 werden gemeinschaftlich als eine Metallschicht M3 bezeichnet. Jede der Metallleitungen 52 umfasst eine leitfähige Sperrschicht 54 und eine Aluminium enthaltende Schicht 56 über der leitfähigen Sperrschicht 54. Die Aluminium enthaltende Schicht 56 kann beispielsweise eine Dicke T6 zwischen ungefähr 10 nm und ungefähr 50 nm und eine Breite W3 zwischen ungefähr 8 nm und ungefähr 30 nm aufweisen. Die leitfähige Sperrschicht 54 und die Aluminium enthaltende Schicht 56 können unter Verwendung des im Wesentlichen selben Verfahrens und derselben Materialien wie die leitfähige Sperrschicht 36 bzw. die Aluminium enthaltende Schicht 38 ausgebildet werden. In den veranschaulichenden Ausführungsformen ist keine ESL über der IMD-Schicht 46 und unterhalb der dielektrischen Schicht 47 ausgebildet, obwohl eine ESL (nicht dargestellt) ausgebildet sein kann, wobei die ESL unter Verwendung eines ähnlichen Materials und einer ähnlichen Dicke wie die ESL 34 ausgebildet werden kann. Darüber hinaus wird keine leitfähige Sperrschicht in den veranschaulichenden Ausführungsformen ausgebildet, obwohl eine leitfähige Sperrschicht ähnlich der leitfähigen Sperrschicht 40 über und angrenzend an die Aluminium enthaltende Schicht 56 ausgebildet werden kann.
  • Die 9 und 10 veranschaulichen die Ausbildung des Restes der dielektrischen Schicht mit niedrigem k-Wert bis zu der oberen Metallschicht Mtop (siehe 10), welche die oberste Metallschicht ist, die in einer dielektrischen Schicht mit niedrigem k-Wert ausgebildet ist. Das Symbol „top“ in der Bezeichnung „Mtop“ bezeichnet eine ganze Zahl, welche beispielsweise irgendeine ganze Zahl zwischen ungefähr 3 und ungefähr 5 sein kann. Dementsprechend wird die Metallschicht unterhalb der Metallschicht Mtop als eine Metallschicht Mtop-1 bezeichnet. Bei manchen Ausführungsformen weist jede der Metallschichten M2 bis Mtop und jede der entsprechenden darunter liegenden Durchkontaktierungen die Hybridstruktur auf. Die Hybridstruktur umfasst Durchkontaktierungen, welche unter Verwendung eines einzelnen Damaszen-Prozesses ausgebildet werden, sowie Aluminium enthaltende Metallleitungen über und in Kontakt mit den Durchkontaktierungen, wobei die Aluminium enthaltende Metallleitungen unter Verwendung von Abscheidung und Strukturierung anstelle eines einzelnen Damaszen- oder eines doppelten Damaszen-Prozesses ausgebildet wurden. Bei alternativen Ausführungsformen bildet jede der unteren Metallschichten M2 bis Mn (nicht dargestellt) und der entsprechenden darunter liegenden Durchkontaktierungen die Hybridstrukturen, wobei jede der oberen Metallschichten M(n+1) (nicht dargestellt) bis Mtop unter Verwendung eines doppelten Damaszen-Prozesses ausgebildet wurde, wobei die ganze Zahl n irgendeine ganze Zahl zwischen und einschließlich 2 und (top - 1) sein kann. Z.B. veranschaulichen die 9 und 10 schematisch einen doppelten Damaszen-Prozess für die Ausbildung der oberen Metallschichten.
  • Mit Bezug auf 9 wird die IMD-Schicht 58, welche eine dielektrische Schicht mit niedrigem k-Wert ist, ausgebildet. Als nächstes werden Durchkontaktierungsöffnungen 60 und Graben 62 in der IMD-Schicht 58 unter Verwendung von Ätzprozessen ausgebildet. Als nächstes werden, wie es in 10 gezeigt ist, die Durchkontaktierungsöffnungen 60 und die Graben 62 gefüllt, gefolgt von einem CMP-Prozess. Das Füllmaterial kann die leitfähige Sperrschicht 64 und das leitfähige Material 66 über der leitfähigen Sperrschicht 64 umfassen. Die leitfähige Sperrschicht 64 kann aus Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen ausgebildet sein. Die Dicke T7 der leitfähigen Sperrschicht 64 kann beispielsweise zwischen ungefähr 5 nm und ungefähr 50 nm liegen. Das leitfähige Material 66 kann Kupfer oder eine Kupferlegierung aufweisen. Aus dem Füllschritt und dem CMP-Schritt resultiert, dass die Durchkontaktierungen 68 und die Metallleitungen 70 in den Durchkontaktierungsöffnungen 60 bzw. den Graben 62 ausgebildet werden. Die Metallleitungen 70 können beispielsweise eine Dicke T9 zwischen ungefähr 100 nm und ungefähr 5000 nm und eine Breite W4 zwischen ungefähr 50 nm und ungefähr 5000 nm aufweisen.
  • Die 11 veranschaulicht die Ausbildung der dielektrischen Schichten 72, welche keinen niedrigen k-Wert aufweisen, welche aus Siliziumoxid, Siliziumnitrid, undotiertem Silikatglas oder dergleichen ausgebildet sein können. Metallführungen (nicht dargestellt) können in den dielektrischen Schichten 72, welche keinen niedrigen k-Wert aufweisen, ausgebildet und mit den darunter liegenden Metallschichten M1 bis Mtop elektrisch verbunden sein.
  • Bei den Ausführungsformen können die Metallleitungen in den Verbindungsstrukturen Aluminium enthaltende Metallleitungen aufweisen. Die Aluminium enthaltenden Leitungen, welche hauptsächlich aus Aluminium ausgebildet sind, können, wenn sie Leitungsbreiten zwischen ungefähr 40 nm und ungefähr 50 nm oder weniger aufweisen, einen Leitungswiderstand aufweisen, der geringer als der von Kupferleitungen, welche dieselbe Breite aufweisen, ist. Darüber hinaus wird bei weiterer Verringerung der Leitungsbreite der Aluminiumleitungen der Unterschied zwischen dem Leitungswiderstand der Aluminiumleitungen und dem Leitungswiderstand der Kupferleitungen (welche dieselben Breiten aufweisen) bei zunehmender Reduzierung der Leitungsbreiten zunehmend erhöht. Dementsprechend kann das Einsetzen von Aluminiumleitungen bei geringen Leitungsbreiten zu einem verringerten Leitungswiderstand und einer verringerten RC-Verzögerung führen. Auf der anderen Seite werden die darunter liegenden Durchkontaktierungen unter Verwendung eines einzelnen Damaszen-Prozesses anstelle eines doppelten Damaszen-Prozesses ausgebildet, wenn die Aluminiumleitungen durch Deposition und Strukturierung ausgebildet werden. Dementsprechend ist das Lückenfüllen in den Durchkontaktierungsöffnungen einfacher als das Lückenfüllen sowohl der Graben als auch der Durchkontaktierungsöffnungen in doppelten Damaszen-Prozessen.
  • Darüber hinaus können die oberen Metallschichten, wie die Metallschicht Mtop eine größere Leitungsbreite als die darunter liegenden Metallschichten aufweisen. Dementsprechend können die oberen Metallschichten doppelte Damaszen-Prozesse einsetzen, wobei die Metallleitungen in den oberen Metallschichten Kupferleitungen aufweisen, während die unteren Metallschichten die Hybridstrukturen einsetzen können. Dementsprechend werden die Werte für den Leitungswiderstand der oberen Metallschichten und der unteren Metallschichten optimiert.
  • Gemäß manchen Ausführungsformen umfasst ein Bauteil eine erste dielektrische Schicht mit niedrigem k-Wert, und eine Kupfer enthaltende Durchkontaktierung in der ersten dielektrischen Schicht mit niedrigem k-Wert. Das Bauteil umfasst weiterhin eine zweite dielektrische Schicht mit niedrigem k-Wert über der ersten dielektrischen Schicht mit niedrigem k-Wert, und eine Aluminium enthaltende Metallleitung über und in elektrischem Kontakt mit der Kupfer enthaltenden Durchkontaktierung. Die Aluminium enthaltende Metallleitung ist in der zweiten dielektrischen Schicht mit niedrigem k-Wert angeordnet.
  • Gemäß anderen Ausführungsformen umfasst ein Bauteil eine erste dielektrische Schicht mit niedrigem k-Wert, eine erste Kupfer enthaltende Durchkontaktierung in der ersten dielektrischen Schicht mit niedrigem k-Wert und eine dielektrische Schicht mit niedrigem k-Wert über der ersten dielektrischen Schicht mit niedrigem k-Wert, und eine leitfähige Leitung in der zweiten dielektrischen Schicht mit niedrigem k-Wert und elektrisch verbunden mit der ersten Kupfer enthaltenden Durchkontaktierung. Die leitfähige Leitung umfasst eine leitfähige Sperrschicht und eine Aluminium enthaltende Metallleitung über der leitfähigen Sperrschicht. Eine dielektrische Sperrschicht umfasst erste Abschnitt auf Seitenwänden der Aluminium enthaltende Metallleitung, einen zweiten Abschnitt, der die Aluminium enthaltende Metallleitung überlappt und einen dritten Abschnitt, der unter der zweiten dielektrischen Schicht mit niedrigem k-Wert liegt.
  • Gemäß noch anderen Ausführungsformen umfasst das Verfahren das Ausbilden einer ersten Durchkontaktierung in einer ersten dielektrischen Schicht mit niedrigem k-Wert unter Verwendung eines einzigen Damaszen-Prozesses, das Abscheiden einer Aluminium enthaltenden Schicht über der ersten Durchkontaktierung und das Strukturieren der Aluminium enthaltenden Schicht, um eine Aluminium enthaltende Leitung auszubilden. Die Aluminium enthaltende Leitung ist mit der ersten Durchkontaktierung elektrisch verbunden. Eine zweite dielektrische Schicht mit niedrigem k-Wert ist über der ersten dielektrischen Schicht mit niedrigem k-Wert ausgebildet, wobei die Aluminium enthaltende Leitung in der zweiten dielektrischen Schicht mit niedrigem k-Wert angeordnet ist.

Claims (9)

  1. Bauteil, das aufweist: eine erste dielektrische Schicht (24) mit niedrigem k-Wert; eine Kupfer enthaltende Durchkontaktierung (32) in der ersten dielektrischen Schicht (24) mit niedrigem k-Wert; eine zweite dielektrische Schicht (46) mit niedrigem k-Wert über der ersten dielektrischen Schicht (24) mit niedrigem k-Wert; eine Aluminium enthaltende Metallleitung (38) über und in elektrischem Kontakt mit der Kupfer enthaltenden Durchkontaktierung (32), wobei die Aluminium enthaltende Metallleitung (38) in der zweiten dielektrischen Schicht (46) mit niedrigem k-Wert angeordnet ist; und eine dielektrische Sperrschicht (44) zwischen der zweiten dielektrischen Schicht (46) und der Aluminium enthaltenden Metallleitung (38), wobei die dielektrische Sperrschicht (44) aufweist: erste Abschnitte auf Seitenwänden der Aluminium enthaltenden Metallleitung (38); und einen zweiten Abschnitt auf einer Oberseite der Aluminium enthaltenden Metallleitung (38).
  2. Bauteil gemäß Anspruch 1, das weiterhin eine leitfähige Sperrschicht (28) aufweist, wobei die leitfähige Sperrschicht (28) aufweist: einen unteren Abschnitt, der unter der Kupfer enthaltenden Durchkontaktierung (32) liegt; und Seitenwandabschnitte auf Seitenwänden der Kupfer enthaltenden Durchkontaktierung (32), wobei die leitfähige Sperrschicht (28) eine kein Kupfer enthaltende Schicht ist.
  3. Bauteil nach einem der vorangegangenen Ansprüche, das weiterhin eine kein Aluminium enthaltende leitfähige Sperrschicht (36) zwischen der Aluminium enthaltenden Metallleitung (38) und der Kupfer enthaltenden Durchkontaktierung (32) aufweist, wobei die kein Aluminium enthaltende leitfähige Sperrschicht (36) und die Aluminium enthaltende aneinandergrenzen.
  4. Bauteil nach einem der vorangehenden Ansprüche, das weiterhin eine zusätzliche kein Aluminium enthaltende leitfähige Sperrschicht (40) über und in Kontakt mit der Aluminium enthaltenden Metallleitung (38) aufweist, wobei der zweite Abschnitt der dielektrischen Sperrschicht (44) eine Unterseite aufweist, die eine Oberseite der zusätzlichen kein Aluminium aufweisenden leitfähigen Sperrschicht (40) kontaktiert.
  5. Bauteil, das aufweist: eine erste dielektrische Schicht (24) mit niedrigem k-Wert; eine erste Kupfer enthaltende Durchkontaktierung (32) in der ersten dielektrischen Schicht (24) mit niedrigem k-Wert; eine zweite dielektrische Schicht (46) mit niedrigem k-Wert über der ersten dielektrischen Schicht (24) mit niedrigem k-Wert; und eine erste leitfähige Leitung (42) in der zweiten dielektrischen Schicht (46) mit niedrigem k-Wert, die mit der ersten Kupfer enthaltenden Durchkontaktierung (32) elektrisch in Kontakt steht, wobei die erste leitfähige Leitung (42) aufweist: eine erste leitfähige Sperrschicht (36); und eine erste Aluminium enthaltende Metallleitung (38) über der ersten leitfähigen Sperrschicht (36); und eine dielektrische Sperrschicht (44) zwischen der zweiten dielektrischen Schicht (46) und der Aluminium Metallleitung (38), die aufweist: erste Abschnitte auf Seitenwänden der ersten Aluminium enthaltenden Metallleitung (38); einen zweiten Abschnitt auf einer Oberseite der ersten Aluminium enthaltenden Metallleitung (38); und einen dritten Abschnitt, der unter der zweiten dielektrischen Schicht (46) mit niedrigem k-Wert liegt.
  6. Bauteil nach Anspruch 5, das weiterhin eine Vielzahl Metallschichten (Mtop-1) über der zweiten dielektrischen Schicht (46) mit niedrigem k-Wert aufweist, wobei sämtliche Metallschichten über der zweiten dielektrischen Schicht mit niedrigem k-Wert und in den dielektrischen Schichten mit niedrigem k-Wert doppelte Damaszen-Strukturen nebst entsprechenden, unter Metallleitungen liegenden Durchkontaktierungen ausbilden.
  7. Bauteil nach Anspruch 5 oder 6, das weiterhin aufweist: eine dritte dielektrische Schicht mit niedrigem k-Wert, die unter der ersten dielektrischen Schicht (24) mit niedrigem k-Wert liegt; eine zweite Kupfer enthaltende Durchkontaktierung in der dritten dielektrischen Schicht mit niedrigem k-Wert, wobei die zweite Kupfer enthaltende Durchkontaktierung eine einzelne Damaszen-Struktur aufweist; eine vierte dielektrische Schicht mit niedrigem k-Wert unter der ersten dielektrischen Schicht mit niedrigem k-Wert, die unter der dritten dielektrischen Schicht mit niedrigem k-Wert liegt; und eine zweite leitfähige Leitung in der vierten dielektrischen Schicht mit niedrigem k-Wert, wobei die zweite leitfähige Leitung aufweist: eine zweite leitfähige Sperrschicht; und eine zweite Aluminium enthaltende Metallleitung über der zweiten leitfähigen Sperrschicht.
  8. Bauteil nach einem der Ansprüche 5 bis 7, das weiterhin eine Ätzstoppschicht (34, 36) zwischen der ersten und der zweiten dielektrischen Schicht (24, 46) mit niedrigem k-Wert aufweist, wobei die dielektrische Sperrschicht (44) aufweist: eine Unterseite, die eine Oberseite der Ätzstoppschicht (34, 36) berührt; und eine Oberseite, die eine Unterseite der zweiten dielektrischen Schicht (46) mit niedrigem k-Wert berührt.
  9. Bauteil nach einem der Ansprüche 5 bis 8, bei dem Kanten der ersten leitfähigen Sperrschicht (36) entlang entsprechender Kanten der ersten Aluminium enthaltenden Metallleitung (38) ausgerichtet sind.
DE102012111786.1A 2012-07-20 2012-12-05 Hybrid-Verbindungsaufbau und Verfahren zur Herstellung desselben Active DE102012111786B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/554,817 2012-07-20
US13/554,817 US8710660B2 (en) 2012-07-20 2012-07-20 Hybrid interconnect scheme including aluminum metal line in low-k dielectric

Publications (2)

Publication Number Publication Date
DE102012111786A1 DE102012111786A1 (de) 2014-01-23
DE102012111786B4 true DE102012111786B4 (de) 2020-10-01

Family

ID=49879819

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102012111786.1A Active DE102012111786B4 (de) 2012-07-20 2012-12-05 Hybrid-Verbindungsaufbau und Verfahren zur Herstellung desselben

Country Status (4)

Country Link
US (3) US8710660B2 (de)
KR (1) KR101411198B1 (de)
CN (1) CN103579181B (de)
DE (1) DE102012111786B4 (de)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8710660B2 (en) 2012-07-20 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect scheme including aluminum metal line in low-k dielectric
US9716035B2 (en) * 2014-06-20 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Combination interconnect structure and methods of forming same
US9837354B2 (en) 2014-07-02 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid copper structure for advance interconnect usage
US9679946B2 (en) * 2014-08-25 2017-06-13 HGST, Inc. 3-D planes memory device
US9496169B2 (en) * 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
US9672319B1 (en) * 2015-06-29 2017-06-06 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing electronic designs with a pseudo-3D analysis mechanism
US9842804B2 (en) 2016-01-04 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for reducing dual damascene distortion
KR102460075B1 (ko) 2016-01-27 2022-10-31 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US10211093B2 (en) * 2016-07-08 2019-02-19 Samsung Electronics Co., Ltd. Interconnect structure formed with a high aspect ratio single damascene copper line on a non-damascene via
US10026647B2 (en) 2016-12-12 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-metal fill with self-align patterning
US10256191B2 (en) 2017-01-23 2019-04-09 International Business Machines Corporation Hybrid dielectric scheme for varying liner thickness and manganese concentration
US10224285B2 (en) 2017-02-21 2019-03-05 Raytheon Company Nitride structure having gold-free contact and methods for forming such structures
US10096550B2 (en) 2017-02-21 2018-10-09 Raytheon Company Nitride structure having gold-free contact and methods for forming such structures
TWI817576B (zh) 2017-11-30 2023-10-01 美商英特爾股份有限公司 用於先進積體電路結構製造之異質金屬線組成
US10460993B2 (en) * 2017-11-30 2019-10-29 Intel Corporation Fin cut and fin trim isolation for advanced integrated circuit structure fabrication
US11532547B2 (en) * 2019-08-22 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structures with low-aspect-ratio contact vias
US11404366B2 (en) 2020-05-27 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect structure for self aligned via
KR20220033207A (ko) * 2020-09-09 2022-03-16 삼성전자주식회사 반도체 칩 및 이를 포함하는 반도체 패키지
US11658064B2 (en) * 2020-09-29 2023-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure with dielectric cap layer and etch stop layer stack

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5946601A (en) * 1997-12-31 1999-08-31 Intel Corporation Unique α-C:N:H/α-C:Nx film liner/barrier to prevent fluorine outdiffusion from α-FC chemical vapor deposition dielectric layers
US20020058405A1 (en) * 2000-08-31 2002-05-16 Ying Huang A structure to reduce line-line capacitance with low k material
US20020190299A1 (en) * 2001-06-15 2002-12-19 Chen-Chiu Hsue Metal capacitor in damascene structures

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6285082B1 (en) * 1995-01-03 2001-09-04 International Business Machines Corporation Soft metal conductor
US6077774A (en) * 1996-03-29 2000-06-20 Texas Instruments Incorporated Method of forming ultra-thin and conformal diffusion barriers encapsulating copper
US6331481B1 (en) * 1999-01-04 2001-12-18 International Business Machines Corporation Damascene etchback for low ε dielectric
US6680514B1 (en) * 2000-12-20 2004-01-20 International Business Machines Corporation Contact capping local interconnect
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US7064056B2 (en) * 2003-06-13 2006-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer stack to prevent Ti diffusion
KR100555513B1 (ko) 2003-08-04 2006-03-03 삼성전자주식회사 보이드 발생이 방지되는 금속배선구조 및 금속배선방법
US7244673B2 (en) * 2003-11-12 2007-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integration film scheme for copper / low-k interconnect
KR100515380B1 (ko) 2003-12-27 2005-09-14 동부아남반도체 주식회사 알루미늄구리-플러그를 이용하여 비아를 형성한 반도체소자 및 그 제조 방법
KR100558009B1 (ko) * 2004-01-12 2006-03-06 삼성전자주식회사 확산방지막을 선택적으로 형성하여 반도체소자를 제조하는방법 및 그것에 의해 제조된 반도체소자
CN1299349C (zh) * 2004-04-28 2007-02-07 联华电子股份有限公司 双镶嵌工艺中两阶段去除介层洞光刻胶的方法
KR100632473B1 (ko) 2004-08-03 2006-10-09 삼성전자주식회사 염기성 물질 확산 장벽막을 사용하는 미세 전자 소자의듀얼 다마신 배선의 제조 방법
JP4492949B2 (ja) * 2004-11-01 2010-06-30 ルネサスエレクトロニクス株式会社 電子デバイスの製造方法
JP5180426B2 (ja) * 2005-03-11 2013-04-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7381646B2 (en) * 2005-08-15 2008-06-03 Chartered Semiconductor Manufacturing, Ltd. Method for using a Cu BEOL process to fabricate an integrated circuit (IC) originally having an al design
US7564136B2 (en) * 2006-02-24 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Integration scheme for Cu/low-k interconnects
US20080014739A1 (en) * 2006-06-28 2008-01-17 Texas Instruments Incorporated Silicon nitride/oxygen doped silicon carbide etch stop bi-layer for improved interconnect reliability
KR100782487B1 (ko) * 2006-08-21 2007-12-05 삼성전자주식회사 보이드 한정 구조체들, 상기 보이드 한정 구조체들을가지는 반도체 장치들 및 그들의 형성방법들
US7652378B2 (en) * 2006-10-17 2010-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Aluminum-based interconnection in bond pad layer
US9087877B2 (en) * 2006-10-24 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k interconnect structures with reduced RC delay
US7737554B2 (en) * 2007-06-25 2010-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Pitch by splitting bottom metallization layer
US7741224B2 (en) * 2007-07-11 2010-06-22 Texas Instruments Incorporated Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
DE102007057682A1 (de) * 2007-11-30 2009-06-04 Advanced Micro Devices, Inc., Sunnyvale Hybridkontaktstruktur mit Kontakt mit kleinem Aspektverhältnis in einem Halbleiterbauelement
JP5820267B2 (ja) * 2008-03-21 2015-11-24 プレジデント アンド フェローズ オブ ハーバード カレッジ 配線用セルフアライン(自己整合)バリア層
JP5501586B2 (ja) * 2008-08-22 2014-05-21 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
DE102009010844B4 (de) * 2009-02-27 2018-10-11 Advanced Micro Devices, Inc. Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen
JP5025679B2 (ja) * 2009-03-27 2012-09-12 株式会社東芝 半導体装置
US8120179B2 (en) * 2009-11-10 2012-02-21 International Business Machines Corporation Air gap interconnect structures and methods for forming the same
JP2011228419A (ja) * 2010-04-19 2011-11-10 Renesas Electronics Corp 半導体集積回路装置および半導体集積回路装置の製造方法
US8575019B2 (en) * 2010-09-30 2013-11-05 Institute of Microelectronics, Chinese Academy of Sciences Metal interconnection structure and method for forming metal interlayer via and metal interconnection line
US9117882B2 (en) * 2011-06-10 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Non-hierarchical metal layers for integrated circuits
US8518818B2 (en) * 2011-09-16 2013-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse damascene process
US9269612B2 (en) * 2011-11-22 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of forming damascene interconnect structures
US8710660B2 (en) 2012-07-20 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect scheme including aluminum metal line in low-k dielectric

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5946601A (en) * 1997-12-31 1999-08-31 Intel Corporation Unique α-C:N:H/α-C:Nx film liner/barrier to prevent fluorine outdiffusion from α-FC chemical vapor deposition dielectric layers
US20020058405A1 (en) * 2000-08-31 2002-05-16 Ying Huang A structure to reduce line-line capacitance with low k material
US20020190299A1 (en) * 2001-06-15 2002-12-19 Chen-Chiu Hsue Metal capacitor in damascene structures

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Wolf.S., R.N.Tauber: Silicon Processing in the VLSI era. Volume I. 2nd edition. Sunset Beach : Lattice press, 2000. 776 - 779. - ISBN 0-9616721-6-1 *

Also Published As

Publication number Publication date
US8710660B2 (en) 2014-04-29
US20140021614A1 (en) 2014-01-23
KR20140011908A (ko) 2014-01-29
US9966336B2 (en) 2018-05-08
US9362164B2 (en) 2016-06-07
CN103579181A (zh) 2014-02-12
US20160268194A1 (en) 2016-09-15
US20140213051A1 (en) 2014-07-31
CN103579181B (zh) 2016-10-05
DE102012111786A1 (de) 2014-01-23
KR101411198B1 (ko) 2014-06-23

Similar Documents

Publication Publication Date Title
DE102012111786B4 (de) Hybrid-Verbindungsaufbau und Verfahren zur Herstellung desselben
DE102015107271B4 (de) Zwei- oder mehrteilige Ätzstoppschicht in integrierten Schaltungen
DE102009000625B4 (de) Verfahren zur Herstellung einer Halbleiterkomponente und eines Halbleiterbauelements sowie zugehöriger Zweifach-Damascene-Prozess
DE102017127227B4 (de) Verbindungsstruktur und Verfahren
DE102004010352B4 (de) Reduktion von Schub- bzw. Scherspannungen in Kupfer-Vias in organischem dielektrischen Zwischenschichtmaterial
DE60211915T2 (de) Halbleiterstruktur unter verwendung von opfermaterial und zugeörige herstellungsverfahren
DE102017127530A1 (de) Verbindungsstruktur und Verfahren
DE102015106713B4 (de) Struktur und Verfahren zum Ausbilden einer Dual-Damascene-Struktur
DE102016117486A1 (de) Halbleitervorrichtung und herstellungsverfahren dafür
DE112004001530B4 (de) Versiegelte Poren in Damascene-Strukturen mit Low-k-Material
DE102015108695B4 (de) Ausbilden von Vias um eine Metallleitung herum
DE102016100323B4 (de) Verringern der Dual-Damascene-Verwerfung in integrierten Schaltkreisstrukturen
DE10244570A1 (de) Liner-Schicht mit geringer Stufenüberdeckung zur Verbesserung des Kontaktwiderstands bei W-Kontakten
EP1770726A1 (de) MIM-Kondensator sowie zugehöriges Herstellungsverfahren
DE102020105731B4 (de) Kondensator, metall-isolator-metallstruktur und verfahren zu ihrer herstellung
DE102004001853B3 (de) Verfahren zum Herstellen von Kontaktierungsanschlüssen
DE102019130124A1 (de) Funktionale komponente innerhalb einer verbindungsstruktur einer halbleitervorrichtung und verfahren zum bilden derselben
DE102008044964B4 (de) Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen
DE112019003036T5 (de) Aluminiumkompatibler dünnfilmwiderstand (tfr) und herstellungsverfahren
DE102006036797A1 (de) Einzel-Damascene mit Einwegschablone und Verfahren dafür
DE102014209002A1 (de) Verfahren zum Herstellen integrierter Schaltungen
DE102021100639A1 (de) Verschaltungsstruktur einer halbleitervorrichtung
DE102013104368B4 (de) Vorrichtung, Bauteil und Verfahren für die Ausbildung einer Verbindungsstruktur
DE102020132089A1 (de) Passivierungsstruktur mit erhöhter dicke für metallpads
DE102018200438B4 (de) Voll ausgerichtete Via-Strukturen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R083 Amendment of/additions to inventor(s)
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final