KR100632473B1 - 염기성 물질 확산 장벽막을 사용하는 미세 전자 소자의듀얼 다마신 배선의 제조 방법 - Google Patents

염기성 물질 확산 장벽막을 사용하는 미세 전자 소자의듀얼 다마신 배선의 제조 방법 Download PDF

Info

Publication number
KR100632473B1
KR100632473B1 KR1020040061163A KR20040061163A KR100632473B1 KR 100632473 B1 KR100632473 B1 KR 100632473B1 KR 1020040061163 A KR1020040061163 A KR 1020040061163A KR 20040061163 A KR20040061163 A KR 20040061163A KR 100632473 B1 KR100632473 B1 KR 100632473B1
Authority
KR
South Korea
Prior art keywords
film
forming
wiring
filler
etching
Prior art date
Application number
KR1020040061163A
Other languages
English (en)
Other versions
KR20060012462A (ko
Inventor
이경우
맹재열
김재학
오일환
신홍재
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020040061163A priority Critical patent/KR100632473B1/ko
Priority to US11/195,404 priority patent/US7323407B2/en
Priority to JP2005224614A priority patent/JP5052771B2/ja
Publication of KR20060012462A publication Critical patent/KR20060012462A/ko
Application granted granted Critical
Publication of KR100632473B1 publication Critical patent/KR100632473B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

염기성 물질 확산 장벽막을 사용하는 미세 전자 소자의 듀얼 다마신 배선제조 방법이 제공된다. 듀얼 다마신 제조 방법은 비아를 (RSiO3/2)x(HSiO3/2)y 로 표시되고, x+y=1 이고 0<x<y<1을 만족시키고, R은 C4-C24 알킬, C4-C24 알케닐, C4-C24 알콕시, C8-C24 알켄옥시, C4-C24 치환 탄화수소, C1-C4 비치환 탄화수소, C1-C4 치환 탄화수소인 HSQ계 충전재로 채운 후, 비아를 매립한 충전재와 층간 절연막을 일부 식각하여 비아와 연결되고 배선이 형성될 트렌치를 형성한다. 이어서, 비아에 잔류하는 충전재를 제거하고, 트렌치 및 비아를 배선 물질로 채워서 듀얼 다마신 배선을 완성한다.
듀얼 다마신, 포토레지스트 손상, 염기성 물질, HSQ

Description

염기성 물질 확산 장벽막을 사용하는 미세 전자 소자의 듀얼 다마신 배선의 제조 방법{Fabrication method of dual damascene interconnections of microelectronics device using diffusion barrier layer against base material}
도 1은 종래의 듀얼 다마신 배선 제조 방법을 설명하기 위한 단면도이다.
도 2는 도 1의 방법에 따라 듀얼 다마신 배선용 트렌치를 정의하는 포토레지스트 패턴을 형성한 후 측정한 SEM(Scanning Electron Microscope) 사진이다.
도 3 내지 도 13은 본 발명의 제1 실시예에 따른 듀얼 다마신 배선 제조 방법 공정 단계별 단면도들이다.
도 14 내지 도 23은 본 발명의 제2 실시예에 따른 듀얼 다마신 배선 제조 방법 공정 단계별 단면도들이다.
도 24는 본 발명의 제1 실시예에 따라 듀얼 다마신 배선용 트렌치를 정의하는 포토레지스트 패턴을 형성한 후 측정한 SEM 사진이다.
(도면의 주요 부분에 대한 부호의 설명)
100: 기판, 110: 하부 배선
120: 식각정지막 130: 저유전율 층간절연막
140: 캡핑막 145: 포토레지스트 패턴
150: 비아 162: 염기성 물질 확산 장벽 충전재
185, 285: 포토레지스트막 190, 290: 트렌치
210, 330: 듀얼 다마신 배선 262: 스핀-온 폴리머
282: 염기성 물질 확산 장벽막 284: 반사방지막
본 발명은 미세 전자 소자의 제조 방법에 관한 것으로, 보다 구체적으로는 미세 전자 소자의 듀얼 다마신 배선의 제조 방법에 관한 것이다.
미세 전자 소자의 고성능화 및 고집적화를 위해서 다층 배선 구조가 널리 적용되고 있다. 다층 배선 구조를 채택한 소자를 신뢰도 있게 구현하기 위해서는 각 배선층을 전체적으로 평탄하게 형성해야 한다. 이를 위해 듀얼 다마신 배선이 적용되기 시작했다.
최근 주목받고 있는 듀얼 다마신 배선 방법에 따르면, 비아를 스핀-온 글래스(Spin On Glass), 스핀-온-폴리머(Spin On Polymer), 염료(dye)가 첨가된 SOG 또는 염료가 첨가된 SOP(Spin On Polymer) 등으로 이루어진 충전재(filler)로 채우고 방식을 채택하고 있다. 이는 비아로 인해 트렌치 형성을 위해 도포한 포토레지스트막에 단차가 발생하여 초점 심도(DOF) 마진이 감소하고, 트렌치 식각 및 세정 공정시 식각 정지막이 식각되어 하부 배선이 노출되어 전기적 특성이 불량해지는 것을 방지하기 위한 것이다.
그러나, 도 1에 도시되어 있는 바와 같이, 종래의 충전재(20)의 경우, 비아 (19) 식각시 사용한 질소계 식각 가스 또는 비아(19) 패터닝시 사용한 포토레지스트 패턴을 제거하기 위한 질소계 플라즈마를 사용하는 애슁 공정에 의해 층간 절연막(18) 내에 잔류하게 된 질소 또는 아민 등의 염기성 물질이 충전재(20)를 따라 쉽게 확산(27)되어 아웃개싱(outgassing)된다. 따라서, 트렌치 형성용 마스크(24)의 투광 영역(25)을 통과한 노광광(26)에 의해 노광된 포토레지스트막(22) 내의 노광부(22b) 내에 발생한 산(H+)을 중화시켜 비아(19) 상부와 주변의 노광부(22b)가 현상액에 용해되지 않고, 그 결과 포토레지스트 패턴이 제대로 형성되지 않는 포토레지스트 결함(Photoresist Poisoning)을 유발한다. 도 1에서 미설명 부호 10은 기판을, 12는 하부 층간절연막을, 14는 하부 배선을, 16은 식각 정지막을 각각 나타낸다.
도 2는 종래의 염료가 첨가된 MSQ(MethylSilsesQuioxane)를 충전재로 사용하여 포토레지스트 패턴을 형성한 후 측정한 SEM(Scanning Electron Microscopy) 사진이다. 도 2에 도시되어 있는 바와 같이 비아(19) 상부에 프로파일이 불량한 포토레지스트 패턴이 형성되거나 포토레지스트 패턴 자체가 형성되지 않는 포토레지스트 결함이 발생함을 알 수 있다.
따라서, 듀얼 다마신 배선을 신뢰성 있게 제조하는 방법의 개발이 요구된다.
본 발명이 이루고자 하는 기술적 과제는 듀얼 다마신 배선을 신뢰성 있게 제조할 수 있는 방법을 제공하고자 하는 것이다.
상기 기술적 과제를 달성하기 위한 본 발명의 실시예는 (a)기판 상에 저유전율 절연막을 형성하는 단계; (b)상기 절연막내에 비아를 형성하는 단계; (c)상기 비아를 (RSiO3/2)x(HSiO3/2)y로 표시되고, x+y=1 이고 0<x<y<1을 만족시키고, R은 C4-C24 알킬, C4-C24 알케닐, C4-C24 알콕시, C8-C24 알켄옥시, C4-C24 치환 탄화수소, C1-C4 비치환 탄화수소 또는 C1-C4 치환 탄화수소인 HSQ계 충전재로 채우는 단계; (d)상기 비아를 매립한 상기 충전재와 상기 절연막을 일부 식각하여 상기 비아와 연결되고 배선이 형성될 트렌치를 형성하는 단계; (e)상기 비아에 잔류하는 상기 충전재를 제거하는 단계; 및 (f)상기 트렌치 및 상기 비아를 배선 물질로 채워서 듀얼 다마신 배선을 완성하는 단계를 포함한다.
상기 기술적 과제를 달성하기 위한 본 발명의 다른 실시예는 (a)기판 상에 저유전율 층간절연막을 형성하는 단계; (b)상기 층간절연막내에 비아를 형성하는 단계; (c)상기 비아를 채우는 스핀 온 폴리머로 이루어지고 상기 층간절연막을 덮는 마스크용 충전재를 형성하는 단계; (d)상기 충전재 상부에 HSQ 또는 (RSiO3/2)x(HSiO3/2)y로 표시되고, x+y=1 이고 0<x<y<1을 만족시키고, R은 C4-C24 알킬, C4-C24 알케닐, C4-C24 알콕시, C8-C24 알켄옥시, C4-C24 치환 탄화수소, C1-C4 비치환 탄화수소 또는 C1-C4 치환 탄화수소인 HSQ계 물질로 염기성 물질 확산 장벽막을 형성하는 단계; (e)상기 확산장벽막 상에 배선이 형성될 트렌치 영역을 정의하는 포토레지스트 패턴을 형성하는 단계; (f)상기 포토레지스트 패턴을 식각마스크로 하여 상기 염기성 물질 확산 장벽막을 식각하여 확산 장벽막 패턴을 형성 하는 단계; (g)상기 포토레지스트 패턴과 상기 확산 장벽막 패턴을 식각마스크로 사용하여 상기 층간 절연막상의 상기 충전재를 식각하여 상기 확산 장벽막 패턴과 상기 충전재 패턴으로 이루어진 멀티 스택 마스크를 형성하는 단계; 및 (h)상기 멀티 스택 마스크를 식각마스크로 하여 상기 층간절연막을 일부 식각하여 상기 비아와 연결되고 배선이 형성될 트렌치를 형성하는 단계; (i)상기 멀티 스택 마스크 및 상기 비아 내에 잔류하는 상기 충전재를 제거하는 단계; (j)상기 비아에 의해 노출된 상기 식각 정지막을 제거하여 상기 하부 배선을 노출시키는 단계; 및 (k)상기 트렌치 및 상기 비아를 배선 물질로 채워서 듀얼 다마신 배선을 완성하는 단계를 포함한다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다. 따라서, 본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
본 발명의 실시예들에서는 듀얼 다마신 배선의 RC 신호지연을 방지하고 상호 간섭 및 전력 소비의 증가를 억제하기 위해서, 듀얼 다마신 배선이 형성되는 층간절연막을 저유전율 물질로 형성할 것이다. 저유전율 물질이란 적어도 유전율이 3.3 이하의 물질을 지칭한다. 유전율이 3.3 이하인 물질 중, 하이브리드형 저유전율 물질이 사용될 수 있다. 하이브리드형 저유전율 물질은 저유전율 특성을 가지는 유기물의 장점과, 기존의 실리콘 산화막의 구조가 일부 변형된 형태로 기존의 장비 및 공정을 크게 변화시키지 않고도 사용할 수 있으며 열적 안정성도 뛰어난 무기물의 장점을 모두 겸비한 물질이다.
본 발명의 예시적인 실시예들에서는 질소 또는 아민 등의 염기성 물질의 확산 장벽막 역할을 할 수 있는 물질을 비아 충전재로 사용하거나 멀티 스택형 마스크의 마스크층으로 사용할 것이다. 나아가, 비아 충전재 또는 마스크층을 구성하는 물질로 염기성 물질에 대한 확산 장벽막 기능과 함께 반사 방지 기능을 동시에 가지는 물질을 사용할 수도 있다. 이와 같은 물질을 사용함으로써 신뢰도 높고 강건한(robust) 듀얼 다마신 배선 제조 방법을 제공할 것이다.
본 발명의 듀얼 다마신 배선 제조 방법이 적용되는 소자는 고집적회로 반도체 소자, 프로세서, MEM's(Micro Electro Mechanical) 소자, 광전자 (optoelectronic) 소자, 디스플레이 소자(display device) 등의 미세 전자 소자이다. 특히, 본 발명의 듀얼 다마신 배선 제조 방법은 고속 특성이 요구되는 CPU(CentralProcessor Unit), DSP(Digital Signal Processor), CPU 와 DSP의 조합, ASIC(Application Specific Integrated Circuit), 로직 소자, SRAM 등에 더욱 유용할 것이다.
이하 본 발명의 실시예들에서는 하부 배선을 노출시키는 개구부를 비아라 칭하고 배선이 형성될 영역을 트렌치라 칭한다. 또, 미스얼라인이 발생하더라도 비아 의 크기가 그대로 유지될 수 있는 방법인 비아 선행(via first) 듀얼 다마신 배선 제조 방법을 예로 들어 듀얼 다마신 배선 제조 방법을 설명할 것이다.
이하 도 3 내지 도 13을 참고하여 본 발명의 제1 실시예에 따른 듀얼 다마신 배선 제조 방법에 대해서 설명한다.
먼저, 도 3에 도시되어 있는 바와 같이 듀얼 다마신 배선 제조 방법이 적용될 기판(100)을 준비한다. 듀얼 다마신 배선 제조 방법이 적용될 기판(100) 위에는 하부 배선(110)을 구비하는 하부 층간절연막(105)이 형성되어 있다. 기판(100)으로는 실리콘 기판, SOI(Silicon On Insulator) 기판, 갈륨 비소 기판, 실리콘 게르마늄 기판, 세라믹 기판, 석영 기판, 또는 디스플레이용 유리 기판 등을 예로 들 수 있다. 기판(100) 상에는 다양한 종류의 능동 소자 및 수동 소자 등을 포함할 수 있다. 하부 배선(110)은 다양한 종류의 배선 물질, 예컨대, 구리, 구리 합금, 알루미늄, 알루미늄 합금 등으로 이루어질 수 있다. 저저항 관점에서 하부 배선(110)은 구리로 형성되는 것이 바람직하다. 하부 배선(110)의 표면 또한 평탄화되어 있는 것이 바람직하다.
도 4를 참조하면, 하부 배선(110)이 형성되어 있는 기판(100) 전면에 식각 정지막(120), 저유전율 층간 절연막(130), 캡핑막(140)을 차례대로 형성한 후, 캡핑막(140) 위에 비아를 정의하는 포토레지스트 패턴(145)을 형성한다.
식각 정지막(120)은 후속의 비아 형성을 위한 건식 식각 공정시 그리고 트렌치 형성 후 잔류하는 충전재 제거를 위한 습식 식각 공정시 하부 배선(110)이 식각 공정에 노출되어 전기적 특성이 손상되는 것을 방지하기 위하여 형성한다. 따라서, 식각 정지막(120)은 그 위에 형성되는 층간절연막(130)에 대한 식각 선택비가 큰 물질로 형성한다. 바람직하기로는 식각 정지막(120)은 유전율이 4-5 인 SiC, SiN, SiCN 등으로 형성한다. 식각 정지막(120)의 두께는 전체 층간 절연막의 유전율에 미치는 영향을 고려하여 가능한 최소화하되 식각 정지막(120)으로서의 기능을 수행하기에 충분한 두께로 형성한다.
층간 절연막(130)은 적어도 유전율이 3.3 이하의 저유전율 물질로 형성할수 있다. 이는 앞에서도 설명한 바와 같이 하부 배선(110)과 형성하고자 하는 듀얼 다마신 배선 간의 RC 신호 지연을 방지하고 상호 간섭 및 전력 소비의 증가를 억제하기 위해서이다. 유전율이 3.3 이하인 물질로는 유기물의 저유전율 특성과 기존의 장비 및 공정을 그대로 사용할 수 있으며 열적 안정성이 뛰어난 무기물의 특성을 모두 가진 하이브리드형 저유전율 물질이 적합하다. 하이브리드형 저유전율 물질로는 저유전율 OSG(low k OrganoSilicateGlass)가 효과적으로 사용될 수 있다. 저유전율 OSG로 층간 절연막(130)을 형성할 경우에는 CVD(Chemical Vapor Deposition)법으로, 보다 구체적으로는 PECVD(Plasma Enhanced CVD)법으로 형성한다. OSG막 내의 탄소의 함량이 많으면 많을수록 유전율은 감소하나 열적 기계적 특성이 저하된다. 그런데, CVD로 OSG 막을 형성하면 OSG 막내의 탄소의 함량을 조절함으로써 OSG 막의 유전율과 열적 기계적 특성이 모두 만족스럽도록 조절할 수 있다. 따라서, CVD법에 의해 형성된 OSG 막이 층간 절연막(130)으로 적합하다. CVD 법에 의해 OSG 막을 형성할 때 사용하는 소오스 가스(탄소, 실리콘, 산소 소오스 가스 등), CVD 챔버, 공정 조건(온도, 시간) 등은 당업자에게 공지된 기술을 사용하여 다양하게 변형하여 실시할 수 있음은 물론이다. CVD 법으로 OSG를 제조하는 방법은 당업자에게 공지된 방법 또는 미국 특허 제6,455,445호, 제6,432,846호, 제6,514,880호, 제6,559,520호, 제6,352,945호, 제6,383,955호, 제6,410,463호 및 대한민국등록특허 제0364053호에 개시된 방법에 의해 진행할 수 있으며, 이 특허들은 본 명세서에 충분히 개시된 것처럼 원용되어 통합된다. 층간 절연막(130)은 3000 내지 20000Å 두께로, 바람직하기로는 6000 내지 7000Å 두께로 형성한다. 그러나, 층간 절연막(130)의 두께는 당업자에 의해 다양하게 변형가능함은 물론이다.
캡핑막(140)은 듀얼 다마신 배선의 평탄화를 위한 CMP시, CMP가 안정적으로 층간 절연막(130) 손상없이 진행될 수 있도록 하기 위하여 형성한다. 따라서 SiO2, SiOF, SiON, SiC, SiN, SiCN 등으로 형성한다. 더하여 캡핑막(140)은 후속 트렌치 형성을 위한 사진식각 공정에서 반사방지기능도 하는 것이 바람직하다. 따라서, 캡핑막(140)은 상기 열거한 물질들 중에서도 SiO2, SiON, SiC, SiCN 등으로 형성하는 것이 더욱 바람직하다. 그러나, CMP 공정의 조절만으로도 층간 절연막(130)의 손상을 방지할 수 있고, 후속 공정에서 반사방지기능을 가진 물질층이 형성된다면 캡핑막(140)의 형성은 선택적으로 생략할 수도 있다.
포토레지스트 패턴(145)은 248nm 이하의 광원에 적합한 포토레지스트를 도포한 후, 비아를 정의하는 포토마스크를 사용한 노광 및 현상을 거쳐 형성한다.
도 5를 참조하면, 포토레지스트 패턴(145)을 식각마스크로 사용하여 층간 절연막(130)을 건식 식각(147)하여 비아(150)를 형성한다. 층간 절연막(130)의 식각 은 CxFy 또는 CxHyFz 등의 주 식각 가스와 Ar 가스 등의 불활성 가스의 혼합 가스 또는 여기에 O2, N2, 및 COx 로부터 선택된 하나 이상의 가스가 더 첨가된 혼합 가스를 사용하는 반응성 이온 식각(RIE)으로 진행한다. 이 때, 층간 절연막(130)만 선택적으로 식각하고 식각 정지막(120)은 식각하지 않도록 RIE 조건들을 조절하여 진행한다.
도 6을 참조하면, 포토레지스트 패턴(145)을 제거한 후, 비아(150)를 충전재(162)로 충전한다. 포토레지스트 패턴(145)은 수소계(H2 based) 플라즈마 처리 후 스트리퍼를 사용하는 공정으로 제거한다. 수소계 플라즈마는 H2, N2/H2, NH3/H2, He/H2 또는 이들의 혼합 가스로부터 얻어진 플라즈마를 칭한다. 포토레지스트 패턴 제거에 널리 사용되는 O2 에슁(ashing)에 의해 포토레지스트 패턴(145)을 제거하면, 탄소를 포함하여 유기물의 성질을 가지고 있는 층간 절연막(130)이 O2 플라즈마에 의해 손상될수 있으므로 수소계 플라즈마를 사용한다.
비아 충전재(162)는 후속의 트렌치를 정의하기 위한 포토레지스트 패턴을 형성하기 위한 노광 공정시 층간 절연막(130) 내에 포함되어 있는 질소 또는 아민 등의 염기성 물질이 포토레지스트막으로 확산되는 것을 차단할 수 있는 물질로 형성한다. 나아가 비아 충전재(162)는 노광광의 반사 방지 기능을 가지는 물질로 형성한다. 또, 비아 충전재(162)는 갭 충전(gap fill) 능력이 우수한 물질로 형성한다. 한편, 층간 절연막(130)과 건식 식각율이 실질적으로 동일하거나 비아 충전재 (162): 층간 절연막(130)의 건식 식각비가 4:1 이하가 될 수 있는 특성을 가진물질로 형성한다. 더하여, 후속 습식 식각 공정에서 층간 절연막(130)에 비해 매우 빠른 습식 식각 속도를 가질 수 있는 특성을 가진 물질로 형성한다. 바람직하기로는, 비아 충전재(162): 층간절연막(130)의 습식 식각비가 20:1 이상인 특성을 지닌 물질로 비아 충전재(162)를 형성한다.
따라서, 비아 충전재(162)는 HSQ의 기본적인 백본을 유지하면서 다양한종류의 탄화수소기가 백본의 일부에 치환기로 결합된 물질인 것이 상기 조건들 충족에 가장 적합하다. 이하 탄화수소가 치환된 HSQ는 HSQ계 물질이라 칭한다. 본 발명의 제1 실시예의 비아 충전재(162)로 적합한 HSQ계 물질은 하기식 1로 일반화하여 표현할 수 있다.
[식 1]
(RSiO3/2)x(HSiO3/2)y
상기 식중, 상기 x, y는 x+y=1 & 0<x<y<1 를 만족시키고,
상기 R은 C4-C24 알킬, C4-C24 알케닐, C4-C24 알콕시, C8-C24 알켄옥시, C4-C24 치환 탄화수소, C1-C4 비치환 탄화수소, C1-C4 치환 탄화수소임,
"알킬" "알케닐" "알콕시" 및 "알켄옥시" 는 지방족 탄화수소, 지환족 탄화수소, 방향족 탄화수소를 모두 포괄하기 위한 용어들이다. 그리고, "치환된 탄화수소"는 C와 H를 포함하며, HSQ와 실질적으로 반응하지 않는 적어도 하나의 원자를 포함하는 구조를 지칭한다. 또, "비치환된 탄화수소"는 메틸, 에틸, 프로필, 부틸, t-부틸과 같이 C와 H를 포함하는 구조를 지칭한다.
예를 들면, R은 C4-C24 알킬, 나아가 C6-C24 알킬, 더 나아가 C16-C22 알킬일 수 있다. 또, R은 C4-C24 알케닐, 나아가 C8-C24 알케닐일 수 있다. 또, R은 C4-C24 알콕시, 나아가 C16-C22 알콕시일 수 있다. 또, R은 C8-C24 알켄옥시, 나아가 C16-C22 알켄옥시일 수 있다.
또, R은 테트라메틸 하이드록시헥사데실 또는 옥타데실일 수 있다. 또, R은 t-부톡시일 수 있다.
또, 비아 충전재(162)는 용해 억제제를 포함하는 것이 바람직하다. 용해 억제제는 포토레지스트막의 현상액에 대한 용해를 억제할 수 있는 물질로 당업자에게 공지된 물질이 사용될 수 있다. 용해 억제제의 기능은 후술한다.
상기 물질은 이소부틸 이소부티레이트, 메시틸렌, 사이클로헥사논, 자일렌, 메틸 이소부틸케톤, 이들의 혼합물등 적절한 용매에 용해된 후 스핀 코팅법에 의해 비아(150)를 모두 채우도록 형성될 수 있다. 비아 충전재(162)는 비아(150)만을 채우도록 형성할 수도 있고 캡핑막(140) 위에 소정 두께로 형성되도록 형성할 수도 있으나 후자의 경우가 공정 조절 마진 측면에서 보다 바람직하다. 바람직하기로는 비아(150) 밀도가 낮은 영역에 형성되는 비아 충전재(162)의 높이(T1)와 비아(150) 밀도가 높은 영역에 형성되는 비아 충전재(162)의 높이(T2)의 차이(T1-T2)가 2000Å 이하가 되도록 하는 것이 촛점 심도 마진 확보 측면에서 바람직하다. 상술한 비아 충전재를 구성하는 물질은 코팅 조건(recipe), 비아(150)들 사이의 간격, 비아(150) 임계 치수 및 높이 등의 변수를 고려하여 그 두께를 쉽게 조절할 수 있으며 상기 제한 조건(T1-T2≤2000Å)을 용이하게 맞출 수 있다.
도 7을 참조하면, 비아 충전재(162)의 표면을 플라즈마(170) 처리한다. 플라즈마(170) 처리는 O2, H2, He, NH3, N2, Ar, 또는 이들의 혼합 가스의 플라즈마(170)를 사용하여 상온 내지 500℃의 온도에서 1초 내지 120초간 진행한다. 비아 충전재(162) 내에 질소가 잔류하지 않도록 하기 위해서 O2, H2, He, Ar 또는 이들의 혼합 가스의 플라즈마를 사용하는 것이 더욱 바람직할 수 있다. 플라즈마(170) 처리에 의해 비아 충전재(162)의 표면이 치밀화(densify)된다. 플라즈마(170) 처리 목적 중의 하나는 비아 충전재(162)가 포토레지스트 현상액에 용해되는 것을 방지하기 위한 것이다. 따라서, 비아 충전재(162)가 용해 억제제를 포함할 경우에는 플라즈마(170) 처리를 생략할 수도 있다.
도 8을 참조하면, 포토레지스트막(185)을 형성한 후, 트렌치를 정의하는 마스크(200)를 사용하여 포토레지스트막(185)을 노광한다. 마스크(200)의 투광영역(201)을 통과한 248nm, 193nm 또는 그 이하 파장의 노광원이 포토레지스트막(185)에 조사되면 포토레지스트막(185)의 노광부(185b)에 포함되어 있는 광산발생제로부터 산(H+)이 발생한다. 이 때, 노광부(185b) 하부의 충전재(162)가 포토레지스트막(185b)을 투과한 빛이 다시 포토레지스트막(185)으로 다시 반사되는 것을 방지한다. 노광부(185b) 내에 발생한 산(H+)에 의해 노광부(185b)를 구성하는 포토레지스트막이 현상액에 용해가능한 물질로 가수분해된다. 노광후 베이크에 의해 산가수분해가 더 활발해진다. 노광 및 노광후 베이크시, 비아 충전재(162)는 질소 또는 아 민에 대한 확산 장벽막으로 기능한다. 따라서, 비아(150) 식각시 사용한 질소계 식각 가스 또는 비아(150)를 정의한 포토레지스트 패턴(도 4의 145)을 제거하기 위한 질소를 포함하는 플라즈마 처리에 의해 층간 절연막에 잔류하게 된 질소 또는 아민등의 염기성 물질(N:)이 비아 충전재(162)를 따라 확산(점선)되어 노광부(185b)에 발생한 산을 중화시켜 포토레지스트 결함을 발생시키는 기작이 방지된다.
도 9를 참조하면, 포토레지스트 패턴(185a)을 형성한다. 노광후 베이크된 포토레지스트막(185)을 테트라메틸 암모늄 하이드록사이드 현상액에 담그면 노광부(185b)만 현상액에 용해되어 제거되므로 도 9에 도시되어 있는 바와 같은 포토레지스트 패턴(185a)이 형성된다. 이 때, 노광부(185b) 하부의 비아 충전재(160)가 플라즈마 처리된 경우에는 현상액에 의해 비아 충전재(160)가 손상되지 않는다. 또, 비아 충전재(160)가 용해 억제제를 포함하는 경우에도 현상액에 의해 비아 충전재(160)가 손상되지 않는다.
도 10은 트렌치(190)를 형성하는 단계를 나타낸다. 포토레지스트 패턴(185)을 식각마스크로 사용하여 비아 충전재(162), 캡핑막(140)을 차례대로 식각한 후, 층간절연막(130) 및 비아 충전재(162)를 소정 깊이로 식각하여 트렌치(190)를 형성한다. 트렌치(190) 식각은 건식 식각(187)으로 진행하되 층간절연막(130) 및 비아 충전재(162)의 식각율이 실질적으로 동일하거나 비아 충전재(162): 층간 절연막(130)의 식각비가 4:1 이하가 될 수 있는 조건으로 진행한다. 따라서, 비아 충전재(162)와 층간 절연막(130)의 식각율 차이로 인하여 비아 충전재(162) 측벽에 층간절연막(130)이 식각되지 않고 잔류하는 펜스와 같은 결함이 발생하지 않고, 비아 충전재(162)가 여전히 비아(150) 내에 일부 잔류하므로 식각 정지막(120)이 식각에 노출되어 하부 배선(110)이 손상되는 것을 방지할 수 있다. 층간절연막(130)이 OSG로 비아 충전재(162)가 상술한 HSQ계 물질로 형성된 경우 두 물질 모두 무기물의 성격을 지니고 있으므로, CxFy 또는 CxHyFz 등의 주 식각가스와 Ar 가스 등의 불활성 가스의 혼합 가스 또는 여기에 O2, N2, 및 COx 로부터 선택된 하나 이상의 가스가 더 첨가된 혼합 가스를 사용하는 RIE로 진행하면 상기 조건을 충족시킬 수 있다.
도 11은 포토레지스트 패턴(185a) 및 잔류하는 비아 충전재(162)를 제거한 결과물을 나타내는 단면도이다. 트렌치(190) 식각이 완료된 후, 포토레지스트 패턴(185a)을 H2, N2/H2, NH3/H2, He/H2 또는 이들의 혼합 가스로부터 얻어진 수소계 플라즈마를 사용하는 애슁(ashing) 공정으로 제거한다. 이어서, 비아 충전재(162)를 제거하여 비아(150)와 트렌치(190)로 구성된 듀얼 다마신 배선 영역(195)를 형성한다. 비아 충전재(162) 제거를 위한 식각은 습식 식각으로 진행한다. 층간 절연막(130)은 거의 식각되지 않고 비아 충전재(162)만 선택적으로 식각할 수 있는 조건으로, 적어도 비아 충전재(162): 층간절연막(130)의 습식 식각비가 20:1 이상이 될 수 있는 조건으로 진행한다. 또 식각 정지막(120)에 대해서 비아 충전재(162)를 선택적으로 제거할 수 있도록 식각이 진행되어야 한다. 층간절연막(130)이 유기물적인 성격을 지니는 반면, 비아 충전재(162)의 주 성분이 무기물이고 식각 정지막(120)도 무기물로 형성되므로 무기물 제거에 선택비가 큰 식각액을 사용하면 상기 조건을 만족시킬 수 있다. 특히, 비아 충전재(162)가 상기 HSQ계 물질로, 층간 절연막(130)이 OSG로, 식각 정지막(120)이 SiC(N)으로 형성된 경우, 100:1 이상으로 탈이온수에 희석된 HF 용액, NH4F, HF와 탈이온수의 혼합액인 BOE(Buffered Oxide Etchant) 또는 디메틸아세틸아미드를 포함하는 유기 용액에 담그는 방식으로 습식 식각을 진행하면 HSQ계 물질:OSG:SiC(N) 식각비를 100:1:1 보다 높게 할 수 있다. 따라서, 비아 충전재(162) 제거시 층간 절연막(130)도 식각되어 트렌치(190)의 임계 치수를 정확히 조절할 수 없던 종래의 문제점이 해결된다. 상기 비아 식각, 트렌치 식각, 습식 식각에 관한 자세한 내용은 본 출원과 공동 양도된 대한민국출원 제2002-57192호에 개시되어 있으며, 상기 출원은 본 명세서에 충분히 개시된 것처럼 원용되어 통합된다.
도 12를 참고하면, 비아(150)에 노출되어 있는 식각정지막(120)을 식각하여 하부 배선(110)을 노출시켜 비아(150)와 트렌치(190)로 구성된 듀얼 다마신 영역(195)을 완성한다. 식각정지막(120) 식각은 하부 배선(110)에 영향을 미치지 않고 식각정지막(120)만을 선택적으로 제거할 수 있는 식각 조건으로 실시한다.
도 13을 참고하면, 듀얼 다마신 영역(195)에 도전막을 형성한 후, 평탄화하여 듀얼 다마신 배선(210)을 완성한다. 도전막은 알루미늄, 텅스텐, 구리 또는 이들의 합금을 포함할 수 있으며, 저저항의 관점에서는 구리가 가장 바람직하다. 또, 확산장벽막 및 주배선막의 적층구조로 형성될 수 있으며, 구리 배선의 형성은 당업자에게 공지된 기술들을 사용하여 다양하게 변형될 수 있다.
상기 도 3 내지 도 13을 참조하여 설명한 비아 선행 듀얼 다마신 배선 제조 방법을 트렌치 선행 듀얼 다마신 배선 제조 방법에 적용할 수 있음은 물론이다.
도 14 내지 도 23을 참조하여, 본 발명의 제2 실시예에 따른 듀얼 다마신 배선 제조 방법에 대해서 설명한다. 본 발명의 제2 실시예에 따른 듀얼 다마신 배선 제조 방법은 멀티 스택 마스크를 사용하여 트렌치를 형성하는 방법에 관한 것이다.
먼저, 도 14를 참조하면, 비아(150)를 형성하는 단계까지는 제1 실시예에서 설명한 바와 동일한 방법으로 진행한다. 이어서, 비아(150)를 마스크용 비아 충전재(262)로 채운다. 마스크용 비아 충전재(262)는 제1 실시예에서 설명한 바와 같이 트렌치 식각 및 세정 공정시 식각 정지막(120)이 식각되어 하부 배선(110)이 노출되어 전기적 특성이 불량해지는 것을 방지하기 위한 기능과 함께 트렌치 식각시의 식각 마스크로서의 기능을 동시에 수행하기 위해 형성한다. 0.18㎛ 나아가 90㎚ 이하의 디자인 룰로 미세 선폭의 듀얼 다마신 배선을 형성하기 위해서는 심자외선(Deep UV), 즉 248nm 이하의 노광광에 대해 투명한 포토레지스트막을 형성하여야 한다. 그러나, 심자외선용 포토레지스트막은 건식 식각 내성이 약하여 트렌치 형성을 위한 건식 식각시 포토레지스트 패턴이 제거되어 식각 공정 불량을 유발하는 경향이 있다. 따라서, 단일 포토레지스트 패턴 대신 멀티 스택형 마스크를 형성하기 위하여 마스크용 비아 충전재(262)를 형성한다.
그러므로, 마스크용 비아 충전재(262)는 갭 필 특성이 우수할 뿐만 아니라 트렌치 식각을 위한 건식 식각에 대한 내성을 가진 물질로 형성한다. 또, 상부에 형성되는 포토레지스트막의 노광시 노광되지 않는 것이 바람직하므로, 사진 식각 공정시 사용되는 248nm, 193nm 또는 그 이하 파장을 가지는 노광광에 대해 불투명한 물질이 사용될 수 있다. 따라서, 마스크용 비아 충전재(262)로는 스핀-온 폴리머(SOP)를 사용할 수 있다. 스핀-온 폴리머의 예로는 i-라인용 포토레지스트를 들 수 있다.
스핀 코팅법 등에 의해 비아(150)를 모두 채우는 마스크용 비아 충전재(262)를 형성한다. 후속 식각 마스크로서의 기능을 고려하건대, 마스크용 비아 충전재(262)는 층간절연막(130)과 캡핑막(140) 상에 소정 높이로 형성될 수 있다. 예컨대, 1000-10000 Å두께로 형성할 수 있다.
도 15를 참조하면, 비아 충전재(262) 상부에 염기성 물질 확산 장벽막(282)을 형성한다. 염기성 물질 확산 장벽막(282)은 후속의 트렌치를 정의하는 포토레지스트 패턴을 형성하기 위한 노광 공정시 층간 절연막(130) 내에 포함되어 있는 질소 또는 아민 등의 염기성 물질이 포토레지스트막으로 확산되는 것을 차단할 수 있는 물질로 형성한다. 나아가 염기성 물질 확산 장벽막(282)은 노광광의 반사 방지 기능을 가지는 물질 및/또는 용해 억제제를 더 포함하는 물질로 형성한다. 따라서, 염기성 물질 확산 장벽막(282)은 제1 실시예에서 언급한 HSQ계 물질 또는 HSQ가 적합하다.
이후, 도면에는 도시하지 않았으나, 염기성 물질 확산 장벽막(282)의 표면을 플라즈마 처리하는 단계를 더 실시할 수 있다. 플라즈마 처리는 O2, H2, He, NH3 , N2, Ar, 또는 이들의 혼합 가스의 플라즈마를 사용하여 상온 내지 500℃의 온도에서 1초 내지 120초간 진행한다. 염기성 물질 확산 장벽막(282) 내에 질소가 잔류하지 않도록 하기 위해서 O2, H2, He, Ar 또는 이들의 혼합 가스의 플라즈마를 사용하는 것이 더욱 바람직할 수 있다. 플라즈마 처리에 의해 염기성 물질 확산 장벽막(282)의 표면이 치밀화된다. 플라즈마 처리 목적 중의 하나는 염기성 물질 확산 장벽막(282)이 포토레지스트 현상액에 용해되는 것을 방지하기 위한 것이다. 따라서, 염기성 물질 확산 장벽막(282)이 용해 억제제를 포함할 경우에는 플라즈마 처리를 생략할 수도 있다.
도 16을 참조하면, 염기성 물질 확산 장벽막(282) 상에 반사방지막(284)을 형성한다. 반사방지막(284)은 무기 반사방지막 또는 유기 반사방지막 모두 가능하나 유기 반사방지막인 것이 제거의 용이성 관점에서 유리하다. 반사방지막(284)은 당업자에게 공지된 248nm, 193nm 또는 그 이하 파장의 노광원을 흡수할 수 있는 반사방지물질 또는 본 출원과 공동 양도된 미국 출원 제10/400,029호에 개시되어 있는 물질이 사용될 수 있으며, 상기 출원은 본 명세서에 충분히 개시된 것처럼 원용되어 통합된다. 반사방지막(284)은 500 내지 700 Å 두께로 형성한다. 염기성 물질 확산 장벽막(282)이 반사 방지 기능을 가지는 물질로 형성될 경우에는 반사방지층(284)의 형성을 생략할 수 있다.
계속해서, 반사방지층(284) 상부에 248nm, 193nm 또는 그 이하 파장을 가지는 노광광(302)에 대해 투명한 포토레지스트막(285)을 형성한 후, 트렌치를 정의하는 마스크(300)를 사용하여 포토레지스트막(285)을 노광한다. 마스크(300)의 투광 영역(301)을 통과한 파장의 노광광(302)이 포토레지스트막(285)에 조사되면 포토레지스트막(285)의 노광부(285b)에 포함되어 있는 광산발생제로부터 산(H+)이 발생한다. 이 때, 노광부(285b) 하부의 반사 방지막(284)이 포토레지스트막(185b)을 투과한 빛이 다시 포토레지스트막(285)으로 반사되는 것을 방지한다. 염기성 물질 확산 장벽막(282)이 반사 방지 기능을 가져서 반사 방지막(284)이 형성되지 않은 경우에는 염기성 물질 확산 장벽막(282)이 빛의 반사를 방지할수도 있다. 노광부(285b) 내에 발생한 산(H+)에 의해 노광부(285b)를 구성하는 포토레지스트막이 현상액에 용해가능한 물질로 가수분해된다. 노광후 베이크에 의해 산가수분해가 더 활발해진다.
노광 및 노광후 베이크시, 염기성 물질 확산 장벽막(282)이 염기성 물질의 확산을 차단한다. 따라서, 비아(150) 식각시 사용한 질소계 식각 가스 또는 비아(150)를 정의한 포토레지스트 패턴을 제거하기 위한 질소를 포함하는 플라즈마 처리에 의해 층간 절연막에 잔류하게 된 질소, 아민등의 염기성 물질(N:)이 비아 충전재(262)를 따라 확산(점선)되어 노광부(285b)에 도달하는 것을 효과적으로 차단한다. 그러므로, 아웃개싱된 염기성 물질에 의해 노광부(285b)에 발생한 산이 중화되어 포토레지스트 결함이 발생되는 기작이 방지된다.
도 17을 참조하면, 포토레지스트 패턴(285a)을 형성한다. 노광후 베이크된 포토레지스트막(285)을 테트라메틸 암모늄 하이드록사이드 현상액에 담그면 노광부(285b)만 현상액에 용해되어 제거되므로 도 17에 도시되어 있는 바와 같은 포토레지스트 패턴(285a)이 형성된다. 이 때, 반사방지막(284)이 있으므로 염기성 물질 확산 장벽막(282)이 현상액에 노출되지 않는다. 염기성 물질 확산 장벽막(282)이 플라즈마 처리된 경우에는 반사방지막(284)이 형성되어 있지 않더라도 현상액에 의해 손상되지 않는다. 또, 염기성 물질 확산 장벽막(282)이 용해 억제제를 포함하는 경우에도 반사방지막(284)을 형성하지 않더라도 현상액에 의해 염기성 물질 확산 장벽막(282)이 손상되지 않는다.
도 18을 참조하면, 포토레지스트 패턴(285a)을 식각마스크로 사용하여 건식 식각(307)을 진행하여 포토레지스트 패턴(285a) 이미지가 전사된 반사방지막 패턴(284a)과 염기성 물질 확산 장벽막 패턴(282a)를 형성한다. 건식 식각(307)은 CxFy 또는 CxHyFz 등의 주 식각가스와 Ar 가스 등의 불활성 가스의 혼합 가스 또는 여기에 O2, N2, 및 COx 로부터 선택된 하나 이상의 가스가 더 첨가된 혼합 가스를 사용하는 RIE로 진행하면 상기 조건을 충족시킬 수 있다.
도 19를 참조하면, 마스크용 비아 충전재(262)를 건식 식각(317)하여 충전재 패턴(262a)과 염기성 물질 확산 장벽막 패턴(282a)으로 이루어진 멀티 스택트렌치 형성용 마스크를 형성한다. 건식 식각(317)시 상부의 포토레지스트 패턴(285a)과 반사방지막 패턴(284a)도 함께 제거되는 것이, 후속 트렌치 형성시의 어스펙트 비를 낮추는데 유리하다. 이 때, 비아(150) 내의 마스크용 비아 충전재(262)도 식각되어 일부(262b)가 잔류한다. 후속의 트렌치 식각 공정을 고려하건대, 잔류하는 비아 충전재(262b)의 상부면은 트렌치의 바닥면보다 낮게 잔류하도록 조절할 수 있다. 건식 식각(317)은 캡핑막(140)과 층간절연막(130)은 식각하지 않고 선택적으로 마스 크용 비아 충전재(262)를 식각할 수 있는 조건으로 실시한다. 예컨대, H2, N2/H2 , NH3/H2, He/H2 또는 이들의 혼합 가스를 주 가스로 사용하고 CxHyFz 가스를 보조 가스로 사용하고, O2 가스등을 첨가하는 건식 식각으로 실시할 수 있다.
도 20을 참조하면, 멀티 스택 마스크를 식각 마스크로 사용하여 캡핑막(140) 및 층간 절연막(130)을 건식식각(327)하여 트렌치(290)를 형성한다. 트렌치 형성용 마스크(262a)는 건식 식각 내성이 큰 SOP 등으로 형성되므로 트렌치 형성용 마스크(262a)는 건식식각 동안 손상되지 않고 마스크로서의 기능을 충실히 수행하여 프로파일이 양호한 트렌치(290)가 형성될 수 있도록 한다. 건식식각(327)시 염기성 물질 확산 장벽막 패턴(282a)은 제거되도록 하는 것이 후속단계에서 트렌치 형성용 마스크(262a) 제거 공정을 용이하게 한다. 건식 식각(327)시 이전 단계에서 잔류하던 마스크용 비아 충전재(262b)도 식각되어 감소된 일부(262c)가 잔류하게 된다. 이때, 식각 정지막(120)이 노출되지 않고 소량의 마스크용 비아 충전재(262c)가 트렌치(290) 하부의 비아(150) 내에 잔류할 수 있는 조건으로 건식식각(327)을 실시한다. 예컨대, CxFy 가스를 주 식각 가스로 사용하고, N2 또는 Ar가스를 첨가 가스로 사용하는 건식 식각으로 진행하면 상기 조건을 충족시킬 수 있다.
도 21은 트렌치 형성용 마스크(262a) 및 잔류하는 충전재(262c)를 제거한 결과물을 나타내는 단면도이다. 트렌치(290) 식각이 완료된 후, H2, N2/H2, NH3/H2, He/H2 또는 이들의 혼합 가스로부터 얻어진 수소계 플라즈마를 사용하는 애슁 공정 으로 트렌치 형성용 마스크(262a) 및 잔류하는 충전재(262c)를 동시에 제거하여 비아(150)와 트렌치(290)로 구성된 듀얼 다마신 배선 영역(295)를 형성한다. 애싱 공정은 층간 절연막(130)은 식각하지 않고 트렌치 형성용 마스크(262a) 및 잔류하는 충전재(262c)만을 제거할 수 있기 때문에 충전재(262c) 제거시 층간 절연막(130)도 식각되어 트렌치(290)의 임계 치수를 정확히 조절할 수 없던 종래의 문제점이 해결된다. 애싱 공정 후 유기 스트리퍼등을 사용하는 습식 식각 공정으로 애싱 공정에 의해 잔류하는 폴리머등을 완전히 제거한다.
도 22를 참고하면, 비아(150)에 노출되어 있는 식각정지막(120)을 식각하여 하부 배선(110)을 노출시켜 비아(150)와 트렌치(290)로 구성된 듀얼 다마신 영역(295)을 완성한다. 식각정지막(120) 식각은 하부 배선(110)에 영향을 미치지 않고 식각정지막(120)만을 선택적으로 제거할 수 있는 식각 조건으로 실시한다.
도 23을 참고하면, 듀얼 다마신 영역(295)에 도전막을 형성한 후, 평탄화하여 듀얼 다마신 배선(330)을 완성한다. 도전막은 알루미늄, 텅스텐, 구리 또는 이들의 합금을 포함할 수 있으며, 저저항의 관점에서는 구리가 가장 바람직하다. 또, 확산장벽막 및 주배선막의 적층구조로 형성될 수 있으며, 당업자에게 공지된 기술들을 사용하여 다양하게 변형될 수 있다.
본 발명은 이하의 비 제한적인 실험예들을 통해 보다 자세히 설명될 것이다.
<실험예 1>
기판 상에 층간 절연막으로 CVD OSG(k=2.9)를 7000Å 두께로 형성한 후, 비아를 형성하고, 질소계열의 플라즈마 에슁 처리를 하여 층간 절연막내에 질소, 아 민등의 염기성 물질(N:)이 잔류하도록 하였다. 이어서, CVD OSG 상에 HSQ계 물질(상기 식 1에서, R은 옥타데실, x는 0.24, y는 0.76인 물질)을 2000 Å 두께로 형성한 후, ArF용 포토레지스트를 그 위에 형성한 후, 193nm 파장의 노광원을 사용하는 노광 및 테트라메틸암모늄하이드록사이드 현상액을 사용한 현상을 거쳐 트렌치를 정의하는 포토레지스트 패턴을 형성함으로써 테스트 샘플을 준비하였다.
기판 상에 층간 절연막으로 CVD OSG(k=2.9)를 7000Å 두께로 형성한 후, 질소 플라즈마 처리를 하여 층간 절연막내에 질소, 아민등의 염기성 물질(N:)이 잔류하도록 하고, CVD OSG 상에 MSQ를 2000 Å 두께로 형성한 후, ArF용 포토레지스트를 그 위에 형성한 후, 193nm 파장의 노광원을 사용하는 노광 및 테트라메틸암모늄하이드록사이드 현상액을 사용한 현상을 거쳐 트렌치를 정의하는 포토레지스트 패턴을 형성함으로써 대조 샘플을 준비하였다.
테스트 샘플과 대조 샘플의 포토레지스트 패턴을 SEM으로 관찰한 결과 테스트 샘플에서는 양호한 포토레지스트 패턴이 얻어진 반면 대조 샘플에서는 포토레지스트 손상이 발생하였음을 알 수 있었다.
<실험예 2>
상술한 본 발명의 제1 실시예에 따라 90nm 디자인 룰로 1.1㎛2 6Tr-SRAM셀이 임베디드디고 듀얼 다마신 배선을 가지는 로직 소자의 제조 공정에 본 발명의 제1 실시예를 적용하여 듀얼 다마신 배선의 트렌치를 정의하는 포토레지스트 패턴을 형성한 후, 그 결과물을 SEM으로 측정한 결과가 도 24에 도시되어 있다. 종래와 달리 포토레지스트 손상이 전혀 발생하지 않았음을 알 수 있다.
도면 및 실시예에는, 본 발명의 전형적인 바람직한 실시예가 개시되었으며, 비록 특정한 용어를 사용하였지만, 이것들은 단지 일반적이고 묘사적인 의미로 사용된 것이지 후술되는 청구항에 의하여 정해지는 본 발명의 사상을 제한하기 위하여 사용된 것은 아니다
본 발명의 일 실시예에 따른 듀얼 다마신 제조 방법은 층간절연막은 하이브리드형의 저유전율 물질로, 비아 충전재는 염기성 확산 장벽 특성과 함께 반사 방지 기능을 가지는 HSQ계 물질로 형성함으로써 포토레지스트 손상이 발생하지 않으며, 하부 배선을 보호하는 식각 정지막이 손상되지 않고, 사진 식각 공정시 촛점심도 마진이 향상되고, 듀얼 다마신 배선의 전기적 특성에 치명적인 영향을 미치는 펜스 등의 결함이 발생하지 않으며, 트렌치의 폭도 임계 치수도 그대로 유지할 수 있다. 또, 본 발명의 다른 실시예에 따른 멀티 스택형 마스크를 사용하는 듀얼 다마신 제조 방법은 멀티 스택형 마스크를 건식 식각 내성이 큰 폴리머막, 염기성 물질 확산 장벽막 및 포토레지스트 패턴으로 형성하기 때문에 포토레지스트 패턴의 손상이 발생하지 않으며, 트렌치 형성시 건식 식각 내성이 향상되어 양호한 프로파일의 트렌치를 형성할 수 있다. 그리고, 본 발명의 실시예들에서는 층간 절연막을 저유전율 물질로 형성함으로써 RC 신호지연을 방지하고 상호 간섭 및 전력 소비의 증가를 억제할수 있다.

Claims (38)

  1. (a)기판 상에 저유전율 절연막을 형성하는 단계;
    (b)상기 절연막내에 비아를 형성하는 단계;
    (c)상기 비아를 (RSiO3/2)x(HSiO3/2)y로 표시되고, x+y=1 이고 0<x<y<1을 만족시키고, R은 C4-C24 알킬, C4-C24 알케닐, C4-C24 알콕시, C8-C24 알켄옥시, C4-C24 치환 탄화수소, C1-C4 비치환 탄화수소 또는 C1-C4 치환 탄화수소인 HSQ계 충전재로 채우는 단계;
    (d)상기 비아를 매립한 상기 충전재와 상기 절연막을 일부 식각하여 상기 비아와 연결되고 배선이 형성될 트렌치를 형성하는 단계;
    (e)상기 비아에 잔류하는 상기 충전재를 제거하는 단계; 및
    (f)상기 트렌치 및 상기 비아를 배선 물질로 채워서 듀얼 다마신 배선을 완성하는 단계를 포함하는 듀얼 다마신 배선의 제조 방법.
  2. 제1 항에 있어서, 상기 저유전율 층간절연막은 유전율 3.3 이하의 하이브리드형 절연막인 듀얼 다마신 배선의 제조 방법.
  3. 제2 항에 있어서, 상기 하이브리드형 절연막은 유기 실리케이트 글래스막인 듀얼 다마신 배선의 제조 방법.
  4. 제2 항에 있어서, 상기 (a) 단계는 화학기상증착법으로 수행하는 듀얼 다마신 배선의 제조 방법.
  5. 제1 항에 있어서, 상기 충전재는 포토레지스트 현상액에 대한 용해 억제제를 더 포함하는 듀얼 다마신 배선의 제조 방법.
  6. 제1 항에 있어서, 상기 (a) 단계 전에
    상기 기판 상에 하부 배선을 형성하는 단계; 및
    상기 하부 배선 위에 식각 정지막을 형성하는 단계를 더 포함하고,
    상기 (b) 단계는 상기 식각 정지막을 노출시키는 상기 비아를 형성하는 단계이고,
    상기 (f) 단계 전에 상기 비아에 의해 노출된 상기 식각 정지막을 제거하여 상기 하부 배선을 노출시키는 단계를 더 포함하는 듀얼 다마신 배선의 제조 방법.
  7. 제6 항에 있어서, 상기 식각 정지막은 SiC, SiN 또는 SiCN 으로 형성하는 듀얼 다마신 배선의 제조 방법.
  8. 제1 항에 있어서, 상기 (b)단계 전에
    상기 층간 절연막 위에 캡핑막을 형성하는 단계를 더 포함하고,
    상기 (b)단계는 상기 캡핑막 및 상기 절연막 내에 비아를 형성하는 단계인 듀얼 다마신 배선의 제조 방법.
  9. 제8 항에 있어서, 상기 캡핑막은 SiO2, SiOF, SiON, SiC, SiN 또는 SiCN 으로 형성하는 것을 특징으로 하는 듀얼 다마신 배선의 제조 방법.
  10. 제1 항에 있어서,
    상기 (d)단계 전에 상기 충전재 표면을 플라즈마 처리하는 단계를 더 포함하는 듀얼 다마신 배선의 제조 방법.
  11. 제10 항에 있어서, 상기 플라즈마는 O2, H2, He, NH3, N2, Ar, 또는 이들의 혼합 가스의 플라즈마인 듀얼 다마신 배선의 제조 방법.
  12. 제1 항에 있어서, 상기 (d)단계는
    상기 충전재 위에 상기 트렌치를 정의하는 포토레지스트 패턴을 형성하는 단계;
    상기 포토레지스트 패턴을 식각마스크로 하여 상기 충전재: 상기 층간 절연막의 식각비가 4:1 이하가 되도록 건식 식각하여 상기 트렌치를 형성하는 단계; 및
    상기 포토레지스트 패턴을 제거하는 단계를 포함하는 듀얼 다마신 배선의 제 조 방법.
  13. 제12 항에 있어서, 상기 건식 식각은 CxFy 또는 CxHyFz 가스를 주 식각 가스로 사용하고,
    상기 포토레지스트 패턴을 제거하는 단계는 수소계 플라즈마를 사용하여 제거하는 단계인 듀얼 다마신 배선의 제조 방법.
  14. 제1 항에 있어서, 상기 (e) 단계는
    상기 충전재: 상기 층간 절연막의 식각비가 20:1 이상이 되도록 습식 식각하는 단계인 듀얼 다마신 배선의 제조 방법.
  15. 제14 항에 있어서, 상기 습식 식각은 희석된 HF 용액, NH4F, HF와 탈이온수의 혼합액, 또는 디메틸아세틸아미드를 포함하는 유기 용액을 사용하는 듀얼 다마신 배선의 제조 방법.
  16. 제1 항에 있어서, 상기 (f)단계에서 상기 배선은 구리 배선인 듀얼 다마신 배선의 제조 방법.
  17. (a)기판 상에 하부 배선을 형성하는 단계;
    (b)상기 하부 배선 상에 식각 정지막을 형성하는 단계;
    (c)상기 식각 정지막 상에 유기 실리케이트 글래스막을 형성하는 단계;
    (d)상기 유기 실리케이트 글래스막내에 상기 식각 정지막을 노출시키는 비아를 형성하는 단계;
    (e)상기 비아를 (RSiO3/2)x(HSiO3/2)y로 표시되고, x+y=1 이고 0<x<y<1을 만족시키고, R은 C4-C24 알킬, C4-C24 알케닐, C4-C24 알콕시, C8-C24 알켄옥시, C4-C24 치환 탄화수소, C1-C4 비치환 탄화수소 또는 C1-C4 치환 탄화수소인 HSQ계 충전재로 채우는 단계;
    (f)상기 비아를 매립한 상기 충전재와 상기 유기 실리케이트 글래스막을 일부 식각하여 상기 비아와 연결되고 배선이 형성될 트렌치를 형성하는 단계;
    (g)상기 비아에 잔류하는 상기 충전재를 제거하는 단계; 및
    (h)상기 트렌치 및 상기 비아를 배선 물질로 채워서 배선을 완성하는 단계를 포함하는 듀얼 다마신 배선의 제조 방법.
  18. (a)기판 상에 저유전율 층간절연막을 형성하는 단계;
    (b)상기 층간절연막내에 비아를 형성하는 단계;
    (c)상기 비아를 채우는 스핀 온 폴리머로 이루어지고 상기 층간절연막을 덮는 마스크용 충전재를 형성하는 단계;
    (d)상기 충전재 상부에 HSQ 또는 (RSiO3/2)x(HSiO3/2)y로 표시되고, x+y=1 이 고 0<x<y<1을 만족시키고, R은 C4-C24 알킬, C4-C24 알케닐, C4-C24 알콕시, C8-C24 알켄옥시, C4-C24 치환 탄화수소, C1-C4 비치환 탄화수소 또는 C1-C4 치환 탄화수소인 HSQ계 물질로 염기성 물질 확산 장벽막을 형성하는 단계;
    (e)상기 확산장벽막 상에 배선이 형성될 트렌치 영역을 정의하는 포토레지스트 패턴을 형성하는 단계;
    (f)상기 포토레지스트 패턴을 식각마스크로 하여 상기 염기성 물질 확산 장벽막을 식각하여 확산 장벽막 패턴을 형성하는 단계;
    (g)상기 포토레지스트 패턴과 상기 확산 장벽막 패턴을 식각마스크로 사용하여 상기 층간 절연막상의 상기 충전재를 식각하여 상기 확산 장벽막 패턴과 상기 충전재 패턴으로 이루어진 멀티 스택 마스크를 형성하는 단계; 및
    (h)상기 멀티 스택 마스크를 식각마스크로 하여 상기 층간절연막을 일부 식각하여 상기 비아와 연결되고 배선이 형성될 트렌치를 형성하는 단계;
    (i)상기 멀티 스택 마스크 및 상기 비아 내에 잔류하는 상기 충전재를 제거하는 단계;
    (j)상기 비아에 의해 노출된 상기 식각 정지막을 제거하여 상기 하부 배선을 노출시키는 단계; 및
    (k)상기 트렌치 및 상기 비아를 배선 물질로 채워서 듀얼 다마신 배선을 완성하는 단계를 포함하는 듀얼 다마신 배선 제조 방법.
  19. 제18 항에 있어서, 상기 저유전율 층간절연막은 유전율 3.3 이하의 하이브리 드형 절연막인 듀얼 다마신 배선의 제조 방법.
  20. 제19 항에 있어서, 상기 하이브리드형 절연막은 유기 실리케이트 글래스막인 듀얼 다마신 배선의 제조 방법.
  21. 제19 항에 있어서, 상기 (a) 단계는 화학기상증착법으로 수행하는 듀얼 다마신 배선의 제조 방법.
  22. 제18 항에 있어서, 상기 염기성 물질 확산 장벽막은 포토레지스트 현상액에 대한 용해 억제제를 더 포함하는 듀얼 다마신 배선의 제조 방법.
  23. 제18 항에 있어서, 상기 (a) 단계 전에
    상기 기판 상에 하부 배선을 형성하는 단계; 및
    상기 하부 배선 위에 식각 정지막을 형성하는 단계를 더 포함하고,
    상기 (b) 단계는 상기 식각 정지막을 노출시키는 상기 비아를 형성하는 단계이고,
    상기 (j) 단계 전에 상기 비아에 의해 노출된 상기 식각 정지막을 제거하여 상기 하부 배선을 노출시키는 단계를 더 포함하는 듀얼 다마신 배선의 제조 방법.
  24. 제23 항에 있어서, 상기 식각 정지막은 SiC, SiN 또는 SiCN 으로 형성하는 듀얼 다마신 배선의 제조 방법.
  25. 제18 항에 있어서, 상기 (b)단계 전에
    상기 층간 절연막 위에 캡핑막을 형성하는 단계를 더 포함하고,
    상기 (b)단계는 상기 캡핑막 및 상기 절연막 내에 비아를 형성하는 단계인 듀얼 다마신 배선의 제조 방법.
  26. 제25 항에 있어서, 상기 캡핑막은 SiO2, SiOF, SiON, SiC, SiN 또는 SiCN 으로 형성하는 듀얼 다마신 배선의 제조 방법.
  27. 제18 항에 있어서, 상기 (e) 단계 전에 상기 확산 장벽막 상에 반사방지막을 형성하는 단계를 더 포함하고,
    상기 (f) 단계는 상기 포토레지스트 패턴을 식각마스크로 하여 상기 반사방지막과 상기 확산 장벽막을 식각하여 반사방지막 패턴과 상기 확산 장벽막 패턴을 형성하는 단계이고,
    상기 (g) 단계는 상기 포토레지스트 패턴, 상기 반사방지막 패턴 및 상기 확산 장벽막 패턴을 식각마스크로 사용하여 상기 충전재를 식각하여 상기 멀티 스택 마스크를 형성하는 단계인 듀얼 다마신 배선의 제조 방법.
  28. 제27 항에 있어서, 상기 (g) 단계시 상기 포토레지스트 패턴과 상기 반사 방지막 패턴은 제거되고 상기 멀티 스택 마스크는 상기 확산장벽막 패턴과 상기 충전재 패턴을 포함하는 듀얼 다마신 배선의 제조 방법.
  29. 제18 항에 있어서, 상기 (f) 단계는 CxFy 또는 CxHyFz 가스를 주 식각가스로 사용하는 듀얼 다마신 배선의 제조 방법.
  30. 제18 항에 있어서, 상기 (g) 단계 완료후 잔류하는 충전재의 상면이 상기 트렌치의 바닥보다 낮도록 잔류시키는 듀얼 다마신 배선의 제조 방법.
  31. 제18 항에 있어서, 상기 (g) 단계시 상기 포토레지스트 패턴도 제거되는 듀얼 다마신 배선의 제조 방법.
  32. 제18 항에 있어서, 상기 (g) 단계는 H2, N2/H2, NH3/H2 , He/H2 또는 이들의 혼합 가스를 주 가스로 사용하고 CxHyFz 가스를 보조 가스로 사용하는 건식 식각에 의해 진행되는 듀얼 다마신 배선의 제조 방법.
  33. 제18 항에 있어서, 상기 (h) 단계시 상기 확산 장벽막 패턴도 식각되어 제거되는 듀얼 다마신 배선의 제조 방법.
  34. 제18 항에 있어서, 상기 (h) 단계는 CxFy 가스를 주 식각 가스로 사용하는 건식 식각에 의해 진행되는 듀얼 다마신 배선의 제조 방법.
  35. 제18 항에 있어서, 상기 (i) 단계는 수소계 플라즈마를 사용하는 단계인 듀얼 다마신 배선의 제조 방법.
  36. 제18 항에 있어서, 상기 (j) 단계 전에 상기 트렌치가 형성된 기판을 습식 식각하는 단계를 더 포함하는 듀얼 다마신 배선의 제조 방법.
  37. 제18 항에 있어서, 상기 (j)단계에서 상기 배선은 구리 배선인 듀얼 다마신 배선의 제조 방법.
  38. (a)기판 상에 하부 배선을 형성하는 단계;
    (b)상기 하부 배선 상에 식각 정지막을 형성하는 단계;
    (c)상기 식각 정지막 상에 유기 실리케이트 글래스막을 형성하는 단계;
    (d)상기 유기 실리케이트 글래스막내에 상기 식각 정지막을 노출시키는 비아를 형성하는 단계;
    (e)상기 비아를 채우는 스핀 온 폴리머로 이루어지고 상기 유기 실리케이트 글래스막을 덮는 마스크용 충전재를 형성하는 단계;
    (f) 상기 충전재 상부에 HSQ 또는 (RSiO3/2)x(HSiO3/2)y로 표시되고, x+y=1 이고 0<x<y<1을 만족시키고, R은 C4-C24 알킬, C4-C24 알케닐, C4-C24 알콕시, C8-C24 알켄옥시, C4-C24 치환 탄화수소, C1-C4 비치환 탄화수소 또는 C1-C4 치환 탄화수소인 HSQ계 물질로 염기성 물질 확산 장벽막을 형성하는 단계;
    (g)상기 확산장벽막 상에 배선이 형성될 트렌치 영역을 정의하는 포토레지스트 패턴을 형성하는 단계;
    (h)상기 포토레지스트 패턴을 식각마스크로 하여 상기 염기성 물질 확산 장벽막을 식각하여 확산 장벽막 패턴을 형성하는 단계;
    (i)상기 포토레지스트 패턴과 상기 확산 장벽막 패턴을 식각마스크로 사용하여 상기 층간 절연막상의 충전재를 식각하여 상기 확산 장벽막 패턴과 상기 충전재 패턴으로 이루어진 멀티 스택 마스크를 형성함과 동시에 상기 포토레지스트 패턴도 제거하는 단계; 및
    (j)상기 멀티 스택 마스크를 식각마스크로 하여 상기 층간절연막을 일부 식각하여 상기 비아와 연결되고 배선이 형성될 트렌치를 형성함과 동시에 상기 확산 장벽막 패턴도 제거하는 단계;
    (k)상기 충전재 패턴과 상기 비아 내에 잔류하는 상기 충전재를 제거하는 단계;
    (l)상기 결과물을 습식 식각하여 잔류하는 불순물을 제거하는 단계;
    (m)상기 비아에 의해 노출된 상기 식각 정지막을 제거하여 상기 하부 배선을 노출시키는 단계; 및
    (n)상기 트렌치 및 상기 비아를 배선 물질로 채워서 듀얼 다마신 배선을 완성하는 단계를 포함하는 듀얼 다마신 배선 제조 방법.
KR1020040061163A 2004-08-03 2004-08-03 염기성 물질 확산 장벽막을 사용하는 미세 전자 소자의듀얼 다마신 배선의 제조 방법 KR100632473B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020040061163A KR100632473B1 (ko) 2004-08-03 2004-08-03 염기성 물질 확산 장벽막을 사용하는 미세 전자 소자의듀얼 다마신 배선의 제조 방법
US11/195,404 US7323407B2 (en) 2004-08-03 2005-08-02 Method of fabricating dual damascene interconnections of microelectronic device using diffusion barrier layer against base material
JP2005224614A JP5052771B2 (ja) 2004-08-03 2005-08-02 塩基性物質拡散障壁膜を使用する微細電子素子のデュアルダマシン配線の製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040061163A KR100632473B1 (ko) 2004-08-03 2004-08-03 염기성 물질 확산 장벽막을 사용하는 미세 전자 소자의듀얼 다마신 배선의 제조 방법

Publications (2)

Publication Number Publication Date
KR20060012462A KR20060012462A (ko) 2006-02-08
KR100632473B1 true KR100632473B1 (ko) 2006-10-09

Family

ID=36074621

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040061163A KR100632473B1 (ko) 2004-08-03 2004-08-03 염기성 물질 확산 장벽막을 사용하는 미세 전자 소자의듀얼 다마신 배선의 제조 방법

Country Status (3)

Country Link
US (1) US7323407B2 (ko)
JP (1) JP5052771B2 (ko)
KR (1) KR100632473B1 (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060081965A1 (en) * 2004-10-15 2006-04-20 Ju-Ai Ruan Plasma treatment of an etch stop layer
KR100685734B1 (ko) * 2005-06-07 2007-02-26 삼성전자주식회사 다공성 스핀 온 글래스 조성물, 이의 제조 방법 및 이를이용한 다공성 실리콘 산화막 제조 방법
JP2007053220A (ja) * 2005-08-18 2007-03-01 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP4533304B2 (ja) * 2005-11-29 2010-09-01 富士通セミコンダクター株式会社 半導体装置の製造方法
KR100790237B1 (ko) * 2005-12-29 2008-01-02 매그나칩 반도체 유한회사 이미지 센서의 금속배선 형성방법
US7244313B1 (en) * 2006-03-24 2007-07-17 Applied Materials, Inc. Plasma etch and photoresist strip process with intervening chamber de-fluorination and wafer de-fluorination steps
US20070249165A1 (en) * 2006-04-05 2007-10-25 Huang Chun-Jen Dual damascene process
US7651947B2 (en) * 2006-05-25 2010-01-26 International Business Machines Corporation Mask forming and implanting methods using implant stopping layer and mask so formed
US7628866B2 (en) * 2006-11-23 2009-12-08 United Microelectronics Corp. Method of cleaning wafer after etching process
JP5168933B2 (ja) * 2007-02-16 2013-03-27 富士通株式会社 化合物半導体装置の製造方法
US8017517B2 (en) * 2007-06-07 2011-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
US20090093114A1 (en) * 2007-10-09 2009-04-09 Sean David Burns Method of forming a dual-damascene structure using an underlayer
US20090156012A1 (en) * 2007-12-12 2009-06-18 Applied Materials, Inc. Method for fabricating low k dielectric dual damascene structures
US8592229B2 (en) * 2008-10-02 2013-11-26 United Microelectronics Corp. Method for forming dual damascene structure
US9000556B2 (en) * 2011-10-07 2015-04-07 International Business Machines Corporation Lateral etch stop for NEMS release etch for high density NEMS/CMOS monolithic integration
US20140127901A1 (en) * 2012-11-08 2014-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k damage free integration scheme for copper interconnects
US8710660B2 (en) 2012-07-20 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect scheme including aluminum metal line in low-k dielectric
CN106298462B (zh) * 2015-06-12 2019-05-28 中芯国际集成电路制造(上海)有限公司 实现再布线金属层光刻的方法
US10276794B1 (en) * 2017-10-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and fabrication method thereof
US10515907B2 (en) 2018-05-17 2019-12-24 Sandisk Technologies Llc Three-dimensional memory device containing hydrogen diffusion blocking structures and method of making the same
US10515897B2 (en) 2018-05-17 2019-12-24 Sandisk Technologies Llc Three-dimensional memory device containing hydrogen diffusion blocking structures and method of making the same

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990003782A (ko) 1997-06-26 1999-01-15 김영환 광픽업 장치
US6514880B2 (en) * 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6432846B1 (en) * 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6461955B1 (en) * 1999-04-29 2002-10-08 Texas Instruments Incorporated Yield improvement of dual damascene fabrication through oxide filling
KR100804873B1 (ko) * 1999-06-10 2008-02-20 얼라이드시그날 인코퍼레이티드 포토리소그래피용 sog 반사방지 코팅
US6440872B1 (en) * 2000-11-03 2002-08-27 International Business Machines Corporation Method for hybrid DRAM cell utilizing confined strap isolation
US6855629B2 (en) 2002-07-24 2005-02-15 Samsung Electronics Co., Ltd. Method for forming a dual damascene wiring pattern in a semiconductor device
US7183195B2 (en) * 2002-02-22 2007-02-27 Samsung Electronics, Co., Ltd. Method of fabricating dual damascene interconnections of microelectronic device using hybrid low k-dielectric and carbon-free inorganic filler
US6737117B2 (en) * 2002-04-05 2004-05-18 Dow Corning Corporation Hydrosilsesquioxane resin compositions having improved thin film properties
EP1385201B1 (en) * 2002-07-24 2012-09-05 Samsung Electronics Co., Ltd. Method of fabricating dual damascene interconnections of microelectronic device
JP2004079901A (ja) * 2002-08-21 2004-03-11 Nec Electronics Corp 半導体装置及びその製造方法
KR100441685B1 (ko) * 2002-09-19 2004-07-27 삼성전자주식회사 듀얼 다마신 공정
JP3914493B2 (ja) * 2002-11-27 2007-05-16 東京応化工業株式会社 多層レジストプロセス用下層膜形成材料およびこれを用いた配線形成方法
JP2004214388A (ja) * 2002-12-27 2004-07-29 Tokyo Electron Ltd 基板処理方法
JP4050631B2 (ja) * 2003-02-21 2008-02-20 株式会社ルネサステクノロジ 電子デバイスの製造方法
US6858528B2 (en) * 2003-03-20 2005-02-22 Intel Corporation Composite sacrificial material

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
1020030048454
1020040010130

Also Published As

Publication number Publication date
JP5052771B2 (ja) 2012-10-17
US7323407B2 (en) 2008-01-29
JP2006049908A (ja) 2006-02-16
KR20060012462A (ko) 2006-02-08
US20060063376A1 (en) 2006-03-23

Similar Documents

Publication Publication Date Title
JP5052771B2 (ja) 塩基性物質拡散障壁膜を使用する微細電子素子のデュアルダマシン配線の製造方法
KR100690881B1 (ko) 미세 전자 소자의 듀얼 다마신 배선의 제조 방법 및 이에의해 제조된 듀얼 다마신 배선을 구비하는 미세 전자 소자
KR100745986B1 (ko) 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법
US7268071B2 (en) Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
US7183195B2 (en) Method of fabricating dual damascene interconnections of microelectronic device using hybrid low k-dielectric and carbon-free inorganic filler
US7157755B2 (en) Polymer sacrificial light absorbing structure and method
CN100456447C (zh) 在集成电路器件中的形成互连结构的方法
KR100577040B1 (ko) 배선형성용 하층막 형성재료, 매립재료 및 이들을 사용한배선형성방법
US7291553B2 (en) Method for forming dual damascene with improved etch profiles
US7012022B2 (en) Self-patterning of photo-active dielectric materials for interconnect isolation
US8470708B2 (en) Double patterning strategy for contact hole and trench in photolithography
KR100546337B1 (ko) 하이브리드형 저 유전율 물질과 탄소가 없는 무기충전재를 사용하는 미세 전자 소자의 듀얼 다마신 배선의제조 방법
KR100534103B1 (ko) 초임계 유체를 이용한 미세 전자소자의 제조 방법
JP2008160065A (ja) デュアルダマシンパターンの形成方法
JP4994566B2 (ja) ハイブリッド型低誘電率物質と炭素を含まない無機充填材を使用する微細電子素子のデュアルダマシン配線の製造方法
US6780761B1 (en) Via-first dual damascene process
JP2003163265A (ja) 配線構造およびその製造方法
US6716741B2 (en) Method of patterning dielectric layer with low dielectric constant
KR20020058288A (ko) 반도체소자의 제조방법
US6861376B1 (en) Photoresist scum free process for via first dual damascene process
JP2012004170A (ja) 半導体集積回路装置の製造方法
CN109841502A (zh) 半导体装置的制造方法
US20090325104A1 (en) Process for manufacturing semiconductor device
KR100419752B1 (ko) 반도체소자의 제조방법
TW508741B (en) Method for manufacturing dual damascene structure

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee