JP5052771B2 - 塩基性物質拡散障壁膜を使用する微細電子素子のデュアルダマシン配線の製造方法 - Google Patents

塩基性物質拡散障壁膜を使用する微細電子素子のデュアルダマシン配線の製造方法 Download PDF

Info

Publication number
JP5052771B2
JP5052771B2 JP2005224614A JP2005224614A JP5052771B2 JP 5052771 B2 JP5052771 B2 JP 5052771B2 JP 2005224614 A JP2005224614 A JP 2005224614A JP 2005224614 A JP2005224614 A JP 2005224614A JP 5052771 B2 JP5052771 B2 JP 5052771B2
Authority
JP
Japan
Prior art keywords
film
etching
forming
dual damascene
diffusion barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2005224614A
Other languages
English (en)
Other versions
JP2006049908A (ja
Inventor
敬雨 李
載烈 孟
在鶴 金
日煥 呉
▲ホン▼縡 愼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2006049908A publication Critical patent/JP2006049908A/ja
Application granted granted Critical
Publication of JP5052771B2 publication Critical patent/JP5052771B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は、微細電子素子の製造方法に係り、さらに具体的には、微細電子素子のデュアルダマシン配線の製造方法に関する。
微細電子素子の高性能化及び高集積化のために多層配線構造が広く適用されている。多層配線構造を採用した素子を信頼度あるように具現するためには、各配線層を全体的に平坦に形成せねばならない。このためにデュアルダマシン配線が適用され始めた。
最近、注目されているデュアルダマシン配線方法によれば、ビアをスピンオンガラス(Spin On Glass;SOG)、スピンオンポリマー(Spin On Polymer;SOP)、染料(dye)が添加されたSOGまたは染料が添加されたSOPよりなる充填材(filler)で充填する方式を採択している。これは、ビアによって、トレンチの形成のために塗布したフォトレジスト膜に段差が発生して焦点深度(DOF)マージンが減少し、トレンチエッチング及び洗浄工程時にエッチング停止膜がエッチングされて下部配線が露出され、電気的特性が不良になることを防止するためのものである。
しかし、図1に示されているように、従来の充填材20の場合、ビア19エッチング時に使用した窒素系エッチングガスまたはビア19のパターニング時に使用したフォトレジストパターンを除去するための窒素系プラズマを使用するアッシング工程により層間絶縁膜18内に残留するようになった窒素またはアミンなどの塩基性物質が充填材20に沿って容易に拡散27されて脱ガス(out gassing)される。したがって、トレンチ形成用のマスク24の透光領域25を通過した露光光26により露光されたフォトレジスト膜22内の露光部22b内に発生した酸H+を中和させて、ビア19の上部と周辺の露光部22bとが現像液に溶解されず、その結果、フォトレジストパターンが正しく形成されていないフォトレジスト欠陥(Photoresist Poisoning)を誘発させる。図1において、説明しなかった符号10は基板を、12は下部層間絶縁膜を、14は下部配線を、16はエッチング停止膜を各々表す。
図2は、従来の染料が添加されたMSQ(Methyl Silses Quioxane)を充填材として使用してフォトレジストパターンを形成した後で測定したSEM(Scanning Electron Microscopy)写真である。図2に示されているように、ビア19の上部にプロファイルの不良なフォトレジストパターンが形成されるか、あるいは、フォトレジストパターン自体が形成されないフォトレジスト欠陥が発生することが分かる。
したがって、デュアルダマシン配線を信頼性あるように製造する方法の開発が要求される。
米国特許第6,461,955号明細書
本発明が解決しようとする技術的課題は、デュアルダマシン配線を信頼性あるように製造できる方法を提供するところにある。
前記技術的課題を達成するための本発明の実施例は、(a)基板上に低誘電率層間絶縁膜を形成する段階と、(b)前記層間絶縁膜内にビアを形成する段階と、(c)(RSiO3/2)x(HSiO3/2)yで表示され、x+y=1であり、0<x<y<1を満足させ、RがC4−C24のアルキル、C4−C2のアルケニル、C4−C24のアルコキシ、C8−C24のアルケンオキシ、C4−C24の置換炭化水素、C1−C4の非置換炭化水素またはC1−C4の置換炭化水素であるHSQ系の充填材で前記ビアを充填する段階と、(d)前記ビアを埋め込んだ前記充填材と前記層間絶縁膜を一部エッチングして前記ビアと連結され、配線が形成されるトレンチを形成する段階と、(e)前記ビアに残留する前記充填材を除去する段階と、(f)前記トレンチ及び前記ビアを配線物質で充填してデュアルダマシン配線を完成する段階と、を含む。
前記技術的課題を達成するための本発明の他の実施例は、(a)基板上に低誘電率層間絶縁膜を形成する段階と、(b)前記層間絶縁膜内にビアを形成する段階と、(c)前記ビアを満たすスピンオンポリマーよりなり、前記層間絶縁膜を覆うマスク用の充填材を形成する段階と、(d)前記充填材の上部にHSQまたは(RSiO3/2)x(HSiO3/2)yで表示され、x+y=1であり、0<x<y<1を満足させ、RがC4−C24のアルキル、C4−C2のアルケニル、C4−C24のアルコキシ、C8−C24のアルケンオキシ、C4−C24の置換炭化水素、C1−C4の非置換炭化水素またはC1−C4の置換炭化水素であるHSQ系物質で塩基性物質拡散障壁膜を形成する段階と、(e)前記拡散障壁膜上に配線が形成されるトレンチ領域を定義するフォトレジストパターンを形成する段階と、(f)前記フォトレジストパターンをエッチングマスクとして前記塩基性物質拡散障壁膜をエッチングして拡散障壁膜パターンを形成する段階と、(g)前記フォトレジストパターンと前記拡散障壁膜パターンとをエッチングマスクとして使用して、前記層間絶縁膜上の前記充填材をエッチングして前記拡散障壁膜パターンと前記充填材パターンとからなるマルチスタックマスクを形成する段階と、(h)前記マルチスタックマスクをエッチングマスクとして前記層間絶縁膜を一部エッチングして、前記ビアと連結され、配線が形成されるトレンチを形成する段階と、(i)前記マルチスタックマスク及び前記ビア内に残留する前記充填材を除去する段階と、(j)前記ビアにより露出された前記エッチング停止膜を除去して前記下部配線を露出させる段階と、(k)前記トレンチ及び前記ビアを配線物質で充填してデュアルダマシン配線を完成する段階と、を含む。
本発明の一実施態様によるデュアルダマシン製造方法は、層間絶縁膜をハイブリッド型の低誘電率物質よりなし、ビア充填材を、塩基性拡散障壁特性と共に反射防止機能を有するHSQ系物質よりなすことによって、フォトレジスト損傷が発生せず、下部配線を保護するエッチング停止膜が損傷されず、写真エッチング工程時に焦点深度マージンが向上し、デュアルダマシン配線の電気的特性に致命的な影響を及ぼすフェンスなどの欠陥が発生せず、トレンチの幅も臨界寸法もそのまま保持しうる。また、本発明の他の実施態様によるマルチスタック型マスクを使用するデュアルダマシン製造方法は、マルチスタック型マスクを乾式エッチング耐性の大きなポリマー膜、塩基性物質拡散障壁膜及びフォトレジストパターンで形成するために、フォトレジストパターンの損傷が発生せず、トレンチの形成時に乾式エッチング耐性が向上し、良好なプロファイルのトレンチを形成しうる。そして、本発明の実施例では、層間絶縁膜を低誘電率物質で形成することによって、RC信号遅延を防止して相互干渉及び電力消費の増加を抑制しうる。
本発明の利点及び特徴、そしてこれを達成する方法は添付された図面に基づいて詳細に後述されている実施例を参照すれば明確になる。しかし、本発明は以下で開示される実施例に限定されるものではなく、この実施例から外れて多様な形に具現でき、本明細書で説明する実施例は本発明の開示を完全にし、本発明が属する技術分野で当業者に発明の範ちゅうを完全に知らせるために提供されるものであり、本発明は請求項及び発明の詳細な説明により定義されるだけである。一方、明細書全体に亙って同一な参照符号は同一な構成要素を示す。
本発明の実施例では、デュアルダマシン配線のRC信号遅延を防止して相互干渉及び電力消費の増加を抑制するために、デュアルダマシン配線が形成される層間絶縁膜を低誘電率物質で形成する。低誘電率物質とは、少なくとも誘電率が3.3以下である物質を示す。誘電率が3.3以下である物質のうち、ハイブリッド型低誘電率物質が使われうる。ハイブリッド型低誘電率物質は、低誘電率特性を有する有機物の長所と、既存のシリコン酸化膜の構造が一部変形された形態で既存の装備及び工程を大きく変化させずとも使用でき、熱的安定性にも優れた無機物の長所とをいずれも有する物質である。
本発明の例示的な実施例では、窒素またはアミンなどの塩基性物質の拡散障壁膜の役割を行う物質をビア充填材として使用するか、マルチスタック型マスクのマスク層として使用する。さらに、ビア充填材またはマスク層を構成する物質として、塩基性物質に対する拡散障壁膜機能と反射防止機能とを同時に有する物質を使用することもできる。このような物質を使用することによって、信頼度が高く、強健(robust)なデュアルダマシン配線の製造方法を提供する。
本発明のデュアルダマシン配線の製造方法が適用される素子は、高集積回路半導体素子、プロセッサー、MEM’s(Micro Electro Mechanical)素子、光電子(optoelectronic)素子、ディスプレイ素子(display device)などの微細電子素子である。特に、本発明のデュアルダマシン配線の製造方法は、高速特性が要求されるCPU(Central Processor Unit)、DSP(Digital Signal Processor)、CPUとDSPとの組合わせ、ASIC(Application Specific Integrated Circuit)、ロジック素子、SRAMなどに、よりさらに有用である。
以下、本発明の実施例では、下部配線を露出させる開口部をビアと称し、配線が形成される領域をトレンチと称する。また、ミスアラインが発生してもビアの大きさがそのまま保持されうる方法であるビア先行(via first)デュアルダマシン配線の製造方法を例としてデュアルダマシン配線の製造方法を説明する。
以下、図3ないし図13に基づいて本発明の第1実施例によるデュアルダマシン配線の製造方法について説明する。
まず、図3に図示されているように、デュアルダマシン配線の製造方法が適用される基板100を準備する。デュアルダマシン配線の製造方法が適用される基板100上には、下部配線110を備える下部層間絶縁膜105が形成されている。基板100としては、シリコン基板、SOI(Silicon On Insulator)基板、ガリウム砒素基板、シリコンゲルマニウム基板、セラミック基板、石英基板、またはディスプレイ用のガラス基板などを例として挙げうる。基板100上には、多様な種類の能動素子及び受動素子などを含みうる。下部配線110は、多様な種類の配線物質、例えば、銅、銅合金、アルミニウム、アルミニウム合金などよりなりうる。低抵抗観点で下部配線110は、銅で形成されることが望ましい。下部配線110の表面も平坦化されていることが望ましい。
図4を参照すれば、下部配線110が形成されている基板100の全面にエッチング停止膜120、低誘電率層間絶縁膜130、キャッピング膜140を順次に形成した後、キャッピング膜140上にビアを定義するフォトレジストパターン145を形成する。
エッチング停止膜120は、後続のビア形成のための乾式エッチング工程時、そしてトレンチの形成後に残留する充填材の除去のための湿式エッチング工程時、下部配線110がエッチング工程に露出されて電気的特性が損傷されることを防止するために形成する。したがって、エッチング停止膜120はその上に形成される層間絶縁膜130に対するエッチング選択比の大きな物質で形成する。望ましくは、エッチング停止膜120は誘電率が4〜5であるSiC、SiN、SiCNなどで形成する。エッチング停止膜120の厚さは、全体層間絶縁膜の誘電率に与える影響を考慮して、できるだけ最小化するが、エッチング停止膜120としての機能を行うのに十分な厚さに形成する。
層間絶縁膜130は、少なくとも誘電率3.3以下の低誘電率物質で形成しうる。これは、前述したように下部配線110と形成しようとするデュアルダマシン配線間のRC信号遅延を防止して、相互干渉及び電力消費の増加を抑制するためである。誘電率が3.3以下である物質としては、有機物の低誘電率特性と既存の装備及び工程をそのまま使用でき、熱的安定性に優れた無機物の特性をいずれも有するハイブリッド型低誘電率物質が適している。ハイブリッド型低誘電率物質としては、低誘電率OSG(low k Organo Silicate Glass)が効果的に使われうる。低誘電率OSGとして、層間絶縁膜130を形成する場合には、CVD(Chemical Vapor Deposition)法で、より具体的には、PECVD(Plasma Enhanced CVD)法で形成する。OSG膜内の炭素の含有量が多いほど、誘電率は減少するが、熱的機械的特性が低下する。ところが、CVD法でOSG膜を形成すれば、OSG膜内の炭素の含有量を調節することによって、OSG膜の誘電率と熱的機械的特性がいずれも満足できるように調節されうる。したがって、CVD法により形成されたOSG膜が層間絶縁膜130に適している。CVD法によりOSG膜を形成する時に使用するソースガス(炭素、シリコン、酸素ソースガスなど)、CVDチャンバ、工程条件(温度、時間)などは当業者に公知とされた技術を使用して多様に変形して実施できるということは言うまでもない。CVD法でOSGを製造する方法は、当業者に公知の方法または米国特許第6,455,445号明細書、第6,432,846号明細書、第6,514,880号明細書、第6,559,520号明細書、第6,352,945号明細書、第6,383,955号明細書、第6,410,463号明細書及び大韓民国特許第0364053号明細書に開示された方法により進行でき、これらの特許は、この明細書に十分に開示されたように援用されて統合される。層間絶縁膜130は、3000ないし20000Åの厚さに、望ましくは、6000ないし7000Åの厚さに形成する。しかし、層間絶縁膜130の厚さは、当業者により多様に変形可能なのは言うまでもない。
キャッピング膜140は、デュアルダマシン配線の平坦化のためのCMP時、CMPが安定的に層間絶縁膜130の損傷なしに進行させるために形成する。したがって、SiO、SiOF、SiON、SiC、SiN、SiCNなどで形成する。また、キャッピング膜140は、後続トレンチの形成のための写真エッチング工程で反射防止機能も有することが望ましい。したがって、キャッピング膜140は、前記物質のうち、SiO、SiON、SiC、SiCNなどで形成することがさらに望ましい。しかし、CMP工程の調節だけでも、層間絶縁膜130の損傷を防止でき、後続工程で反射防止機能を有する物質層が形成されるならば、キャッピング膜140の形成は選択的に省略しても良い。
フォトレジストパターン145は、248nm以下の光源に適したフォトレジストを塗布した後、ビアを定義するフォトマスクを使用した露光及び現像を経て形成する。
図5を参照すれば、フォトレジストパターン145をエッチングマスクとして使用してキャッピング膜140および層間絶縁膜130を乾式エッチング147してビア150を形成する。層間絶縁膜130のエッチングは、CxFyまたはCxHyFzなどのメインエッチングガスとArガスなどの不活性ガスの混合ガスまたはここにO、N、及びCoxから選択された1つ以上のガスがさらに添加された混合ガスを使用する反応性イオンエッチング(RIE)で進める。この際、層間絶縁膜130だけ選択的にエッチングし、エッチング停止膜120はエッチングしないようにRIE条件を調節して進める。
図6を参照すれば、フォトレジストパターン145を除去した後、ビア150を充填材162で充填する。フォトレジストパターン145は、水素系(H based)プラズマ処理後、ストリッパを使用する工程で除去する。水素系プラズマは、H、N/H、NH/H、He/Hまたはそれらの混合ガスから得られたプラズマのことを称する。フォトレジストパターンの除去に広く使われるOアッシング(ashing)によりフォトレジストパターン145を除去すれば、炭素を含んで有機物の性質を有している層間絶縁膜130がOプラズマにより損傷される恐れがあるので、水素系プラズマを使用する。
ビア充填材162は、後続のトレンチを定義するためのフォトレジストパターンを形成するための露光工程時に、層間絶縁膜130内に含まれている窒素またはアミンなどの塩基性物質がフォトレジスト膜に拡散されることを遮断しうる物質により形成する。また、ビア充填材162は、露光光の反射防止機能を有する物質により形成する。また、ビア充填材162は、ギャップ充填(gap fill)能力に優れた物質で形成する。一方、層間絶縁膜130と乾式エッチング率が実質的に同一か、ビア充填材162:層間絶縁膜130の乾式エッチング比が4:1以下になりうる特性を有する物質で形成する。また、後続の湿式エッチング工程で層間絶縁膜130に比べて非常に速い湿式エッチング速度を有する物質で形成する。望ましくは、ビア充填材162:層間絶縁膜130の湿式エッチング比が20:1以上である特性を有する物質でビア充填材162を形成する。
したがって、ビア充填材162は、HSQの基本的なバックボーンを保持しつつ、多種の炭化水素基がバックボーンの一部に置換基として結合された物質が、前記条件を充足させるのに最も適している。以下、炭化水素が置換されたHSQは、HSQ系物質と称する。本発明の第1実施例のビア充填材162として適したHSQ系物質は下記式1で一般化して表現しうる。
[式1]
(RSiO3/2)x(HSiO3/2)y
前記式中、前記x、yは、x+y=1&0<x<y<1を満し、
前記Rは、C4−C24のアルキル、C4−C2のアルケニル、C4−C24のアルコキシ、C8−C24のアルケンオキシ、C4−C24の置換炭化水素、C1−C4の非置換炭化水素、C1−C4の置換炭化水素である。
”アルキル”、”アルケニル”、”アルコキシ”及び”アルケンオキシ”は、脂肪族炭化水素、脂環族炭化水素、芳香族炭化水素を何れも包括するための用語である。そして、”置換された炭化水素”は、CとHとを含み、HSQと実質的に反応しない少なくとも1つの原子を含む構造を示す。また、”非置換された炭化水素”は、メチル、エチル、プロピル、ブチル、t−ブチルのようにCとHとを含む構造を示す。
例えば、Rは、C4−C24のアルキル、またC6−C24のアルキル、さらにはC16−C22のアルキルでありうる。また、Rは、C4−C2のアルケニル、さらにC8−C2のアルケニルでありうる。また、Rは、C4−C24のアルコキシ、さらにC16−C22のアルコキシでありうる。また、Rは、C8−C24のアルケンオキシ、さらにC16−C22のアルケンオキシでありうる。
また、Rは、テトラメチルヒドロキシヘキサデシルまたはオクタデシルでありうる。また、Rは、t−ブトキシでありうる。
また、ビア充填材162は、溶解抑制剤を含むことが望ましい。溶解抑制剤は、フォトレジスト膜の現像液に対する溶解を抑制しうる物質であって当業者に公知の物質が使われうる。溶解抑制剤の機能は後述する。
前記物質は、イソブチルイソブチレート、メシチレン、シクロヘキサノン、キシレン、メチルイソブチルケトン、それらの混合物など適切な溶媒に溶解された後、スピンコーティング法によりビア150を何れも満たすように形成されうる。ビア充填材162は、ビア150だけを満たすように形成してもよく、キャッピング膜140上に所定厚さに形成しても良いが、後者の場合が工程調節マージン側面でさらに望ましい。望ましくは、ビア150の密度の低い領域に形成されるビア充填材162の高さT1とビア150の密度の高い領域に形成されるビア充填材162の高さT2との差(T1−T2)が、2000Å以下になるようにすることが焦点深度マージン確保の側面で望ましい。前述したビア充填材を構成する物質は、コーティング条件(recipe)、ビア150間の間隔、ビア150の臨界寸法及び高さなどの変数を考慮して、その厚さを容易に調節でき、前記制限条件(T1−T2≦2000Å)に容易に合わせることができる。
図7を参照すれば、ビア充填材162の表面をプラズマ170処理する。プラズマ170処理は、O、H、He、NH、N、Ar、またはそれらの混合ガスのプラズマ170を使用して常温ないし500℃の温度で1秒ないし120秒間進める。ビア充填材162内に窒素が残留しないようにするために、O、H、He、Arまたはそれらの混合ガスのプラズマを使用することがさらに望ましい。プラズマ170処理によりビア充填材162の表面が緻密化(densify)される。プラズマ170処理目的の1つは、ビア充填材162がフォトレジスト現像液に溶解されることを防止することである。したがって、ビア充填材162が溶解抑制剤を含む場合には、プラズマ170処理を省略しても良い。
図8を参照すれば、フォトレジスト膜185を形成した後、トレンチを定義するマスク200を使用してフォトレジスト膜185を露光する。マスク200の透光領域201を通過した248nm、193nmまたはそれ以下の波長の露光源がフォトレジスト膜185に照射されれば、フォトレジスト膜185の露光部185bに含まれている光酸発生剤から酸H+が発生する。この際、露光部185b下部の充填材162がフォトレジスト膜185bを透過した光が再びフォトレジスト膜185に再び反射されることを防止する。露光部185b内に発生した酸H+により露光部185bを構成するフォトレジスト膜が現像液に溶解可能な物質に加水分解される。露光後ベークにより酸加水分解がさらに活発になる。露光及び露光後ベーク時、ビア充填材162は、窒素またはアミンに対する拡散障壁膜として機能する。したがって、ビア150のエッチング時に使用した窒素系エッチングガスまたはビア150を定義したフォトレジストパターン(図4の145)を除去するための窒素を含むプラズマ処理により層間絶縁膜に残留する窒素またはアミンなどの塩基性物質N:がビア充填材162に沿って拡散(点線)されて露光部185bに発生した酸を中和させてフォトレジスト欠陥を発生させる機能が防止される。
図9を参照すれば、フォトレジストパターン185aを形成する。露光後ベークされたフォトレジスト膜185をテトラメチルアンモニウムヒドロキシド現像液に浸ければ、露光部185bだけ現像液に溶解されて除去されるので、図9に図示されているような、フォトレジストパターン185aが形成される。この際、露光部185bの下部のビア充填材160がプラズマ処理された場合には、現像液によりビア充填材160が損傷されない。また、ビア充填材160が溶解抑制剤を含む場合にも、現像液によりビア充填材160が損傷されない。
図10は、トレンチ190を形成する段階を示す。フォトレジストパターン185をエッチングマスクとして使用してビア充填材162、キャッピング膜140を順次にエッチングした後、層間絶縁膜130及びビア充填材162を所定深さにエッチングしてトレンチ190を形成する。トレンチ190のエッチングは、乾式エッチング187で進行するが、層間絶縁膜130及びビア充填材162のエッチング率が実質的に同一か、ビア充填材162:層間絶縁膜130のエッチング比が4:1以下になりうる条件で進行する。したがって、ビア充填材162と層間絶縁膜130とのエッチング率差によってビア充填材162の側壁に層間絶縁膜130がエッチングされずに残留するフェンスのような欠陥が発生せず、ビア充填材162が依然としてビア150内に一部残留して、エッチング停止膜120がエッチングにより露出されて下部配線110が損傷されることを防止しうる。層間絶縁膜130がOSGよりなり、ビア充填材162が前述したHSQ系物質よりなる場合、いずれも無機物の性格を有しているので、CxFyまたはCxHyFzなどのメインエッチングガスとArガスなどの不活性ガスとの混合ガス、またはここにO、N、及びCoxから選択された1つ以上のガスがさらに添加された混合ガスを使用するRIEで進行すれば、前記条件を充足させうる。
図11は、フォトレジストパターン185a及び残留するビア充填材162を除去した結果物を示す断面図である。トレンチ190のエッチングが完了した後、フォトレジストパターン185aをH、N/H、NH/H、He/Hまたはそれらの混合ガスから得られた水素系プラズマを使用するアッシング工程で除去する。次いで、ビア充填材162を除去してビア150とトレンチ190とで構成されたデュアルダマシン配線領域195を形成する。ビア充填材162の除去のためのエッチングは、湿式エッチングで進行する。層間絶縁膜130は、ほとんどエッチングされず、ビア充填材162だけ選択的にエッチングされる条件で、少なくともビア充填材162:層間絶縁膜130の湿式エッチング比が20:1以上になりうる条件で進行する。また、エッチング停止膜120に対してビア充填材162を選択的に除去できるようにエッチングが進められねばならない。層間絶縁膜130が有機物的な性格を有する一方、ビア充填材162の主成分が無機物であり、エッチング停止膜120も無機物で形成されるので、無機物の除去に選択比の大きいエッチング液を使用すれば、前記条件を満足させうる。特に、ビア充填材162が前記HSQ系物質で、層間絶縁膜130がOSGで、エッチング停止膜120がSiC(N)で形成された場合、100:1以上に脱イオン水に希釈されたHF溶液、NHF、HFと脱イオン水との混合液であるBOE(Buffered Oxide Etchant)またはジメチルアセチルアミドを含む有機溶液に浸ける方式で湿式エッチングを進行すれば、HSQ系物質:OSG:SiC(N)エッチング比を100:1:1より高めうる。したがって、ビア充填材162の除去時、層間絶縁膜130もエッチングされてトレンチ190の臨界寸法を正確に調節できなかった従来の問題点が解決される。前記ビアエッチング、トレンチエッチング、湿式エッチングに関する詳細な内容は大韓民国出願第2002−57192号に開示されており、前記出願は、本明細書に十分に開示されたように援用されて統合される。
図12を参照すれば、ビア150に露出されているエッチング停止膜120をエッチングして下部配線110を露出させてビア150とトレンチ190とで構成されたデュアルダマシン領域195を完成する。エッチング停止膜120のエッチングは下部配線110に影響を与えずに、エッチング停止膜120だけを選択的に除去できるエッチング条件で実施する。
図13を参照すれば、デュアルダマシン領域195に導電膜を形成した後、平坦化してデュアルダマシン配線210を完成する。導電膜はアルミニウム、タングステン、銅またはそれらの合金を含めることができ、低抵抗の観点では銅が最も望ましい。また、拡散障壁膜及び主配線膜の積層構造で形成され、銅配線の形成は、当業者に公知の技術を使用して多様に変形されうる。
前記図3ないし図13を参照して説明したビア先行デュアルダマシン配線の製造方法をトレンチ先行デュアルダマシン配線の製造方法に適用できるということは言うまでもない。
図14ないし図23を参照して、本発明の第2実施例によるデュアルダマシン配線の製造方法について説明する。本発明の第2実施例によるデュアルダマシン配線の製造方法はマルチスタックマスクを使用してトレンチを形成する方法に関する。
まず、図14を参照すれば、ビア150を形成する段階までは、第1実施例の説明と同一な方法で進行する。次いで、ビア150をマスク用のビア充填材262で充填する。マスク用のビア充填材262は、第1実施例で説明したようにトレンチエッチング及び洗浄工程時、エッチング停止膜120がエッチングされて下部配線110が露出されて電気的特性が不良になることを防止するための機能と共に、トレンチエッチング時のエッチングマスクとしての機能を同時に行うために形成する。0.18μm、さらに90nm以下のデザインルールで微細線幅のデュアルダマシン配線を形成するには、深紫外線(Deep UV)、すなわち、248nm以下の露光光に対して透明なフォトレジスト膜を形成せねばならない。しかし、深紫外線用のフォトレジスト膜は乾式エッチング耐性が弱く、トレンチ形成のための乾式エッチング時にフォトレジストパターンが除去されてエッチング工程不良を誘発する傾向がある。したがって、単一フォトレジストパターンの代わりにマルチスタック型マスクを形成するために、マスク用のビア充填材262を形成する。
したがって、マスク用のビア充填材262は、ギャップ充填特性に優れるだけでなく、トレンチエッチングのための乾式エッチングに対する耐性を有する物質で形成する。また、上部に形成されるフォトレジスト膜の露光時、露光されないことが望ましいので、写真エッチング工程時に使われる248nm、193nmまたはそれ以下の波長を有する露光光に対して不透明な物質が使われうる。したがって、マスク用のビア充填材262としては、スピン−オンポリマー(SOP)を使用しうる。スピン−オンポリマーの例としては、i−ライン用のフォトレジストを挙げられる。
スピンコーティング法によりビア150を何れも満たすマスク用のビア充填材262を形成する。後続エッチングマスクとしての機能を考慮すれば、マスク用のビア充填材262は層間絶縁膜130とキャッピング膜140上に所定高さに形成しうる。例えば、1000−10000Åの厚さに形成しうる。
図15を参照すれば、ビア充填材262の上部に塩基性物質拡散障壁膜282を形成する。塩基性物質拡散障壁膜282は、後続のトレンチを定義するフォトレジストパターンを形成するための露光工程時、層間絶縁膜130内に含まれている窒素またはアミンなどの塩基性物質がフォトレジスト膜に広がることを遮断しうる物質で形成する。また、塩基性物質拡散障壁膜282は、露光光の反射防止機能を有する物質及び/または溶解抑制剤をさらに含む物質で形成する。したがって、塩基性物質拡散障壁膜282は、第1実施例で言及したHSQ系物質またはHSQが適している。
次いで、図面には図示していないが、塩基性物質拡散障壁膜282の表面をプラズマ処理する段階をさらに実施しうる。プラズマ処理は、NO、H、He、NH、N、Ar、またはそれらの混合ガスのプラズマを使用して常温ないし500℃の温度で1秒ないし120秒間進行する。塩基性物質拡散障壁膜282内に窒素を残留させないために、O、H、He、Arまたはそれらの混合ガスのプラズマを使用することがさらに望ましい。プラズマ処理により塩基性物質拡散障壁膜282の表面が緻密化される。プラズマ処理目的の1つは、塩基性物質拡散障壁膜282がフォトレジスト現像液に溶解されることを防止することである。したがって、塩基性物質拡散障壁膜282が溶解抑制剤を含む場合には、プラズマ処理を省略しても良い。
図16を参照すれば、塩基性物質拡散障壁膜282上に反射防止膜284を形成する。反射防止膜284は無機反射防止膜または有機反射防止膜がいずれも可能であるが、有機反射防止膜であることが、除去の容易性観点で有利である。反射防止膜284は、当業者に公知の248nm、193nmまたはそれ以下の波長の露光源を吸収できる反射防止物質または米国出願第10/400,029号に開示されている物質が使われ、前記出願は本明細書に十分に開示されたように援用されて統合される。反射防止膜284は、500ないし700Åの厚さに形成する。塩基性物質拡散障壁膜282が反射防止機能を有する物質で形成される場合には、反射防止層284の形成を省略しうる。
次いで、反射防止層284の上部に248nm、193nmまたはそれ以下の波長を有する露光光302に対して透明なフォトレジスト膜285を形成した後、トレンチを定義するマスク300を使用してフォトレジスト膜285を露光する。マスク300の透光領域301を通過した波長の露光光302がフォトレジスト膜285に照射されれば、フォトレジスト膜285の露光部285bに含まれている光酸発生剤から酸H+が発生する。この際、露光部285bの下部の反射防止膜284がフォトレジスト膜185bを透過した光が再びフォトレジスト膜285に反射されることを防止する。塩基性物質拡散障壁膜282が反射防止機能を有しているために、反射防止膜284が形成されていない場合には、塩基性物質拡散障壁膜282が光の反射を防止することもある。露光部285b内に発生した酸H+により露光部285bを構成するフォトレジスト膜が現像液に溶解可能な物質に加水分解される。露光後ベークにより酸加水分解がさらに活発になる。
露光及び露光後ベーク時、塩基性物質拡散障壁膜282が塩基性物質の拡散を遮断する。したがって、ビア150のエッチング時に使用した窒素系エッチングガスまたはビア150を定義したフォトレジストパターンを除去するための窒素を含むプラズマ処理により層間絶縁膜に残留するようになった窒素、アミンなどの塩基性物質N:がビア充填材262に沿って拡散(点線)されて露光部285bに到達することを効果的に遮断する。したがって、脱ガスされた塩基性物質により露光部285bに発生した酸が中和されてフォトレジスト欠陥が発生する機能が防止される。
図17を参照すれば、フォトレジストパターン285aを形成する。露光後ベークされたフォトレジスト膜285をテトラメチルアンモニウムヒドロキシド現像液に浸ければ、露光部285bだけ現像液に溶解されて除去されるので、図17に図示されているようなフォトレジストパターン285aが形成される。この際、反射防止膜284があるために、塩基性物質拡散障壁膜282が現像液に露出されない。塩基性物質拡散障壁膜282がプラズマ処理された場合には、反射防止膜284が形成されていなくても、現像液により損傷されない。また、塩基性物質拡散障壁膜282が溶解抑制剤を含む場合にも、反射防止膜284を形成しなくても現像液により塩基性物質拡散障壁膜282が損傷されない。
図18を参照すれば、フォトレジストパターン285aをエッチングマスクとして使用して乾式エッチング307を進行させてフォトレジストパターン285aイメージが転写された反射防止膜パターン284aと塩基性物質拡散障壁膜パターン282aを形成する。乾式エッチング307は、CxFyまたはCxHyFzなどのメインエッチングガスとArガスなどの不活性ガスの混合ガスまたはここにO、N、及びCoxから選択された1つ以上のガスがさらに添加された混合ガスを使用するRIEで進めれば、前記条件を充足させうる。
図19を参照すれば、マスク用のビア充填材262を乾式エッチング317して充填材パターン262aと塩基性物質拡散障壁膜パターン282aとよりなるマルチスタックトレンチ形成用のマスクを形成する。乾式エッチング317時に上部のフォトレジストパターン285aと反射防止膜パターン284aとが共に除去されることが、後続トレンチ形成時の縦横比を低めるのに有利である。この際、ビア150内のマスク用ビア充填材262もエッチングされて一部262bが残留する。後続のトレンチエッチング工程を考慮すれば、残留するビア充填材262bの上部面はトレンチの底面より低く残留するように調節しうる。乾式エッチング317は、キャッピング膜140と層間絶縁膜130は、エッチングせずに、選択的にマスク用のビア充填材262をエッチングできる条件で実施する。例えば、H、N/H、NH/H、He/Hまたはそれらの混合ガスをメインガスとして使用し、CxHyFzガスを補助ガスとして使用し、Oガスなどを添加する乾式エッチングで実施しうる。
図20を参照すれば、マルチスタックマスクをエッチングマスクとして使用してキャッピング膜140及び層間絶縁膜130を乾式エッチング327してトレンチ290を形成する。トレンチ形成用のマスク262aは、乾式エッチング耐性の大きなSOPなどで形成されるので、トレンチ形成用のマスク262aは乾式エッチングの間に損傷されずに、マスクとしての機能を忠実に行ってプロファイルの良好なトレンチ290を形成させる。乾式エッチング327時に塩基性物質拡散障壁膜パターン282aを除去することが、後続段階でトレンチ形成用のマスク262aの除去工程を容易にする。乾式エッチング327時、以前の段階で残留したマスク用のビア充填材262bもエッチングされて減少した一部262cが残留する。この際、エッチング停止膜120が露出されず、少量のマスク用のビア充填材262cがトレンチ290の下部のビア150内に残留できる条件で乾式エッチング327を実施する。例えば、CxFyガスをメインエッチングガスとして使用し、NまたはArガスを添加ガスとして使用する乾式エッチングで進めれば、前記条件を充足させうる。
図21は、トレンチ形成用のマスク262a及び残留する充填材262cを除去した結果物を表す断面図である。トレンチ290のエッチングが完了した後、H、N/H、NH/H、He/Hまたはそれらの混合ガスから得られた水素系プラズマを使用するアッシング工程でトレンチ形成用マスク262a及び残留する充填材262cを同時に除去して、ビア150とトレンチ290とで構成されたデュアルダマシン配線領域295を形成する。アッシング工程は、層間絶縁膜130はエッチングせず、トレンチ形成用のマスク262a及び残留する充填材262cだけを除去できるので、充填材262cの除去時に層間絶縁膜130もエッチングされてトレンチ290の臨界寸法を正確に調節できなかった従来の問題点が解決される。アッシング工程後、有機ストリッパなどを使用する湿式エッチング工程でアッシング工程により残留するポリマーなどを完全に除去する。
図22を参照すれば、ビア150に露出されているエッチング停止膜120をエッチングして下部配線110を露出させて、ビア150とトレンチ290とで構成されたデュアルダマシン領域295を完成する。エッチング停止膜120のエッチングは、下部配線110に影響を与えず、エッチング停止膜120だけを選択的に除去できるエッチング条件で実施する。
図23を参照すれば、デュアルダマシン領域295に導電膜を形成した後、平坦化してデュアルダマシン配線330を完成する。導電膜は、アルミニウム、タングステン、銅またはそれらの合金を含みうるが、低抵抗の観点で、銅が最も望ましい。また、拡散障壁膜及び主配線膜の積層構造より形成され、当業者に公知の技術を使用して多様に変形されうる。
本発明は、以下の非制限的な実験例を通じてさらに詳細に説明される。
<実験例1>
基板上に層間絶縁膜としてOCVD OSG(k=2.9)を7000Åの厚さに形成した後、ビアを形成し、窒素系列のプラズマアッシング処理をして層間絶縁膜内に窒素、アミンなどの塩基性物質N:が残留するようにした。次いで、CVD OSG上にHSQ系物質(前記式1で、Rはオクタデシル、xは0.24、yは0.76の物質)を2000Åの厚さに形成した後、ArF用のフォトレジストをその上に形成した後、193nm波長の露光源を使用する露光及びテトラメチルアンモニウムヒドロキシド現像液を使用した現像を経てトレンチを定義するフォトレジストパターンを形成することによって、テストサンプルを準備した。
基板上に層間絶縁膜としてCVD OSG(k=2.9)を7000Åの厚さに形成した後、窒素プラズマ処理をして層間絶縁膜内に窒素、アミンなどの塩基性物質N:を残留するようにし、CVD OSG上にMSQを2000Åの厚さに形成した後、ArF用のフォトレジストをその上に形成した後、193nm波長の露光源を使用する露光及びテトラメチルアンモニウムヒドロキシド現像液を使用した現像を経てトレンチを定義するフォトレジストパターンを形成することによって、対照サンプルを準備した。
テストサンプルと対照サンプルとのフォトレジストパターンをSEMで観察した結果、テストサンプルでは良好なフォトレジストパターンが得られたが、一方、対照サンプルではフォトレジスト損傷が発生したことが分かった。
<実験例2>
前述した本発明の第1実施例によって90nmのデザインルールで1.1μm26Tr−SRAMセルがエンベデッドされ、デュアルダマシン配線を有するロジック素子の製造工程に本発明の第1実施例を適用して、デュアルダマシン配線のトレンチを定義するフォトレジストパターンを形成した後、その結果物をSEMで測定した結果が、図24に示されている。従来とは違って、フォトレジストの損傷が全くないことが分かる。
図面及び実施例には、本発明の典型的な望ましい実施例が開示され、たとえ特定の用語を使用したとしても、これらは単に一般的で描写的な意味で使われたものであり、特許請求の範囲によって決まる本発明の思想を制限するために使われたものではない。
本発明は、半導体素子の配線製造方法に有用に利用されうる。
従来のデュアルダマシン配線の製造方法を説明するための断面図である。 図1の方法によってデュアルダマシン配線用のトレンチを定義するフォトレジストパターンを形成した後、測定したSEM写真である。 本発明の第1実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第1実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第1実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第1実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第1実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第1実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第1実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第1実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第1実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第1実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第1実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第2実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第2実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第2実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第2実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第2実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第2実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第2実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第2実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第2実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第2実施例によるデュアルダマシン配線の製造方法の工程段階別断面図である。 本発明の第1実施例によってデュアルダマシン配線用のトレンチを定義するフォトレジストパターンを形成した後、測定したSEM写真である。
符号の説明
100 基板
105 下部層間絶縁膜
110 下部配線
120 エッチング停止膜
130 低誘電率層間絶縁膜
140 キャッピング膜
150 ビア
190 トレンチ
195 デュアルダマシン領域
210 デュアルダマシン配線

Claims (20)

  1. (a)基板上に下部配線を形成する段階と、
    (b)前記下部配線上にエッチング停止膜を形成する段階と、
    前記エッチング停止膜上に低誘電率層間絶縁膜を形成する段階と、
    )前記層間絶縁膜内にビアを形成する段階と、
    )前記ビアを満たすスピンオンポリマーよりなり、前記層間絶縁膜を覆うマスク用の充填材を形成する段階と、
    )前記充填材の上部に、HSQまたは(RSiO3/2)x(HSiO3/2)yで表示され、x+y=1であり、0<x<y<1を満足させ、RがC4−C24のアルキル、C4−C2のアルケニル、C4−C24のアルコキシ、C8−C24のアルケンオキシ、C4−C24の置換炭化水素、C1−C4の非置換炭化水素またはC1−C4の置換炭化水素であるHSQ系物質で塩基性物質拡散障壁膜を形成し、前記拡散障壁膜上に反射防止膜を形成する段階と、
    )前記反射防止膜上に配線が形成されるトレンチ領域を定義するフォトレジストパターンを形成する段階と、
    )前記フォトレジストパターンをエッチングマスクとして前記反射防止膜及び前記塩基性物質拡散障壁膜をエッチングして反射防止膜パターン及び拡散障壁膜パターンを形成する段階と、
    )前記フォトレジストパターンと前記反射防止膜パターンと前記拡散障壁膜パターンとをエッチングマスクとして使用して、前記層間絶縁膜上の前記充填材をエッチングして前記拡散障壁膜パターンと前記充填材パターンとからなるマルチスタックマスクを形成する段階と、
    )前記マルチスタックマスクをエッチングマスクとして前記層間絶縁膜を一部エッチングして、前記ビアと連結され、配線が形成されるトレンチを形成する段階と、
    )前記マルチスタックマスク及び前記ビア内に残留する前記充填材を除去する段階と、
    )前記ビアにより露出された前記エッチング停止膜を除去して前記下部配線を露出させる段階と、
    )前記トレンチ及び前記ビアを配線物質で充填してデュアルダマシン配線を完成する段階と、を含むデュアルダマシン配線の製造方法。
  2. 前記低誘電率層間絶縁膜は、有機物と無機物とを含む、誘電率3.3以下のハイブリッド型絶縁膜である請求項1に記載のデュアルダマシン配線の製造方法。
  3. 前記ハイブリッド型絶縁膜は、有機シリケートガラス膜である請求項2に記載のデュアルダマシン配線の製造方法。
  4. 前記()段階は、化学気相蒸着法で行う請求項2に記載のデュアルダマシン配線の製造方法。
  5. 前記塩基性物質拡散障壁膜は、フォトレジスト現像液に対する溶解抑制剤をさらに備える請求項1に記載のデュアルダマシン配線の製造方法。
  6. 記()段階は、前記エッチング停止膜を露出させる前記ビアを形成する段階である請求項1に記載のデュアルダマシン配線の製造方法。
  7. 前記エッチング停止膜は、SiC、SiNまたはSiCNよりなる請求項6に記載のデュアルダマシン配線の製造方法。
  8. 前記()段階前に、前記層間絶縁膜上にキャッピング膜を形成する段階をさらに含み、前記()段階は、前記キャッピング膜及び前記層間絶縁膜内にビアを形成する段階である請求項1に記載のデュアルダマシン配線の製造方法。
  9. 前記キャッピング膜は、SiO、SiOF、SiON、SiC、SiNまたはSiCNよりなる請求項8に記載のデュアルダマシン配線の製造方法。
  10. 前記()段階時、前記フォトレジストパターン及び前記反射防止膜パターンは除去され、前記マルチスタックマスクは、前記拡散障壁膜パターンと前記充填材パターンとを含む請求項1に記載のデュアルダマシン配線の製造方法。
  11. 前記()段階は、CxFyまたはCxHyFzガスをメインエッチングガスとして使用する請求項1に記載のデュアルダマシン配線の製造方法。
  12. 前記()段階の完了後、残留する充填材の上面が前記トレンチの底より低くなるように残留させる請求項1に記載のデュアルダマシン配線の製造方法。
  13. 前記()段階時、前記フォトレジストパターンも除去される請求項1に記載のデュアルダマシン配線の製造方法。
  14. 前記()段階は、H、N/H、NH/H、He/Hまたはそれらの混合ガスをメインガスとして使用し、CxHyFzガスを補助ガスとして使用する乾式エッチングにより進行される請求項1に記載のデュアルダマシン配線の製造方法。
  15. 前記()段階時、前記拡散障壁膜パターンもエッチングされて除去される請求項1に記載のデュアルダマシン配線の製造方法。
  16. 前記()段階は、CxFyガスをメインエッチングガスとして使用する乾式エッチングにより進む請求項1に記載のデュアルダマシン配線の製造方法。
  17. 前記()段階は、水素系プラズマを使用する段階である請求項1に記載のデュアルダマシン配線の製造方法。
  18. 前記()段階の前に、前記トレンチが形成された基板を湿式エッチングする段階をさらに含む請求項1に記載のデュアルダマシン配線の製造方法。
  19. 前記()段階で前記配線は、銅配線である請求項1に記載のデュアルダマシン配線の製造方法。
  20. (a)基板上に下部配線を形成する段階と、
    (b)前記下部配線上にエッチング停止膜を形成する段階と、
    (c)前記エッチング停止膜上に有機シリケートガラス膜を形成する段階と、
    (d)前記有機シリケートガラス膜内に前記エッチング停止膜を露出させるビアを形成する段階と、
    (e)前記ビアを満たすスピンオンポリマーよりなり、前記有機シリケートガラス膜を覆うマスク用充填材を形成する段階と、
    (f)前記充填材の上部にHSQまたは(RSiO3/2)x(HSiO3/2)yで表示され、x+y=1であり、0<x<y<1を満足させ、RがC4−C24のアルキル、C4−C2のアルケニル、C4−C24のアルコキシ、C8−C24のアルケンオキシ、C4−C24の置換炭化水素、C1−C4の非置換炭化水素またはC1−C4の置換炭化水素であるHSQ系物質で塩基性物質拡散障壁膜を形成し、前記拡散障壁膜上に反射防止膜を形成する段階と、
    (g)前記反射防止膜上に配線が形成されるトレンチ領域を定義するフォトレジストパターンを形成する段階と、
    (h)前記フォトレジストパターンをエッチングマスクとして前記反射防止膜及び前記塩基性物質拡散障壁膜をエッチングして反射防止膜パターン及び拡散障壁膜パターンを形成する段階と、
    (i)前記フォトレジストパターンと前記反射防止膜パターンと前記拡散障壁膜パターンとをエッチングマスクとして使用して前記層間絶縁膜上の充填材をエッチングし、前記拡散障壁膜パターンと前記充填材パターンよりなるマルチスタックマスクを形成すると同時に前記フォトレジストパターン及び前記反射防止膜パターンも除去する段階と、
    (j)前記マルチスタックマスクをエッチングマスクとして前記層間絶縁膜を一部エッチングして、前記ビアと連結され、配線が形成されるトレンチを形成すると同時に、前記拡散障壁膜パターンも除去する段階と、
    (k)前記充填材パターンと前記ビア内に残留する前記充填材とを除去する段階と、
    (l)前記結果物を湿式エッチングして残留する不純物を除去する段階と、
    (m)前記ビアにより露出された前記エッチング停止膜を除去して前記下部配線を露出させる段階と、
    (n)前記トレンチ及び前記ビアを配線物質で充填してデュアルダマシン配線を完成する段階と、を含むデュアルダマシン配線の製造方法。
JP2005224614A 2004-08-03 2005-08-02 塩基性物質拡散障壁膜を使用する微細電子素子のデュアルダマシン配線の製造方法 Active JP5052771B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2004-0061163 2004-08-03
KR1020040061163A KR100632473B1 (ko) 2004-08-03 2004-08-03 염기성 물질 확산 장벽막을 사용하는 미세 전자 소자의듀얼 다마신 배선의 제조 방법

Publications (2)

Publication Number Publication Date
JP2006049908A JP2006049908A (ja) 2006-02-16
JP5052771B2 true JP5052771B2 (ja) 2012-10-17

Family

ID=36074621

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005224614A Active JP5052771B2 (ja) 2004-08-03 2005-08-02 塩基性物質拡散障壁膜を使用する微細電子素子のデュアルダマシン配線の製造方法

Country Status (3)

Country Link
US (1) US7323407B2 (ja)
JP (1) JP5052771B2 (ja)
KR (1) KR100632473B1 (ja)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060081965A1 (en) * 2004-10-15 2006-04-20 Ju-Ai Ruan Plasma treatment of an etch stop layer
KR100685734B1 (ko) * 2005-06-07 2007-02-26 삼성전자주식회사 다공성 스핀 온 글래스 조성물, 이의 제조 방법 및 이를이용한 다공성 실리콘 산화막 제조 방법
JP2007053220A (ja) * 2005-08-18 2007-03-01 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP4533304B2 (ja) * 2005-11-29 2010-09-01 富士通セミコンダクター株式会社 半導体装置の製造方法
KR100790237B1 (ko) * 2005-12-29 2008-01-02 매그나칩 반도체 유한회사 이미지 센서의 금속배선 형성방법
US7244313B1 (en) * 2006-03-24 2007-07-17 Applied Materials, Inc. Plasma etch and photoresist strip process with intervening chamber de-fluorination and wafer de-fluorination steps
US20070249165A1 (en) * 2006-04-05 2007-10-25 Huang Chun-Jen Dual damascene process
US7651947B2 (en) * 2006-05-25 2010-01-26 International Business Machines Corporation Mask forming and implanting methods using implant stopping layer and mask so formed
US7628866B2 (en) * 2006-11-23 2009-12-08 United Microelectronics Corp. Method of cleaning wafer after etching process
JP5168933B2 (ja) * 2007-02-16 2013-03-27 富士通株式会社 化合物半導体装置の製造方法
US8017517B2 (en) * 2007-06-07 2011-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
US20090093114A1 (en) * 2007-10-09 2009-04-09 Sean David Burns Method of forming a dual-damascene structure using an underlayer
US20090156012A1 (en) * 2007-12-12 2009-06-18 Applied Materials, Inc. Method for fabricating low k dielectric dual damascene structures
US8592229B2 (en) * 2008-10-02 2013-11-26 United Microelectronics Corp. Method for forming dual damascene structure
US9000556B2 (en) 2011-10-07 2015-04-07 International Business Machines Corporation Lateral etch stop for NEMS release etch for high density NEMS/CMOS monolithic integration
US20140127901A1 (en) * 2012-11-08 2014-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k damage free integration scheme for copper interconnects
US8710660B2 (en) * 2012-07-20 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect scheme including aluminum metal line in low-k dielectric
CN106298462B (zh) * 2015-06-12 2019-05-28 中芯国际集成电路制造(上海)有限公司 实现再布线金属层光刻的方法
US10276794B1 (en) 2017-10-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and fabrication method thereof
US10515897B2 (en) 2018-05-17 2019-12-24 Sandisk Technologies Llc Three-dimensional memory device containing hydrogen diffusion blocking structures and method of making the same
US10515907B2 (en) 2018-05-17 2019-12-24 Sandisk Technologies Llc Three-dimensional memory device containing hydrogen diffusion blocking structures and method of making the same

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990003782A (ko) 1997-06-26 1999-01-15 김영환 광픽업 장치
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6461955B1 (en) 1999-04-29 2002-10-08 Texas Instruments Incorporated Yield improvement of dual damascene fabrication through oxide filling
EP1190277B1 (en) * 1999-06-10 2009-10-07 AlliedSignal Inc. Semiconductor having spin-on-glass anti-reflective coatings for photolithography
US6440872B1 (en) 2000-11-03 2002-08-27 International Business Machines Corporation Method for hybrid DRAM cell utilizing confined strap isolation
US6855629B2 (en) 2002-07-24 2005-02-15 Samsung Electronics Co., Ltd. Method for forming a dual damascene wiring pattern in a semiconductor device
US7183195B2 (en) 2002-02-22 2007-02-27 Samsung Electronics, Co., Ltd. Method of fabricating dual damascene interconnections of microelectronic device using hybrid low k-dielectric and carbon-free inorganic filler
US6737117B2 (en) * 2002-04-05 2004-05-18 Dow Corning Corporation Hydrosilsesquioxane resin compositions having improved thin film properties
EP1385201B1 (en) * 2002-07-24 2012-09-05 Samsung Electronics Co., Ltd. Method of fabricating dual damascene interconnections of microelectronic device
JP2004079901A (ja) * 2002-08-21 2004-03-11 Nec Electronics Corp 半導体装置及びその製造方法
KR100441685B1 (ko) 2002-09-19 2004-07-27 삼성전자주식회사 듀얼 다마신 공정
JP3914493B2 (ja) * 2002-11-27 2007-05-16 東京応化工業株式会社 多層レジストプロセス用下層膜形成材料およびこれを用いた配線形成方法
JP2004214388A (ja) * 2002-12-27 2004-07-29 Tokyo Electron Ltd 基板処理方法
JP4050631B2 (ja) * 2003-02-21 2008-02-20 株式会社ルネサステクノロジ 電子デバイスの製造方法
US6858528B2 (en) * 2003-03-20 2005-02-22 Intel Corporation Composite sacrificial material

Also Published As

Publication number Publication date
KR20060012462A (ko) 2006-02-08
US20060063376A1 (en) 2006-03-23
JP2006049908A (ja) 2006-02-16
US7323407B2 (en) 2008-01-29
KR100632473B1 (ko) 2006-10-09

Similar Documents

Publication Publication Date Title
JP5052771B2 (ja) 塩基性物質拡散障壁膜を使用する微細電子素子のデュアルダマシン配線の製造方法
KR100745986B1 (ko) 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법
KR100690881B1 (ko) 미세 전자 소자의 듀얼 다마신 배선의 제조 방법 및 이에의해 제조된 듀얼 다마신 배선을 구비하는 미세 전자 소자
US6740566B2 (en) Ultra-thin resist shallow trench process using high selectivity nitride etch
US7183195B2 (en) Method of fabricating dual damascene interconnections of microelectronic device using hybrid low k-dielectric and carbon-free inorganic filler
US7256136B2 (en) Self-patterning of photo-active dielectric materials for interconnect isolation
US6319821B1 (en) Dual damascene approach for small geometry dimension
US9202863B2 (en) Structure with self aligned resist layer on an interconnect surface and method of making same
US8470708B2 (en) Double patterning strategy for contact hole and trench in photolithography
KR100546337B1 (ko) 하이브리드형 저 유전율 물질과 탄소가 없는 무기충전재를 사용하는 미세 전자 소자의 듀얼 다마신 배선의제조 방법
US8835324B2 (en) Method for forming contact holes
KR100534103B1 (ko) 초임계 유체를 이용한 미세 전자소자의 제조 방법
EP1619718A1 (en) Electronic device and its manufacturing method
TWI660071B (zh) 半導體裝置的形成方法及化學溶液
TWI388006B (zh) 基材之蝕刻方法
JP4994566B2 (ja) ハイブリッド型低誘電率物質と炭素を含まない無機充填材を使用する微細電子素子のデュアルダマシン配線の製造方法
JP2003163265A (ja) 配線構造およびその製造方法
JPWO2009054413A1 (ja) 半導体装置の作製方法
JP2012004170A (ja) 半導体集積回路装置の製造方法
US20090325104A1 (en) Process for manufacturing semiconductor device
TWI525659B (zh) 一種接觸孔形成方法
US20040248419A1 (en) Method of manufacturing semiconductor device
KR100827488B1 (ko) 반도체 소자의 금속 배선 패턴 형성 방법
TW508741B (en) Method for manufacturing dual damascene structure
KR100545219B1 (ko) 듀얼 다마신 배선을 위한 비아홀 및 트랜치 형성 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080717

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111213

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120313

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120410

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120604

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120626

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120725

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5052771

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150803

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250