CN103579181A - 混合互连设计及其形成方法 - Google Patents

混合互连设计及其形成方法 Download PDF

Info

Publication number
CN103579181A
CN103579181A CN201210575923.0A CN201210575923A CN103579181A CN 103579181 A CN103579181 A CN 103579181A CN 201210575923 A CN201210575923 A CN 201210575923A CN 103579181 A CN103579181 A CN 103579181A
Authority
CN
China
Prior art keywords
dielectric
low
barrier layer
layer
conductive barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201210575923.0A
Other languages
English (en)
Other versions
CN103579181B (zh
Inventor
余振华
包天一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103579181A publication Critical patent/CN103579181A/zh
Application granted granted Critical
Publication of CN103579181B publication Critical patent/CN103579181B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53219Aluminium alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开了混合互连设计及其形成方法,其中一种器件包括第一低k介电层以及位于第一低k介电层中的含铜通孔。该器件还包括位于第一低k介电层上方的第二低k介电层以及位于含铜通孔上方并与其电连接的含铝金属线。含铝金属线位于第二低k介电层中。

Description

混合互连设计及其形成方法
技术领域
本发明总的来说涉及半导体领域,更具体地,涉及混合互连设计及其形成方法。
背景技术
现代集成电路由形成在半导体衬底上的晶体管、电容器以及其他器件组成。在衬底上,这些器件最初是彼此分离的,但稍后互接到一起来形成功能电路。典型的互连结构包括诸如金属线(配线)的横向互连以及诸如通孔和接触件的垂直互连。互连结构的质量影响所制造电路的性能和可靠性。互连件越来越多地决定现代集成电路的性能和密度的限制。
互连结构可包括钨插塞和铝线。在新一代的集成电路中,包括使用双镶嵌工艺形成的铜线和通孔的双镶嵌结构也被用于形成互连结构。
发明内容
根据本发明的第一方面,提供了一种器件,包括:第一低k介电层;位于第一低k介电层中的含铜通孔;位于第一低k介电层上方的第二低k介电层;以及所述含铜通孔上方并与含铜通孔电连接的含铝金属线,其中含铝金属线位于第二低k介电层中。
优选地,该器件还包括导电势垒层,其中导电势垒层包括:位于含铜通孔下方的底部;以及位于含铜通孔的侧壁上的侧壁部分。
优选地,导电势垒层是非含铜层。
优选地,该器件还包括位于含铝金属线和含铜通孔之间的非含铝导电势垒层,其中,非含铝导电势垒层和含铝金属线共界。
优选地,该器件还包括介电势垒层,介电势垒层包括:位于含铝金属线的侧壁上的第一部分;以及与含铝金属线重叠的第二部分。
优选地,介电势垒层的第二部分包括与含铝金属线的顶面接触的底面。
优选地,该器件还包括位于含铝金属线上方并与含铝金属线接触的附加非含铝导电势垒层,其中,介电势垒层的第二部分包括与附加非含铝导电势垒层的顶面接触的底面。
优选地,该器件还包括:位于第二低k介电层上方的第三低k介电层;以及位于第三低k介电层中的金属线和通孔,其中,金属线和通孔形成双镶嵌结构。
根据本发明的第二方面,提供了一种器件,包括:第一低k介电层;位于第一低k介电层中的第一含铜通孔;位于第一低k介电层上方的第二低k介电层;以及位于第二低k介电层中并且电连接至第一含铜通孔的第一导线。第一导线包括:第一导电势垒层;和位于第一导电势垒层上方的第一含铝金属线;并且介电势垒层包括:位于第一含铝金属线的侧壁上的第一部分;与第一含铝金属线重叠的第二部分;以及位于第二低k介电层下方的第三部分。
优选地,该器件还包括位于第二低k介电层上方的多个金属层,其中位于第二低k介电层上方和低k介电层中的所有金属层均与对应下方的通孔形成双镶嵌结构。
优选地,该器件还包括:位于第一低k介电层下方的第三低k介电层;位于第三低k介电层中的第二含铜通孔,其中,第二含铜通孔具有单镶嵌结构;位于第一低k介电层和下方的第三低k介电层下方的第四低k介电层;以及位于第四低k介电层中的第二导线,其中,第二导线包括第二导电势垒层和位于第二导电势垒层上方的第二含铝金属线。
优选地,介电势垒层包括与第一低k介电层的顶面接触的底面。
优选地,该器件还包括位于第一低k介电层和第二低k介电层之间的蚀刻终止层,其中,介电势垒层包括:与蚀刻终止层的顶面接触的底面;以及与第二低k介电层的底面接触的顶面。
优选地,第一导电势垒层的边缘与第一含铝金属线的对应边缘对齐。
根据本发明的又一方面,提供了一种方法,包括:利用单镶嵌工艺在第一低k介电层中形成第一通孔;在第一通孔上方沉积含铝层;图案化含铝层以形成含铝线,其中含铝线电连接至第一通孔;以及在第一低k介电层上方形成第二低k介电层,其中,含铝线位于第二低k介电层中。
优选地,该方法还包括:在沉积含铝层之前,在第一低k介电层上方形成导电势垒层,其中,导电势垒层和含铝层被图案化为共界。
优选地,该方法还包括:在沉积含铝层之后,在含铝层上方形成导电势垒层,其中,导电势垒层和含铝层被图案化为共界。
优选地,该方法还包括:在图案化含铝层的步骤之后以及在形成第二低k介电层的步骤之前,形成介电势垒层,其中介电势垒层包括:位于含铝层的侧壁上的第一部分;以及与含铝层重叠的第二部分。
优选地,该方法还包括:在第二低k介电层上方形成第三低k介电层;以及执行双镶嵌工艺,包括在第三低k介电层中形成通孔开口和沟槽开口、在通孔开口和沟槽开口中填充含金属材料;和对含金属材料执行化学机械抛光(CMP),其中,含金属材料的剩余部分在通孔开口中形成第二通孔以及在沟槽中形成金属线。
优选地,该方法还包括:在第一低k介电层和第二低k介电层之间形成蚀刻终止层;以及在沉积含铝层的步骤之前,图案化蚀刻终止层以去除蚀刻终止层与第一通孔重叠的部分。
附图说明
为了更完整地理解本实施例及其优点,现在结合附图作为参考进行下面的描述,其中:
图1至11是根据一些示例性实施例的制造互连结构的中间阶段的截面图。
具体实施方式
下面详细讨论本发明实施例的制造和使用。然而,应该理解,实施例提供了许多可以在各种具体环境中具体化的可应用发明概念。所讨论的具体实施例是说明性的但不限制本发明的范围。
根据各种示例性实施例提供了互连结构及其形成方法。示出了形成互连结构的中间阶段。讨论了实施例的变化。在各个视图和说明性实施例中,类似的参考标号用于表示类似的元件。
图1示出了晶圆100,其包括半导体衬底10。半导体衬底10可由硅、锗、锗硅、III-V化合物半导体等形成。诸如晶体管、电容器、电阻器等的有源和无源器件12可形成为与半导体衬底10的顶面相邻。
图1还示出了层间介电层(ILD)14和接触插塞16的形成。可利用磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、硼磷硅酸盐玻璃(BPSG)、正硅酸乙酯(TEOS)氧化物等形成ILD 14。可包括钨的接触插塞16可形成在ILD 14中并连接至器件12。介电层20形成在ILD 14上方。介电层20可选地被称为金属间介电(IMD)层。在一些实施例中,IMD层20包括低k介电材料,其具有低于3.9的介电常数(k值)。IMD层20的k值可还低于约3.0,或低于约2.5。
金属线22形成在IMD层20中。在说明书中,IMD层中的金属线被统称为金属层。因此,金属线22位于底部金属层M1中。可利用单镶嵌工艺形成金属线22,其与图1和图2所示的工艺类似。在一些实施例中,通过沉积和蚀刻含铝层(例如AlCu)并图案化含铝层来形成金属线22。在可选实施例中,利用单镶嵌工艺形成金属线22,因此其可包括势垒层22A以及位于势垒层22A上方的含铜层22B。势垒层22A可包括钛、氮化钛、钽、氮化钽或其他可选物质。例如,金属线22可具有约10nm和约50nm之间的厚度T1以及约8nm和约30nm之间的宽度W1。
再次参照图1,IMD层24形成在IMD 20上方。在一些实施例中,IMD层24具有低于约3.5的介电常数(k值),因此其在说明中被称为低k IMD层24。低k IMD层24的k值还可低于约2.8。在一些实施例中,低k IMD层24包括氧、硅、氮等。示例性材料包括含碳材料、有机硅酸盐玻璃、含致孔剂材料等。可在低k IMD层24中形成孔隙以降低其k值。可利用诸如等离子体增强CVD(PECVD)的CVD方法来沉积低k IMD层24,尽管也可以使用诸如低压CVD(LPCVD)、原子层CVD(ALCVD)以及旋涂的其他沉积方法。
图1和图2示出了单镶嵌工艺。在图1中,通过蚀刻IMD层24来在低k IMD层24中形成通孔开口26。在一些实施例中,在IMD层24下方和IMD层20上方形成蚀刻终止层(未示出),其中,蚀刻终止层可包括氮、基于硅和碳的电介质、掺杂碳的氧化物等。
图2示出了填充通孔开口26以形成通孔32。在一些实施例中,首先形成扩散势垒层28,其是部分位于通孔开口26中以及部分位于IMD层24上方的覆盖层。然后,在扩散势垒层28上方形成种子层(未示出,与含铜材料30结合),之后通过电镀步骤来形成含铜材料30,直到含铜材料的顶面高于低k IMD层24的顶面。扩散势垒层28可包括钛、氮化钛、钽、氮化钽,或其他可选物质。在一些示例性实施例中,含铜材料30可包括超过90原子百分比、超过95原子百分比、或超过99原子百分比的铜。接下来,执行化学机械抛光(CMP)以去除位于低k IMD层24上方的含铜材料30和扩散势垒层28的过量部分,留下IMD层24中的通孔32。
接下来,如图3所示,可由氮化硅、碳化硅等形成的ESL 34形成在IMD层24和通孔32上方。在可选实施例中,不形成ESL 34。例如,ESL 34可具有约2nm和约20nm之间的厚度T8。接下来,参照图4,在沉积和图案化工艺中形成导电层叠层,其包括导电势垒36和含铝层38。在一些实施例中,导电势垒层40还形成在含铝层38上。在可选实施例中,不形成导电势垒层40。导电势垒层36和40(如果有的话)可包括钛、氮化钛、钽、氮化钽,或其他可选物质。在一些示例性实施例中,含铝层38可包括超过90原子百分比、超过95原子百分比、或超过99原子百分比的铜。然后,图案化叠层以形成金属线42,其电连接至对应下方的通孔32(并且可以与其接触)。由于使用相同的光刻掩模图案化层36、38和40,所以层36、38和40是共界的,层36、38和40的相应边缘彼此对准。在说明书中,金属线42被统称为金属层M2。在图案化步骤中,ESL 34和/或导电势垒36可用作蚀刻终止层。在说明书中,由包括单镶嵌工艺以及沉积和图案化工艺的混合工艺形成的通孔32和上覆金属线42的组合被称为复合结构。导电势垒36可具有约1nm和约20nm之间的厚度T2。含铝层38可具有约10nm和50nm之间的厚度T3。导电势垒层40可具有约1nm和约20nm之间的厚度T4。例如,金属线42可具有约8nm和约30nm之间的宽度W2。
图5示出了介电势垒44的形成,其包括位于金属线42侧壁上的侧壁部分、与金属线42重叠的顶部以及位于ESL 34上的下部。介电势垒44可具有约1nm和约20nm之间的厚度T5。在没有形成导电势垒层40的实施例中,介电势垒44的顶部接触金属线42中的含铝层38的顶面。另外,如果形成导电势垒层40,则导电势垒44的顶部接触金属线42中的导电势垒层40的顶面。介电势垒44可由AlOx、AlNx、SiCN、SiN等形成,其中x的值在0和1之间。例如,利用原子层沉积(ALD)形成介电势垒44。
图6示出了IMD层46的形成。IMD层46的材料可从形成IMD层24和/或IMD层20的可用材料的相同组中选择。在一些实施例中,利用具有低k值的旋涂介电层(SOD)形成IMD层46。在可选实施例中,可利用化学气相沉积(CVD)方法形成IMD层46,诸如PECVD、LPCVD、ALCVD等。作为CVD方法的结果,可在IMD层46中形成孔隙48,而且邻近的孔隙48之间可以减小IMD层46的有效k值,并且减小金属线42之间的寄生电容。在利用CVD方法形成IMD层46的实施例中,可执行CMP或研磨以使IMD层46的顶面变平。在利用SOD形成IMD层46的实施例中。可以执行或者可以省略CMP或研磨步骤。IMD层46的顶面高于金属线42的顶面和介电势垒层44的顶部。
在图7中,利用单镶嵌工艺形成通孔50,其形成基本上可与图1和图5所示相同。通孔50形成在IMD层46中,并且电连接至下面的金属线42。通孔50穿透介电层44以电连接至金属线42。在随后的步骤中,如图8所示,形成金属线52,其中形成工艺可与金属线42的形成基本相同。然后可以形成介电势垒层47,例如利用与介电势垒层44类似的材料和类似的厚度。金属线52统称为金属层M3。每条金属线52都包括导电势垒层54和位于导电势垒层54上方的含铝层56。例如,含铝层56可具有约10nm和约50nm之间的厚度T6以及约8nm和约30nm之间的宽度W3。利用与导电势垒层36和含铝层38基本相同的方法和相同的材料可分别形成导电势垒层54和含铝层56。在所示实施例中,在IMD层46上方和介电层47下方不形成ESL,尽管可形成ESL(未示出),其中可利用与ESL 34类似的材料和类似的厚度形成ESL。此外,在所示实施例中不形成导电势垒层,尽管类似于导电势垒层40的导电势垒层还可形成在含铝层56上方并与其邻接。
图9和图10示出了直到顶部金属层Mtop(请参照图10)(其是形成在低k介电层中的最顶部的金属层)的剩余低k介电层的形成。例如,术语“Mtop”中的符号“top”代表整数,其可以是约3和约10之间的任意整数。因此,金属层Mtop下方的金属层称为金属层Mtop-1。在一些实施例中,金属层M2至Mtop的每一层和对应下方的通孔都具有混合结构。混合结构包括利用单镶嵌工艺形成的通孔和位于通孔上方并与其接触的含铝金属线,其中利用沉积和图案化而不是单镶嵌或双镶嵌工艺形成含铝金属线。在可选实施例中,下部的金属层M2至Mn(未示出)的每一层和对应下方的通孔形成混合结构,而利用双镶嵌工艺形成上部金属层M(n+1)(未示出)至Mtop的每一层,其中整数n可以是2和(top-1)之间并包括2和(top-1)的任意整数。例如,图9和图10示意性示出了用于形成上部金属层的双镶嵌工艺。
参照图9,形成作为低k介电层的IMD层58。接下来,利用蚀刻工艺在IMD层58中形成通孔开口60和沟槽62。接下来,如图10所示,填充通孔开口60和沟槽62,然后为CMP工艺。填充材料可包括导电势垒层64和位于导电势垒层64上方的导电材料66。导电材料64可由钛、氮化钛、钽、氮化钽等形成。例如,导电势垒层64的厚度T7可在约5nm和约50nm之间。导电材料66可包括铜或铜合金。作为填充步骤和CMP步骤的结果,通孔68和金属线70分别形成在通孔开口60和沟槽62中。例如,金属线70可具有约100nm和约5000nm之间的厚度T9以及约50nm和约5000nm之间的宽度W4。
图11示出了非低k介电层72的形成,其可以由氧化硅、氮化硅、未掺杂的硅酸盐玻璃等形成。金属布线(未示出)可在非低k介电层72中形成,并通过Mtop电连接至下面的金属层M1。
在实施例中,互连结构中的金属线可包括含铝金属线。主要由铝形成的含铝线(当其具有约40nm和50nm之间或更小的线宽时)可具有比具有相同宽度的铜线更小的线阻。此外,通过进一步减小铝线的线宽,铝线的线阻和铜线的线阻(具有相同的宽度)之间的差异随着线宽的逐渐减小而变大。因此,当线宽较小时,采用铝线可减小线阻并减小RC延迟。另一方面,当通过沉积和图案化形成铝线时,利用单镶嵌工艺而不是双镶嵌工艺形成下面的通孔。因此,空隙填充到通孔开口中比双镶嵌工艺中的空隙填充到沟槽和通孔开口更加容易。
此外,诸如金属层Mtop的上部金属层可具有比下面的金属层更宽的线宽。因此,上部金属层可采用双镶嵌工艺,上部金属层中的金属线包括铜线,而下部金属线可采用混合结构。因此,优化了上部金属层和下部金属层的线阻值。
根据实施例,一种器件包括第一低k介电层以及位于第一低k介电层中的含铜通孔。该器件还包括位于第一低k介电层上方的第二低k介电层以及位于含铜通孔上方并与其电连接的含铝金属线。含铝金属线位于第二低k介电层中。
根据其他实施例,一种器件包括第一低k介电层、位于第一低k介电层中的第一含铜通孔、位于第一低k介电层上方的第二低k介电层以及位于第二低k介电层中并电连接至第一含铜通孔的导线。导线包括导电势垒层以及位于导电势垒层上方的含铝金属线。介电势垒层包括位于含铝金属线侧壁上的第一部分、与含铝金属线重叠的第二部分以及位于第二低k介电层下方的第三部分。
根据其他实施例,一种方法包括:利用单镶嵌工艺在第一低k介电层中形成第一通孔;在第一通孔上方沉积含铝层;以及图案化含铝层以形成含铝线。含铝线电连接至第一通孔。在第一低k介电层上方形成第二低k介电层,其中含铝线在第二低k介电层中。
尽管已经详细描述了实施例及其优点,但应该理解,可以进行各种改变、替换和更改而不背离所附权利要求限定的实施例的精神和范围。此外,本申请的范围不旨在限于说明中描述的工艺、机械装置、制造、以及物质组成、工具、方法和步骤的特定实施例。本领域技术人员容易理解,根据本公开可以利用与本文描述的对应的实施例执行基本相同的功能或实现基本相同的结果的目前现有或即将开发的工艺、机械装置、制造、以及物质组成、工具、方法或步骤。因此,所附权利要求旨在包括在这种工艺、机械装置、制造、以及物质组成、工具、方法或步骤的范围。此外,每个权利要求构成独立的实施例,并且各种权利要求和实施例的组合均在本公开的范围内。

Claims (10)

1.一种器件,包括:
第一低k介电层;
位于所述第一低k介电层中的含铜通孔;
位于所述第一低k介电层上方的第二低k介电层;以及
位于所述含铜通孔上方并与所述含铜通孔电连接的含铝金属线,其中所述含铝金属线位于所述第二低k介电层中。
2.根据权利要求1所述的器件,还包括导电势垒层,其中,所述导电势垒层包括:
位于所述含铜通孔下方的底部;以及
位于所述含铜通孔的侧壁上的侧壁部分。
3.根据权利要求2所述的器件,其中,所述导电势垒层是非含铜层。
4.根据权利要求1所述的器件,还包括位于所述含铝金属线和所述含铜通孔之间的非含铝导电势垒层,其中,所述非含铝导电势垒层和所述含铝金属线共界。
5.根据权利要求1所述的器件,还包括介电势垒层,所述介电势垒层包括:
位于所述含铝金属线的侧壁上的第一部分;以及
与所述含铝金属线重叠的第二部分。
6.根据权利要求5所述的器件,其中,所述介电势垒层的第二部分包括与所述含铝金属线的顶面接触的底面。
7.根据权利要求5所述的器件,还包括位于所述含铝金属线上方并与所述含铝金属线接触的附加非含铝导电势垒层,其中,所述介电势垒层的第二部分包括与所述附加非含铝导电势垒层的顶面接触的底面。
8.根据权利要求1所述的器件,还包括:
位于所述第二低k介电层上方的第三低k介电层;以及
位于所述第三低k介电层中的金属线和通孔,其中,所述金属线和所述通孔形成双镶嵌结构。
9.一种器件,包括:
第一低k介电层;
位于所述第一低k介电层中的第一含铜通孔;
位于所述第一低k介电层上方的第二低k介电层;以及
位于所述第二低k介电层中并且电连接至所述第一含铜通孔的第一导线,所述第一导线包括:
第一导电势垒层;和
位于所述第一导电势垒层上方的第一含铝金属线;并且
介电势垒层包括:
位于所述第一含铝金属线的侧壁上的第一部分;
与所述第一含铝金属线重叠的第二部分;以及
位于所述第二低k介电层下方的第三部分。
10.一种方法,包括:
利用单镶嵌工艺在第一低k介电层中形成第一通孔;
在所述第一通孔上方沉积含铝层;
图案化所述含铝层以形成含铝线,其中所述含铝线电连接至所述第一通孔;以及
在所述第一低k介电层上方形成第二低k介电层,其中,所述含铝线位于所述第二低k介电层中。
CN201210575923.0A 2012-07-20 2012-12-26 混合互连设计及其形成方法 Active CN103579181B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/554,817 2012-07-20
US13/554,817 US8710660B2 (en) 2012-07-20 2012-07-20 Hybrid interconnect scheme including aluminum metal line in low-k dielectric

Publications (2)

Publication Number Publication Date
CN103579181A true CN103579181A (zh) 2014-02-12
CN103579181B CN103579181B (zh) 2016-10-05

Family

ID=49879819

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210575923.0A Active CN103579181B (zh) 2012-07-20 2012-12-26 混合互连设计及其形成方法

Country Status (4)

Country Link
US (3) US8710660B2 (zh)
KR (1) KR101411198B1 (zh)
CN (1) CN103579181B (zh)
DE (1) DE102012111786B4 (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8710660B2 (en) 2012-07-20 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect scheme including aluminum metal line in low-k dielectric
US9716035B2 (en) * 2014-06-20 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Combination interconnect structure and methods of forming same
US9837354B2 (en) 2014-07-02 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid copper structure for advance interconnect usage
US9679946B2 (en) * 2014-08-25 2017-06-13 HGST, Inc. 3-D planes memory device
US9496169B2 (en) 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
US9672319B1 (en) * 2015-06-29 2017-06-06 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing electronic designs with a pseudo-3D analysis mechanism
US9842804B2 (en) 2016-01-04 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for reducing dual damascene distortion
KR102460075B1 (ko) 2016-01-27 2022-10-31 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US10361121B2 (en) 2016-05-13 2019-07-23 Intel Corporation Aluminum oxide for thermal management or adhesion
US10211093B2 (en) * 2016-07-08 2019-02-19 Samsung Electronics Co., Ltd. Interconnect structure formed with a high aspect ratio single damascene copper line on a non-damascene via
US10026647B2 (en) 2016-12-12 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-metal fill with self-align patterning
US10256191B2 (en) 2017-01-23 2019-04-09 International Business Machines Corporation Hybrid dielectric scheme for varying liner thickness and manganese concentration
US10224285B2 (en) 2017-02-21 2019-03-05 Raytheon Company Nitride structure having gold-free contact and methods for forming such structures
US10096550B2 (en) 2017-02-21 2018-10-09 Raytheon Company Nitride structure having gold-free contact and methods for forming such structures
US11411095B2 (en) * 2017-11-30 2022-08-09 Intel Corporation Epitaxial source or drain structures for advanced integrated circuit structure fabrication
TWI817576B (zh) 2017-11-30 2023-10-01 美商英特爾股份有限公司 用於先進積體電路結構製造之異質金屬線組成
US11532547B2 (en) * 2019-08-22 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structures with low-aspect-ratio contact vias
US11404366B2 (en) * 2020-05-27 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect structure for self aligned via
KR20220033207A (ko) * 2020-09-09 2022-03-16 삼성전자주식회사 반도체 칩 및 이를 포함하는 반도체 패키지
US11658064B2 (en) * 2020-09-29 2023-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure with dielectric cap layer and etch stop layer stack

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020190299A1 (en) * 2001-06-15 2002-12-19 Chen-Chiu Hsue Metal capacitor in damascene structures
CN1691306A (zh) * 2004-04-28 2005-11-02 联华电子股份有限公司 双镶嵌工艺中两阶段去除介层洞光阻的方法
US20070037394A1 (en) * 2005-08-15 2007-02-15 Ciwest Semiconductor Corporation A method for using a cu beol process to fabricate an integrated circuit (ic) originally having an al design
US20080185724A1 (en) * 2006-10-17 2008-08-07 Horng-Huei Tseng Aluminum-based interconnection in bond pad layer
US20120080792A1 (en) * 2010-09-30 2012-04-05 Institute of Microelectronics, Chinese Academy of Sciences Metal Interconnection Structure and Method For Forming Metal Interlayer Via and Metal Interconnection Line

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6285082B1 (en) * 1995-01-03 2001-09-04 International Business Machines Corporation Soft metal conductor
US6077774A (en) * 1996-03-29 2000-06-20 Texas Instruments Incorporated Method of forming ultra-thin and conformal diffusion barriers encapsulating copper
US5946601A (en) * 1997-12-31 1999-08-31 Intel Corporation Unique α-C:N:H/α-C:Nx film liner/barrier to prevent fluorine outdiffusion from α-FC chemical vapor deposition dielectric layers
US6331481B1 (en) * 1999-01-04 2001-12-18 International Business Machines Corporation Damascene etchback for low ε dielectric
US6531407B1 (en) * 2000-08-31 2003-03-11 Micron Technology, Inc. Method, structure and process flow to reduce line-line capacitance with low-K material
US6680514B1 (en) * 2000-12-20 2004-01-20 International Business Machines Corporation Contact capping local interconnect
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US7064056B2 (en) * 2003-06-13 2006-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer stack to prevent Ti diffusion
KR100555513B1 (ko) 2003-08-04 2006-03-03 삼성전자주식회사 보이드 발생이 방지되는 금속배선구조 및 금속배선방법
US7244673B2 (en) * 2003-11-12 2007-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integration film scheme for copper / low-k interconnect
KR100515380B1 (ko) 2003-12-27 2005-09-14 동부아남반도체 주식회사 알루미늄구리-플러그를 이용하여 비아를 형성한 반도체소자 및 그 제조 방법
KR100558009B1 (ko) * 2004-01-12 2006-03-06 삼성전자주식회사 확산방지막을 선택적으로 형성하여 반도체소자를 제조하는방법 및 그것에 의해 제조된 반도체소자
KR100632473B1 (ko) 2004-08-03 2006-10-09 삼성전자주식회사 염기성 물질 확산 장벽막을 사용하는 미세 전자 소자의듀얼 다마신 배선의 제조 방법
JP4492949B2 (ja) * 2004-11-01 2010-06-30 ルネサスエレクトロニクス株式会社 電子デバイスの製造方法
JP5180426B2 (ja) * 2005-03-11 2013-04-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7564136B2 (en) * 2006-02-24 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Integration scheme for Cu/low-k interconnects
US20080014739A1 (en) * 2006-06-28 2008-01-17 Texas Instruments Incorporated Silicon nitride/oxygen doped silicon carbide etch stop bi-layer for improved interconnect reliability
KR100782487B1 (ko) * 2006-08-21 2007-12-05 삼성전자주식회사 보이드 한정 구조체들, 상기 보이드 한정 구조체들을가지는 반도체 장치들 및 그들의 형성방법들
US9087877B2 (en) * 2006-10-24 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k interconnect structures with reduced RC delay
US7737554B2 (en) * 2007-06-25 2010-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Pitch by splitting bottom metallization layer
US7741224B2 (en) * 2007-07-11 2010-06-22 Texas Instruments Incorporated Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
DE102007057682A1 (de) * 2007-11-30 2009-06-04 Advanced Micro Devices, Inc., Sunnyvale Hybridkontaktstruktur mit Kontakt mit kleinem Aspektverhältnis in einem Halbleiterbauelement
KR101649714B1 (ko) * 2008-03-21 2016-08-30 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 상호접속부를 위한 자기정렬 배리어 층
JP5501586B2 (ja) * 2008-08-22 2014-05-21 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
DE102009010844B4 (de) * 2009-02-27 2018-10-11 Advanced Micro Devices, Inc. Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen
JP5025679B2 (ja) * 2009-03-27 2012-09-12 株式会社東芝 半導体装置
US8120179B2 (en) * 2009-11-10 2012-02-21 International Business Machines Corporation Air gap interconnect structures and methods for forming the same
JP2011228419A (ja) * 2010-04-19 2011-11-10 Renesas Electronics Corp 半導体集積回路装置および半導体集積回路装置の製造方法
US9117882B2 (en) * 2011-06-10 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Non-hierarchical metal layers for integrated circuits
US8518818B2 (en) * 2011-09-16 2013-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse damascene process
US9269612B2 (en) * 2011-11-22 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of forming damascene interconnect structures
US8710660B2 (en) 2012-07-20 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect scheme including aluminum metal line in low-k dielectric

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020190299A1 (en) * 2001-06-15 2002-12-19 Chen-Chiu Hsue Metal capacitor in damascene structures
CN1691306A (zh) * 2004-04-28 2005-11-02 联华电子股份有限公司 双镶嵌工艺中两阶段去除介层洞光阻的方法
US20070037394A1 (en) * 2005-08-15 2007-02-15 Ciwest Semiconductor Corporation A method for using a cu beol process to fabricate an integrated circuit (ic) originally having an al design
US20080185724A1 (en) * 2006-10-17 2008-08-07 Horng-Huei Tseng Aluminum-based interconnection in bond pad layer
US20120080792A1 (en) * 2010-09-30 2012-04-05 Institute of Microelectronics, Chinese Academy of Sciences Metal Interconnection Structure and Method For Forming Metal Interlayer Via and Metal Interconnection Line

Also Published As

Publication number Publication date
KR20140011908A (ko) 2014-01-29
US20140021614A1 (en) 2014-01-23
US8710660B2 (en) 2014-04-29
DE102012111786A1 (de) 2014-01-23
US20160268194A1 (en) 2016-09-15
US9966336B2 (en) 2018-05-08
KR101411198B1 (ko) 2014-06-23
US9362164B2 (en) 2016-06-07
CN103579181B (zh) 2016-10-05
US20140213051A1 (en) 2014-07-31
DE102012111786B4 (de) 2020-10-01

Similar Documents

Publication Publication Date Title
CN103579181B (zh) 混合互连设计及其形成方法
US10170420B2 (en) Patterning approach for improved via landing profile
CN102870212B (zh) 具有自对准介电帽的互连结构的结构和制造方法
US7335588B2 (en) Interconnect structure and method of fabrication of same
US11398405B2 (en) Method and apparatus for back end of line semiconductor device processing
CN104167422A (zh) 可变电阻存储器结构及其形成方法
CN106952869B (zh) 半导体装置及其制造方法和使用电脑设计其布局的方法
CN102881638A (zh) 一种带有空气间隙的大马士革工艺
CN102446823A (zh) 一种大马士革制造工艺
US10763160B1 (en) Semiconductor device with selective insulator for improved capacitance
US7250364B2 (en) Semiconductor devices with composite etch stop layers and methods of fabrication thereof
JP2006196642A (ja) 半導体装置およびその製造方法
US20060226549A1 (en) Semiconductor device and fabricating method thereof
CN104701248A (zh) 用于半导体器件的互连结构
WO2023093676A1 (en) Beol top via wirings with dual damascene via and super via redundancy
US20200194496A1 (en) Integrated circuits with embedded memory structures and methods for fabricating the same
US20070205516A1 (en) Low-k dielectric layer, semiconductor device, and method for fabricating the same
KR100784105B1 (ko) 반도체 소자의 제조 방법
KR20090099223A (ko) 반도체 소자의 캐패시터 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant