DE102018200438B4 - Voll ausgerichtete Via-Strukturen - Google Patents

Voll ausgerichtete Via-Strukturen Download PDF

Info

Publication number
DE102018200438B4
DE102018200438B4 DE102018200438.2A DE102018200438A DE102018200438B4 DE 102018200438 B4 DE102018200438 B4 DE 102018200438B4 DE 102018200438 A DE102018200438 A DE 102018200438A DE 102018200438 B4 DE102018200438 B4 DE 102018200438B4
Authority
DE
Germany
Prior art keywords
conductive
structures
recessed
conductive material
minimum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102018200438.2A
Other languages
English (en)
Other versions
DE102018200438A1 (de
Inventor
Nicholas V. LiCausi
Xunyuan Zhang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102018200438A1 publication Critical patent/DE102018200438A1/de
Application granted granted Critical
Publication of DE102018200438B4 publication Critical patent/DE102018200438B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Struktur (10, 10'), umfassend:eine Mehrzahl von in einem dielektrischen Material (12) gebildeten leitfähigen Strukturen (14a, 14b) mit minimaler Strukturgröße, die jeweils ein vertieft liegendes leitfähiges Material (18) umfassen;wenigstens eine in dem dielektrischen Material (12) gebildete leitfähige Struktur (14c), die breiter ist als die Mehrzahl von leitfähigen Strukturen (14a, 14b) mit minimaler Strukturgröße und ein leitfähiges Material (24) umfasst, wobei das leitfähige Material (24) zu dem dielektrischen Material (12) eben ist;eine Ätzstoppschicht (28) über einer Oberfläche des dielektrischen Materials (12) mit Öffnungen zum Freilegen des leitfähigen Materials (24) der wenigstens einen leitfähigen Struktur (14c) und des vertieft liegenden leitfähigen Materials (18) von einer aus der Mehrzahl von leitfähigen Strukturen (14a, 14b) mit minimaler Strukturgröße ausgewählten leitfähigen Struktur (14b) mit minimaler Strukturgröße; undobere Verbindungsstrukturen (32a, 32b), die durch die Öffnungen der Ätzstoppschicht (28) voll ausgerichtet sind zu und in direktem elektrischen Kontakt stehen mit der wenigstens einen leitfähigen Struktur (14c) und dem vertieft liegenden leitfähigen Material (18) der ausgewählten leitfähigen Struktur (14b) mit minimaler Strukturgröße.

Description

  • GEBIET DER ERFINDUNG
  • Die vorliegende Erfindung betrifft Halbleiterstrukturen, und insbesondere voll ausgerichtete Via-Strukturen.
  • HINTERGRUND
  • An fortgeschrittenen Technologieknoten werden Verdrahtungsstrukturen stets kleiner. wobei gemäß minimalen Strukturgrößen Merkmalsgrößen von 10 nm und weniger erreicht werden. In integrierten Schaltungen können die Verdrahtungsstrukturen an unterschiedlichen Verdrahtungsschichten durch voll ausgerichtete Vias verbunden sein. Die voll ausgerichteten Vias bieten den Vorteil eines direkten Landens auf den Verdrahtungsstrukturen mit minimaler Strukturgröße, sowie größer dimensionierten Merkmalen.
  • Aus Dokument US 2016 / 0 049 364 A1 sind Verbindungsstrukturen mit voll ausgerichteten Vias bekannt, die durch Bilden einer vertieften Öffnung über einer ersten Metallleitung in einer ersten ILD, Bilden einer Kappe auf der ersten ILD und in den vertieften Öffnungen, Bilden einer zweiten ILD auf der Kappe, Bilden einer Metallgraben-Hartmaske über dem zweiten ILD, Bilden eines Metallgrabenmusters in der Metallgraben-Hartmaske, Bilden eines Kontaktlochmusters, das zu dem Metallgrabenmuster und über einem Teil der ersten Metallleitung selbstausgerichtet ist, Bilden einer Kontaktlochöffnung, wobei die erste Metallleitung freigelegt wird, indem das Kontaktlochmuster und das Metallgrabenmuster auf niedrigere Niveaus übertragen werden, wobei das Kontaktlochmuster selbstjustiert zu der vertieften Öffnung ist, und Bilden einer Durchkontaktierung und einer dritten Metallleitung in der Kontaktlochöffnung bzw. dem übertragenen Metallgrabenmuster gebildet werden.
    Gemäß Dokument US 9 741 609 B1 ist ein Verfahren zur Herstellung von Merkmalen einer Halbleitervorrichtung bekannt, umfassend das Ausbilden eines Kontakts über einem Substrat, wobei der Kontakt einen Kobaltkern und eine auf Seitenwänden angeordnete Liner-Schicht umfasst, wobei der Kontakt einen Abschnitt umfasst, der seitlich von einem Zwischenschichtdielektrikum umgeben ist, ein Abscheiden einer weiteren Schicht aus dem Zwischenschichtdielektrikum auf dem Kontakt, ein Ätzen einer ersten Öffnung in das Zwischenschichtdielektrikum, um eine Oberfläche des Kontakts freizulegen, ein Entfernen der Liner-Schicht des Kontakts, um einen Teil des Kobaltkerns freizulegen, ein Ätzen des Zwischenschichtdielektrikums, das den Kontakt seitlich umgibt, um eine zweite Öffnung unterhalb der ersten Öffnung zu bilden, wobei die zweite Öffnung eine Breite aufweist, die kleiner ist als die erste Öffnung, ein Abscheiden eines Liners auf den Seitenwänden der ersten Öffnung, der zweiten Öffnung und direkt auf dem Kobaltkern, und Abscheiden eines Metalls auf der Liner-Schicht, um eine Verbindungsstruktur zu bilden.
    In der Schrift DE 10 2017 203 568 A1 ist ein Verfahren zum Bilden von leitfähigen Strukturen mit unterschiedlichen Materialzusammensetzungen in einer Metallisierungsschicht beschrieben, wobei das Verfahren ein Bilden eines ersten Grabens und eines zweiten Grabens in einer Schicht aus isolierendem Material und ein Bilden einer zweiten leitfähigen Struktur in dem zweiten Graben umfasst. Dabei weist der erste Graben eine erste seitliche kritische Dimension auf und der zweite Graben weist eine zweite seitliche kritische Dimension auf, die größer ist als die erste seitliche kritische Dimension des ersten Grabens. In dem ersten Graben wird eine erste leitfähige Struktur gebildet. Ein erstes Metallkörpermaterial stellt einen Hauptkomponentenabschnitt der ersten leitfähigen Struktur dar und ein zweites Metallkörpermaterial bildet einen Hauptkomponentenabschnitt der zweiten leitfähigen Struktur, wobei das erste Metallkörpermaterial und das zweite Metallkörpermaterial unterschiedliche Materialien sind. Dokument US 2011 / 0 034 026 A1 offenbart ein Herstellungsverfahren für ein Halbleiterbauelement, umfassend ein Bilden eines dielektrischen Zwischenschichtfilms über einem Halbleitersubstrat, ein Bilden eines ersten Verdrahtungsgrabens mit einer ersten Breite und eines zweiten Verdrahtungsgrabens mit einer zweiten Breite, die größer ist als die erste Breite in dem dielektrischen Zwischenschichtfilm, ein Bilden einer ersten Keimschicht, die ein erstes zusätzliches Element in dem ersten Verdrahtungsgraben und dem zweiten Verdrahtungsgraben enthält, ein Bilden einer ersten Kupferschicht über der ersten Keimschicht, ein Entfernen der ersten Kupferschicht und der ersten Keimschicht in dem zweiten Verdrahtungsgraben, während die erste Kupferschicht und die erste Keimschicht in dem ersten Verdrahtungsgraben verbleiben, ein Bilden einer zweiten Keimschicht in dem zweiten Verdrahtungsgraben nach dem Entfernen der ersten Kupferschicht und der ersten Keimschicht in dem zweiten Verdrahtungsgraben und ein Bilden einer zweiten Kupferschicht über der zweiten Keimschicht.
    In der Schrift DE 10 2015 107 292 A1 ist eine Halbleiterbauelementstruktur mit einer Source/Drain-Struktur beschrieben, die in einem Substrat ausgebildet ist. Auf dem Substrat ist eine erste Dielektrikumsschicht ausgebildet, in der eine Gateelektrode und eine erste Source/Drain-Kontaktstruktur ausgebildet ist. Auf der ersten Dielektrikumsschicht, der Gateelektrode und der ersten Source/Drain-Kontaktstruktur sind ferner sequentiell eine zweite Dielektrikumsschicht und eine dritte Dielektrikumsschicht ausgebildet. Über der Gateelektrode ist eine Kontaktstruktur gebildet und weiterhin ist eine zweite Source/Drain-Kontaktstruktur über der ersten Source/Drain-Kontaktstruktur gebildet, wobei die erste Kontaktstruktur und die erste Source/Drain-Kontaktstruktur in der zweiten und dritten Dielektrikumsschicht gebildet sind. Dabei ist eine Bodenfläche der Kontaktstruktur über der Gateelektrode niedriger als eine obere Oberfläche der ersten Source/Drain-Kontaktstruktur. Auf der dritten Dielektrikumsschicht ist eine vierte Dielektrikumsschicht gebildet, in der Kontaktstrukturen zu jeweils der Kontaktstruktur über der Gateelektrode und zu der zweiten Source/Drain-Kontaktstruktur gebildet ist.
  • In gegenwärtigen Herstellungsprozessen werden voll ausgerichtete Vias auf die gleiche Weise gebildet, wie für den Zugriff auf die Verdrahtungsstrukturen mit minimaler Strukturgröße und der größer dimensionierten Merkmale. Dies ergibt ein verringertes Volumen des Leitermaterials innerhalb der größer dimensionierten Merkmale, wobei sich der Gesamtwiderstand erhöht.
  • KURZE ZUSAMMENFASSUNG DER ERFINDUNG
  • In einem Aspekt der Erfindung umfasst eine Struktur: eine Mehrzahl von in einem dielektrischen Material gebildeten leitfähigen Strukturen mit minimaler Strukturgröße, die jeweils ein vertieft liegendes leitfähiges Material umfassen; wenigstens eine in dem dielektrischen Material gebildete leitfähige Struktur, die breiter ist als die Mehrzahl von leitfähigen Strukturen mit minimaler Strukturgröße und ein leitfähiges Material umfasst, wobei das leitfähige Material zu dem dielektrischen Material eben ist; eine Ätzstoppschicht über einer Oberfläche der dielektrischen Schicht mit Öffnungen zum Freilegen des leitfähigen Materials der wenigstens einen leitfähigen Struktur und des vertieft liegenden leitfähigen Materials von einer aus der Mehrzahl von leitfähigen Strukturen mit minimaler Strukturgröße ausgewählten leitfähigen Struktur mit minimaler Strukturgröße; und obere Verbindungsstrukturen, die durch die Öffnungen der Ätzstoppschicht voll ausgerichtet sind zu und in direktem elektrischen Kontakt stehen mit der wenigstens einen leitfähigen Struktur und dem vertieft liegenden leitfähigen Material der ausgewählten leitfähigen Struktur mit minimaler Strukturgröße.
  • In einem Aspekt der Erfindung umfasst eine Struktur: eine Mehrzahl von Strukturen mit minimaler Strukturgröße, die jeweils ein vertieft liegendes leitfähiges Material umfassen und dazwischen einen kleinsten Isolationsabstand aufweisen; wenigstens eine Verdrahtungsstruktur mit einer Dimension, die größer ist als die Mehrzahl von Strukturen mit minimaler Strukturgröße, wobei die wenigstens eine Verdrahtungsstruktur ein leitfähiges Material umfasst, das sich von dem vertieft liegenden leitfähigen Material unterscheidet, wobei das leitfähige Material zu einem elektrischen Material eben ist, das eine zu der Mehrzahl von Strukturen mit minimaler Strukturgröße gleiche Verdrahtungsebene darstellt; und obere Verbindungsstrukturen, die bezüglich der wenigstens einen Verdrahtungsstruktur und dem vertieft liegenden leitfähigen Material von einer aus der Mehrzahl von minimaler Strukturen mit Strukturgröße ausgewählten Struktur mit minimaler Strukturgröße voll ausgerichtet und damit in direktem elektrischen Kontakt sind.
  • Figurenliste
  • Die vorliegende Erfindung wird in der folgenden detaillierten Beschreibung zusammen mit der Mehrzahl von Figuren anhand nicht beschränkender Beispiele von beispielhaften Ausführungsformen der vorliegenden Erfindung beschrieben.
    • 1 zeigt unter anderen Merkmalen eine Struktur mit Merkmalen mit kleinster Breite und breiteren Merkmalen, umfassend ein alternatives Metallmaterial, und entsprechende Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung.
    • 2 zeigt unter anderen Merkmalen die Merkmale mit kleinster Breite mit dem alternativen Metallmaterial und die breiteren Merkmale mit einer Füllung aus einem leitfähigen Material und entsprechende Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung.
    • 3 zeigt unter anderen Merkmalen ein alternatives Metallmaterial, das innerhalb der Merkmale mit kleinster Breite vertieft ist und entsprechende Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung.
    • 4 zeigt unter anderen Merkmalen voll ausgerichtete Via-Strukturen in elektrischem Kontakt zu ausgewählten Merkmalen mit kleinster Breite und dem breiteren Merkmal und entsprechende Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung.
    • 5 bis 8 zeigen unter anderen Merkmalen Strukturen mit Merkmalen mit kleinster Breite und breiteren Merkmalen und entsprechende Herstellungsprozesse gemäß zusätzlichen Aspekten der vorliegenden Erfindung.
  • DETAILLIERTE BESCHREIBUNG
  • Die vorliegende Erfindung betrifft Halbleiterstrukturen, insbesondere voll ausgerichtete Via-Strukturen. Genauer stellt die vorliegende Erfindung eine unabhängige Steuerung von Leitungen mit kleinster Breite (z.B. Zwischenverbindungen oder andere Verdrahtungsstrukturen) und breiteren Leitungen für vollständig ausgerichtete Via-Strukturen bereit. Durch Umsetzung der hierin beschriebenen Verfahren und Strukturen kann nun eine duale Metallisierung bei voll ausgerichteten Via (fully aligned via, FAV) -Strukturen für verbesserte Via- und Verbindungswiderstände ermöglicht werden. Zusätzliche Vorteile umfassen z.B. einen verbesserten Widerstand breiterer Leitungen über Verbindungen, die auf allen Leitungen ausgenommen sind, und einen verbesserten Widerstand von Vias für Strukturen einer selektiven Verdrahtung.
  • In Ausführungsformen umfasst das hierin beschriebene Verfahren ein Abscheiden einer Schicht aus einem alternativen Metallmaterial, z.B. Ru oder Co, in Verbindungsstrukturen, die für leitfähige Leitungen oder Merkmale mit kleinster Breite verwendet werden (z.B. Verbindungsstrukturen mit kleinster Breite). Das Integrationsschema umfasst ferner ein isotropes Entfernen des alternativen Metalls in breiteren Merkmalen, z.B. breiteren leitfähigen Leitungen oder Merkmalen, während das alternative Metallmaterial in Merkmalen mit kleinster Breite (Merkmale mit minimaler Strukturgröße) verbleibt. Die breiteren Merkmale können dann mit einem Leitermaterial gefüllt werden, z.B. Cu, wohingegen das alternative Metallmaterial in den Merkmalen mit kleinster Breite vertieft wird, gefolgt von einem Füllprozess mit leitfähigem Material für obere Verdrahtungsschichten zu ausgewählten Merkmalen mit kleinster Breite. Da das leitfähige Material in den breiteren Merkmalen nicht vertieft wird, verbleibt ein größeres Materialvolumen in den breiteren Merkmalen, so dass deren Gesamtwiderstand (im Vergleich zu herkömmlichen Strukturen) verringert wird.
  • Der Füllprozess mit leitfähigem Material für die oberen Verdrahtungsschichten ist in direktem Kontakt mit dem vertieft liegenden alternativen Metallmaterial der ausgewählten Merkmale mit kleinster Breite, als auch dem Leitermaterial der breiteren Merkmale. In alternativen Ausführungsformen können die breiteren Merkmale mit dem alternativen Metallmaterial gefüllt werden, gefolgt von dem Vertiefungs- und Füllprozess mit leitfähigem Material zum Bilden von oberen Verdrahtungsschichten in Kontakt zu den ausgewählten Merkmalen mit kleinster Breite und den breiteren Merkmalen.
  • Die hierin beschriebenen Verfahren ergeben Strukturen mit Verbindungen kleinster Breite, umfassend ein alternatives Metallmaterial, z.B. Ru oder Co, und breiten Verbindungen aus Kupfer. In dieser Weise umfasst die sich ergebende Struktur ein Merkmal kleinster Breite, z. B. Verbindungsstrukturen, mit dualem Leitermaterial auf demselben Wafer mit einem einzelnen Material kleinster Breite. In bestimmten Ausführungsformen können breitere Verbindungen auch ein duales Leitermaterial umfassen, z.B. Kupfer und Ru. Zusätzlich können die hierin beschriebenen Strukturen ein alternatives Metall umfassen, z.B. Ru, welches in der kleinsten Fläche ohne Vertiefen eines leitfähigen Materials, z.B. Cu, in der breiteren Leitung oder dem breiteren Merkmal vertieft ist. Die sich ergebenden Strukturen weisen eine 3D-Via/Leitungs-Grenzfläche auf, die eine vergrößerte Kontaktfläche zulässt.
  • Die voll ausgerichteten Via-Strukturen der vorliegenden Erfindung können in einer Vielzahl von Arten unter Verwendung einer Vielzahl von unterschiedlichen Werkzeugen hergestellt werden. Im Allgemeinen werden jedoch die Verfahren und Werkzeuge zur Bildung von Strukturen mit Dimensionen im Mikrometer- und Nanometer-Bereich verwendet. Die Verfahren, insbesondere Technologien, die zur Herstellung der voll ausgerichteten Via-Strukturen der vorliegenden Erfindung eingesetzt werden, wurden aus der Technologie integrierter Schaltungen (IC) übernommen. Beispielsweise werden die Strukturen auf Wafern errichtet und in Materialfilmen realisiert, die durch fotolithografische Prozesse auf der Oberseite eines Wafers strukturiert werden. Insbesondere verwendet die Herstellung der voll ausgerichteten Via-Strukturen drei grundsätzliche Baublöcke: (i) ein Abscheiden von dünnen Materialfilmen auf einem Substrat, (ii) ein Anwenden einer strukturierten Maske auf eine Oberseite der Filme durch fotolithografische Bildgebung und (iii) ein bezüglich der Maske selektives Ätzen der Filme.
  • 1 zeigt unter anderen Merkmalen eine Struktur mit Merkmalen kleinster Breite und breiteren Merkmalen und entsprechende Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung. Genauer umfasst die Struktur aus 1 ein Substrat 12, z.B. ein dielektrisches Zwischenschichtmaterial, mit einer Mehrzahl von Gräben 14a, 14b, 14c. In Ausführungsformen werden die Gräben 14a, 14b verwendet, um Merkmale kleinster Breite zu bilden, z.B. Verbindungsstrukturen oder Drahtstrukturen mit minimaler Strukturgröße, wohingegen die Gräben 14c verwendet werden, um ein Merkmal mit größerer Breite zu bilden. Das dielektrische Zwischenschichtmaterial 12 kann ein Material auf Basis eines Oxids sein, z.B. SiO2 oder SiCOH.
  • Die Mehrzahl von Gräben 14a, 14b, 14c kann durch herkömmliche Lithografie- und Ätzprozesse gebildet werden. Beispielsweise wird ein Lack, der auf dem dielektrischen Zwischenschichtmaterial 12 gebildet wird, Energie (Licht) ausgesetzt, um ein Muster (Öffnung) zu bilden. Ein Ätzprozess mit einer selektiven Chemie, z.B. ein reaktives lonenätzen (RIE), wird eingesetzt, um wenigstens einen Graben 14a, 14b, 14c in dem Substrat 12 durch die Öffnungen des Lacks zu bilden. In Ausführungsformen kann die Mehrzahl von Gräben 14a, 14b eine Breite von ungefähr 12 nm bis 24 nm und einen Abstand von ungefähr 40 nm oder weniger umfassen, obwohl hier in Abhängigkeit von dem speziellen Technologieknoten andere Dimensionen in Betracht gezogen werden können.
  • Mit weiterem Bezug auf 1 wird nach einer Entfernung des Lacks ein Liner 16 über den freiliegenden Oberflächen des dielektrischen Zwischenschichtmaterials 12 und in den Gräben 14a, 14b, 14c gebildet. Der Liner 16 kann ein TiN-, Ta-, TaN-, Co-oder Ru-Liner sein, der durch einen herkömmlichen Abscheidungsprozess abgeschieden wird, z.B. mittels einer chemischen Gasphasenabscheidung (CVD). Über dem Liner 16 wird ein alternatives Metallmaterial 18, z.B. Ru oder Co, abgeschieden. Das alternative Metallmaterial 18 (auch als ein primäres Metallmaterial bezeichnet) kann durch einen herkömmlichen CVD-Prozess zum Füllen der Gräben 14a, 14b abgeschieden werden. In spezielleren Ausführungsformen wird das alternative Metallmaterial 18 mit einer Dicke von ungefähr 7 nm bis 12 nm abgeschieden, um ein vollständiges Füllen der Drahtstrukturen 14a, 14b sicherzustellen. Abhängig von dem speziellen Technologieknoten, z.B. von den Dimensionen der Gräben 14a, 14b, können aber andere Dicken in Betracht gezogen werden. An dem alternativen Metallmaterial 18 wird ein Ausheizprozess durchgeführt.
  • Gemäß der Darstellung in 2 wird das alternative Metallmaterial 18 aus dem Graben 14c und von den oberen Oberflächen des Substrats 12 entfernt. Das alternative Metallmaterial 18 kann durch einen herkömmlichen isotropen Ätzprozess, z.B. ein reaktives lonenätzen (RIE), gefolgt von einem Nassreinigungsprozess entfernt werden. Der isotrope Ätzprozess kann ein zeitgesteuerter Ätzprozess sein, der das gesamte Material der oberen Oberfläche des dielektrischen Zwischenschichtmaterials 12 und in dem Graben 14c entfernt, während das alternative Metallmaterial 18 in den Gräben 14a, 14b verbleibt. Der herkömmliche isotrope Ätzprozess kann ein trockener Prozess oder ein nasser Prozess sein.
  • Nach dem isotropen Ätzprozess wird ein Metallisierungsprozess durchgeführt, um den Graben 14c zu füllen. Insbesondere kann eine Barrierenschicht 20 auf den Oberflächen des Substrats 12 und in dem Graben 14c abgeschieden werden. Die Barrierenschicht 20 kann ein TiN-, Ta- oder TaN-Material sein, das in Beispielen durch einen herkömmlichen CVD-Prozess, plasmaunterstützen CVD (PEVCD) -Prozess oder einen Atomlagenabscheidungs (ALD) -Prozess abgeschieden wird. Die Barrierenschicht 20 kann mit einer Dicke von ungefähr 4 nm oder weniger abgeschieden werden. Auf der Barrierenschicht 20 wird ein Liner 22 mit einer Dicke von ungefähr 4 nm oder weniger abgeschieden. In Ausführungsformen kann der Liner 22 ein TiN-Material, TaN-Material, Co, Ru oder ein anderes bekanntes Liner-Material darstellen. Über dem Liner 22 wird eine Metallisierung (ein Leitermaterial) abgeschieden (z.B. durch herkömmliche Abscheidungsprozesse (z.B. CVD)), wobei der Graben 14c vollständig gefüllt wird. In Ausführungsformen kann die Metallisierung ein Füllprozess mit Kupfermaterial sein. Es können jedoch andere Metalle oder Metallverbindungen in Betracht gezogen werden. In dieser Weise können ein breites Merkmal, z.B. eine breite Verbindungsstruktur, und Merkmale kleinster Breite in den Gräben 14a, 14b, 14c gebildet werden. Anschließend werden Merkmale kleinster Breite und das breite Merkmal austauschbar entsprechend mit dem Bezugszeichen 14a, 14b und 14c bezeichnet und nachfolgend werden gefüllte Gräben als Drahtstruktur bezeichnet.
  • In 3 kann jedes Leitermaterial 24 auf den Oberflächen des Substrats 12 durch einen herkömmlichen chemisch-mechanischen Polier (CMP) -Prozess entfernt werden. Entsprechend ist das Leitermaterial 24 zu der Oberfläche des dielektrischen Zwischenschichtmaterials 12 eben, z.B. ist mit dem dielektrischen Zwischenschichtmaterial 12 auf der gleichen Ebene wie die Mehrzahl von Strukturen mit minimaler Strukturgröße (die Drahtstrukturen 14a, 14b) eben. Nach dem CMP-Prozess können das alternative Metallmaterial 18 und der Liner 16 in den Drahtstrukturen 14a, 14b vertieft werden, wie anschaulich durch das Bezugszeichen 26 dargestellt ist. Andererseits ist das Leitermaterial 24 in der Drahtstruktur 14c bezüglich dem dielektrischen Zwischenschichtmaterial 12 weiterhin eben (auf gleicher Ebene wie die Mehrzahl von Strukturen mit minimaler Strukturgröße, wobei breite Leitungen mit einem Leiter vom vollen Volumen verbleiben). Dies stellt im Vergleich zu herkömmlichen Strukturen, die vertieft liegende Abschnitte umfassen, verbesserte Widerstandseigenschaften bereit.
  • In Ausführungsformen kann die Vertiefung 26 ungefähr 5 nm bis 12 nm betragen, jedoch werden hier auch andere Dimensionen in Betracht gezogen, unter dem Verständnis, dass eine solche Vertiefung einen kleinsten Isolationsabstand zwischen den Merkmalen mit kleinster Breite 14a, 14b aufrechterhalten soll. Dieser kleinste Isolationsabstand stellt sicher, dass sich die Merkmale mit kleinster Breite, z.B. Zwischenverbindungen, nicht kurzschließen, wobei demzufolge die Zuverlässigkeit der integrierten Schaltung erhalten bleibt. Die Vertiefung 26 kann durch einen RIE-Prozess oder einen chemischen Nassätz-Prozess mit einer selektiven Chemie hergestellt werden. Unter Anwendung einer selektiven Chemie ist es nicht erforderlich, einen Maskenprozess zur Bildung der Vertiefung 26 zu verwenden.
  • Gemäß der weiteren Darstellung in 4 werden voll ausgerichtete Verbindungsstrukturen 32a, 32b in einem oberen dielektrischen Zwischenschichtmaterial 30 gebildet. Die voll ausgerichteten Verbindungsstrukturen 32a, 32b sind mit dem Merkmal kleinster Breite 14b und dem breiteren Merkmal (z.B. die Drahtstruktur) 14c direkt in elektrischem Kontakt. Zur Bildung der voll ausgerichteten Verbindungsstrukturen 32a, 32b, z.B. Dual-Damascene-Strukturen, wird ein Abdeckmaterial 28, z.B. ein Nitridmaterial, in der Vertiefung 26 des Merkmals kleinster Breite (z.B. Drahtstrukturen) 14a, 14b über der Metallisierung 24 des breiten Merkmals 14c und auf jeder freiliegenden Oberfläche des dielektrischen Zwischenschichtmaterials 12 gebildet.
  • Das obere dielektrische Zwischenschichtmaterial 30 wird gemäß einem Beispiel unter Verwendung eines herkömmlichen CVD-Prozesses abgeschieden, gefolgt von einem Dual-Damascene-Prozess zur Bildung von Vias und Gräben innerhalb des oberen dielektrischen Zwischenschichtmaterials 30. Die einzelnen Damascene-Prozesse können auch durchgeführt werden, um die Vias und die Gräben zu bilden. Der Damascene-Prozess ist zu dem ausgewählten Merkmal mit kleinster Breite 14b voll ausgerichtet, so dass die Metallisierung 24 des breiten Merkmals 14c und des alternativen Metallmaterials 18 eines ausgewählten Merkmals mit kleinster Breite 14b den nachfolgenden Metallisierungsprozessen ausgesetzt wird. Die Damascene-Strukturen, z.B. Via und Graben, werden mit einem Metallisierungsmaterial 32 gefüllt, beispielsweise umfassend einen Liner und ein Kupfermaterial. Das Metallisierungsmaterial 32 kann alternativ ein anderes leitfähiges Material umfassen, wie z.B. Aluminium, Ru, Co usw.
  • Vorteilhafterweise landen die Verbindungsstrukturen 32b vollständig auf dem ausgewählten Merkmal mit kleinster Breite 14b, da eine kleinste Breite zwischen den Merkmalen mit kleinster Breite 14a, 14b erhalten bleibt, während das Merkmal kleinster Breite 14a nicht kurzgeschlossen wird. Durch Umsetzung des hierin beschriebenen Prozesses können voll ausgerichtete Verbindungsstrukturen 32a, 32b in jedem Strukturgrößenbereich auftreten und insbesondere mit dem ausgewählten Merkmal kleinster Breite 14b elektrisch in Kontakt stehen. Dies stellt einen besser steuerbaren Prozess dar, während ein Leitungswiderstand in Strukturen mit breiten Leitungen verbessert wird, wie z.B. bei der Drahtstruktur 14c.
  • Die 5 bis 8 zeigen unter anderen Merkmalen Strukturen mit Merkmalen mit kleinster Breite und breiteren Merkmalen und entsprechende Herstellungsprozesse gemäß zusätzlichen Aspekten der vorliegenden Erfindung. Genauer umfasst die Struktur 10' im Hinblick auf 5 ein Substrat 12, z.B. ein dielektrisches Zwischenschichtmaterial aus einem Oxidmaterial, mit einer Mehrzahl von Drahtstrukturen 14a, 14b, 14c. Gemäß den vorangegangenen Ausführungsformen bilden die Drahtstrukturen 14a, 14b Merkmale mit kleinster Breite, z.B. Verbindungsstrukturen, wohingegen die Drahtstruktur 14c ein Verbindungsmerkmal mit größter Breite bildet.
  • Über den freiliegenden Oberflächen des dielektrischen Zwischenschichtmaterials 12, einschließlich in den Drahtstrukturen 14a, 14b, 14c, wird ein Liner 16, z.B. Ta, TaN oder TiN, gebildet. Über dem Liner 16 wird ein alternatives Metallmaterial 18 abgeschieden. In Ausführungsformen stellt das alternative Metallmaterial Ru oder Co als bevorzugte Beispiele dar, die durch einen herkömmlichen CVD-Prozess zum Füllen der Vias 14a, 14b und der Leitung der Drahtstruktur 14c abgeschieden werden. In genaueren Ausführungsformen wird das alternative Metallmaterial 18 mit einer Dicke von ungefähr 7 nm bis 12 nm abgeschieden, um ein vollständiges Füllen der Drahtstrukturen 14a, 14b sicherzustellen. Abhängig vom Technologieknoten werden jedoch auch andere Dimensionen in Betracht gezogen. Nach Abscheidung des alternativen Metallmaterials 18 wird ein Ausheizprozess durchgeführt. Zum Füllen der Via 14c mit dem Leitermaterial 24 wird ein Metallisierungsprozess, z.B. ein Füllprozess mit Kupfer, durchgeführt, wobei das alternative Metallmaterial 18 in der Drahtstruktur 14c nun als Liner fungiert. In Ausführungsformen kann der Metallisierungsprozess aus einer Saatschicht und einem Kupferplattierungsprozess abgeschieden werden.
  • Gemäß der Darstellung in 6 kann jedes Leitermaterial 24 auf den Oberflächen des dielektrischen Zwischenschichtmaterials 12 durch einen herkömmlichen CMP-Prozess entfernt werden. In Ausführungsformen kann der CMP-Prozess auch einen Abschnitt des alternativen Metallmaterials 18 entfernen. In alternativen Ausführungsformen kann der CMP-Prozess das Leitermaterial 24 zusätzlich zu dem alternativen Metallmaterial 18 und dem Liner 16 auf den Oberflächen des dielektrischen Zwischenschichtmaterials 12, beispielsweise außerhalb der Drahtstrukturen 14a, 14b, 14c, auf der Oberfläche des dielektrischen Zwischenschichtmaterials 12 vollständig entfernen. In jedem Vorgehen verbleibt das Leitermaterial 24 in der Drahtstruktur 14c ungefähr eben (nicht absichtlich vertieft) bezüglich der Oberfläche des dielektrischen Zwischenschichtmaterials 12. Dies stellt im Vergleich zu herkömmlichen Strukturen bessere Widerstandseigenschaften bereit.
  • In 7 kann das alternative Metallmaterial 18 in den Drahtstrukturen 14a, 14b, 14c vertieft werden, wie durch die Bezugszeichen 26', 26" anschaulich dargestellt wird. Gemäß der vorangegangenen Ausführungsform kann die Tiefe der Vertiefungen 26', 26" abhängig von dem Technologieknoten variieren, beispielsweise dem pitch-Abstand zwischen den Vias 14a, 14b, um einen kleinsten Isolationsabstand zwischen den Merkmalen mit kleinster Breite 14a, 14b sicherzustellen. Die Tiefe der Vertiefungen 26', 26" kann z.B. ungefähr 5 nm bis 12 nm betragen. Die Vertiefungen 26', 26" können durch einen RIE-Prozess oder einen chemischen Nassätzprozess mit einer selektiven Chemie hergestellt werden, wodurch die Kosten hinsichtlich eines separaten Maskierungsprozesses vermieden werden. Das Leitermaterial 24 in der Drahtstruktur 14c bleibt zu des dielektrischen Zwischenschichtmaterials 12 als eben.
  • Gemäß der Darstellung in 8 werden die voll ausgerichteten Zwischenverbindungsstrukturen 32a, 32b in dem oberen dielektrischen Zwischenschichtmaterial 30 mit direktem elektrischen Kontakt zu dem Merkmal mit kleinster Breite 14b und dem breiteren Merkmal 14c gebildet. Die voll ausgerichteten Verbindungsstrukturen 32a, 32b werden durch Abscheiden eines Deckmaterials 28, z.B. eines Nitridmaterials, in den Vertiefungen 26', 26" und über jeder freiliegenden Oberfläche des Substrats 12 gebildet, gefolgt von einem Abscheiden des oberen dielektrischen Zwischenschichtmaterials 30 und einem Dual-Damascene-Prozess oder mehreren einzelnen Damascene-Prozessen zur Bildung von Vias und Gräben innerhalb des dielektrischen Zwischenschichtmaterials 30. Die Damascene-Prozesse legen die Metallisierung des breiten Merkmals 14c und des alternativen Metallmaterials 18 des breiten Merkmals 14c und ein ausgewähltes Merkmal kleinster Breite 14b frei, wobei sich eine voll ausgerichtete Via mit dem ausgewählten Merkmal kleinster Breite 14b ergibt. Die Damascene-Strukturen, z.B. Vias und Gräben, werden mit einem Metallisierungsmaterial 32 gefüllt, welches einen Liner und leitfähige Materialien umfasst, z.B. Cu. AI, Ru, Co usw. Dieser Prozess ermöglicht demzufolge eine kombinierte Ru (oder Co) -Metallisierung und eine voll ausgerichtete Via für kleinere Technologieknoten.
  • Die oben beschriebenen Verfahren werden in der Herstellung von integrierten Schaltungschips verwendet. Die sich ergebenden integrierten Schaltungschips können durch den Hersteller in der Form von rohen Wafern (insbesondere als ein einzelner Wafer mit mehreren unverpackten Chips), als eine reine Die oder in verpackter Form vertrieben werden. Im späteren Fall ist der Chip in einem Einzelchipgehäuse (z.B. ein Plastikträger mit Leitungen, die an einem Motherboard oder einem anderen Träger höherer Ordnung angebracht sind) oder in einem Mehrchipgehäuse (z.B. ein Keramikträger mit Oberflächenzwischenverbindungen auf wenigstens einer Seite oder vergrabenen Zwischenverbindungen) montiert. In jedem Fall wird der Chip dann mit anderen Chips, diskreten Schaltungselementen und/oder Signalverarbeitungsvorrichtungen als Teil von (a) einem Zwischenprodukt, wie z.B. ein Motherboard, oder (b) einem Endprodukt integriert. Das Endprodukt kann ein beliebiges Produkt sein, welches integrierte Schaltungschips umfasst, im Bereich von Spielzeug und anderen Low-End-Geräten bis zu fortgeschrittenen Computerprodukten mit einer Anzeige, einer Tastatur oder anderen Eingabevorrichtungen und einem zentralen Prozessor.

Claims (10)

  1. Struktur (10, 10'), umfassend: eine Mehrzahl von in einem dielektrischen Material (12) gebildeten leitfähigen Strukturen (14a, 14b) mit minimaler Strukturgröße, die jeweils ein vertieft liegendes leitfähiges Material (18) umfassen; wenigstens eine in dem dielektrischen Material (12) gebildete leitfähige Struktur (14c), die breiter ist als die Mehrzahl von leitfähigen Strukturen (14a, 14b) mit minimaler Strukturgröße und ein leitfähiges Material (24) umfasst, wobei das leitfähige Material (24) zu dem dielektrischen Material (12) eben ist; eine Ätzstoppschicht (28) über einer Oberfläche des dielektrischen Materials (12) mit Öffnungen zum Freilegen des leitfähigen Materials (24) der wenigstens einen leitfähigen Struktur (14c) und des vertieft liegenden leitfähigen Materials (18) von einer aus der Mehrzahl von leitfähigen Strukturen (14a, 14b) mit minimaler Strukturgröße ausgewählten leitfähigen Struktur (14b) mit minimaler Strukturgröße; und obere Verbindungsstrukturen (32a, 32b), die durch die Öffnungen der Ätzstoppschicht (28) voll ausgerichtet sind zu und in direktem elektrischen Kontakt stehen mit der wenigstens einen leitfähigen Struktur (14c) und dem vertieft liegenden leitfähigen Material (18) der ausgewählten leitfähigen Struktur (14b) mit minimaler Strukturgröße.
  2. Struktur (10') nach Anspruch 1, wobei die wenigstens eine leitfähige Struktur (14c) einen vertieft liegenden Liner (18) umfasst, der sich unter dem leitfähigen Material (24) in der wenigstens einen leitfähigen Struktur (14c) befindet.
  3. Struktur (10') nach Anspruch 2, wobei der vertieft liegende Liner (18) aus dem gleichen Material gebildet ist wie das vertieft liegende leitfähige Material (18) der Mehrzahl von leitfähigen Strukturen (14a, 14b) mit minimaler Strukturgröße.
  4. Struktur (10') nach Anspruch 3, wobei eine Verbindungsstruktur (32a) der oberen Verbindungsstrukturen (32a, 32b) mit dem vertieft liegenden Liner (18) und dem leitfähigen Material (24) der wenigstens einen leitfähigen Struktur (14c) in elektrischem Kontakt steht.
  5. Struktur (10, 10'), umfassend: eine Mehrzahl von Strukturen (14a, 14b) mit minimaler Strukturgröße, die jeweils ein vertieft liegendes leitfähiges Material (18) und dazwischen einen kleinsten Isolatorabstand umfassen; wenigstens eine Verdrahtungsstruktur (14c) mit einer Dimension, die größer ist als die der Mehrzahl von Strukturen (14a, 14b) mit minimaler Strukturgröße, wobei die wenigstens eine Verdrahtungsstruktur (14c) ein leitfähiges Material (24) umfasst, das sich von dem vertieft liegenden leitfähigen Material (18) unterscheidet, wobei das leitfähige Material (24) zu einem dielektrischen Material (12) eben ist, das eine zu der Mehrzahl von Strukturen (14a, 14b) mit minimaler Strukturgröße gleiche Verdrahtungsebene darstellt; und obere Verbindungsstrukturen (32a, 32b), die bezüglich der wenigstens einen Verdrahtungsstruktur (14c) und dem vertieft liegenden leitfähigen Material (18) von einer aus der Mehrzahl von Strukturen (14a, 14b) mit minimaler Strukturgröße ausgewählten Struktur (14b) mit minimaler Strukturgröße voll ausgerichtet und damit in direktem elektrischen Kontakt sind.
  6. Struktur (10, 10') nach Anspruch 1 oder 5, wobei das vertieft liegende leitfähige Material (18) Ru ist.
  7. Struktur (10, 10') nach Anspruch 1 oder 5, wobei das vertieft liegende leitfähige Material (18) Co ist.
  8. Struktur (10') nach Anspruch 5, wobei die wenigstens eine Verdrahtungsstruktur (14c) einen vertieft liegenden Liner (18) umfasst, der sich unter dem leitfähigen Material (24) der wenigstens einen Verdrahtungsstruktur (14c) befindet.
  9. Struktur (10') nach Anspruch 8, wobei der vertieft liegende Liner (18) aus dem gleichen Material gebildet ist, wie das vertieft liegende leitfähige Material (18).
  10. Struktur (10') nach Anspruch 9, wobei eine Verbindungsstruktur (32a) der oberen Verbindungsstrukturen (32a, 32b) mit dem vertieft liegenden Liner (18) elektrisch in direktem Kontakt steht und das leitfähige Material (24) umgibt.
DE102018200438.2A 2017-09-20 2018-01-12 Voll ausgerichtete Via-Strukturen Expired - Fee Related DE102018200438B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/709,956 US10366919B2 (en) 2017-09-20 2017-09-20 Fully aligned via in ground rule region
US15/709,956 2017-09-20

Publications (2)

Publication Number Publication Date
DE102018200438A1 DE102018200438A1 (de) 2019-03-21
DE102018200438B4 true DE102018200438B4 (de) 2020-10-01

Family

ID=65527157

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018200438.2A Expired - Fee Related DE102018200438B4 (de) 2017-09-20 2018-01-12 Voll ausgerichtete Via-Strukturen

Country Status (4)

Country Link
US (2) US10366919B2 (de)
CN (1) CN109524348B (de)
DE (1) DE102018200438B4 (de)
TW (1) TWI707401B (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11502001B2 (en) * 2018-10-31 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with self-aligned vias
US11177214B2 (en) * 2020-01-15 2021-11-16 International Business Machines Corporation Interconnects with hybrid metal conductors

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110034026A1 (en) * 2009-08-06 2011-02-10 Fujitsu Semiconductor Limited Manufacturing method of semiconductor device
US20160049364A1 (en) * 2014-08-15 2016-02-18 International Business Machines Corporation Interconnect structures with fully aligned vias
DE102015107292A1 (de) * 2014-12-19 2016-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiterbauelement mit einer Zusammenschaltungsstruktur und Ausbildungsverfahren dafür
US9741609B1 (en) * 2016-11-01 2017-08-22 International Business Machines Corporation Middle of line cobalt interconnection
DE102017203568A1 (de) * 2016-03-07 2017-09-07 Globalfoundries Inc. Verfahren zum bilden von leitfähigen strukturen mit unterschiedlichen materialzusammensetzungen in einer metallisierungsschicht

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW430895B (en) * 1998-08-21 2001-04-21 Ibm Method of manufacturing semiconductor integrated circuits or electronic packages for avoiding dishing in wide soft metal wires
US7227719B2 (en) * 2003-01-24 2007-06-05 Headway Technologies, Inc. Thin film magnetic head and method of manufacturing the same
JP2004342750A (ja) * 2003-05-14 2004-12-02 Toshiba Corp 電子デバイスの製造方法
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
JP2005244031A (ja) * 2004-02-27 2005-09-08 Nec Electronics Corp 半導体装置およびその製造方法
US7282802B2 (en) * 2004-10-14 2007-10-16 International Business Machines Corporation Modified via bottom structure for reliability enhancement
US7514353B2 (en) * 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
US9722041B2 (en) * 2012-09-19 2017-08-01 Vishay-Siliconix Breakdown voltage blocking device
US8895446B2 (en) * 2013-02-18 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Fin deformation modulation
US9245824B2 (en) * 2013-04-18 2016-01-26 Globalfoundries Inc. Through-vias for wiring layers of semiconductor devices
JP2014179636A (ja) 2014-05-01 2014-09-25 Semiconductor Energy Lab Co Ltd 半導体装置
CN106463082B (zh) 2014-06-23 2019-07-16 株式会社半导体能源研究所 显示装置及电子设备
US9613907B2 (en) * 2014-07-29 2017-04-04 Samsung Electronics Co., Ltd. Low resistivity damascene interconnect
JP2015207779A (ja) 2015-06-16 2015-11-19 株式会社半導体エネルギー研究所 半導体装置
US9570573B1 (en) * 2015-08-10 2017-02-14 Globalfoundries Inc. Self-aligned gate tie-down contacts with selective etch stop liner
JP2017037312A (ja) 2016-09-02 2017-02-16 株式会社半導体エネルギー研究所 表示装置、及び携帯情報端末

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110034026A1 (en) * 2009-08-06 2011-02-10 Fujitsu Semiconductor Limited Manufacturing method of semiconductor device
US20160049364A1 (en) * 2014-08-15 2016-02-18 International Business Machines Corporation Interconnect structures with fully aligned vias
DE102015107292A1 (de) * 2014-12-19 2016-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiterbauelement mit einer Zusammenschaltungsstruktur und Ausbildungsverfahren dafür
DE102017203568A1 (de) * 2016-03-07 2017-09-07 Globalfoundries Inc. Verfahren zum bilden von leitfähigen strukturen mit unterschiedlichen materialzusammensetzungen in einer metallisierungsschicht
US9741609B1 (en) * 2016-11-01 2017-08-22 International Business Machines Corporation Middle of line cobalt interconnection

Also Published As

Publication number Publication date
DE102018200438A1 (de) 2019-03-21
US20190088541A1 (en) 2019-03-21
TW201916172A (zh) 2019-04-16
US11114338B2 (en) 2021-09-07
TWI707401B (zh) 2020-10-11
CN109524348B (zh) 2023-05-30
CN109524348A (zh) 2019-03-26
US20190311948A1 (en) 2019-10-10
US10366919B2 (en) 2019-07-30

Similar Documents

Publication Publication Date Title
DE10256346B4 (de) Halbleiterbauelement mit MIM-Kondensator und Zwischenverbindung und Herstellungsverfahren dafür
DE10056871B4 (de) Feldeffekttransistor mit verbessertem Gatekontakt und Verfahren zur Herstellung desselben
DE102012207116A1 (de) Mehrschichtverbindungsstrukturen und Verfahren für integrierte Schaltungen
DE102011085203B4 (de) Herstellungsverfahren für Halbleiterbauelemente mit Durchgangskontakten
DE102008016431B4 (de) Metalldeckschicht mit erhöhtem Elektrodenpotential für kupferbasierte Metallgebiete in Halbleiterbauelementen sowie Verfahren zu ihrer Herstellung
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102013018192A1 (de) Vergrabene, für Entkopplungskondensatoren verwendete TSV
DE102005046975A1 (de) Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
DE112019003120B4 (de) Dünnfilmwiderstand in einer integrierten schaltung und herstellungsverfahren dafür
DE102017219677B4 (de) Kontaktschema zum Landen auf verschiedenen Kontaktbereichsebenen
DE102014100564A1 (de) Halbleitervorrichtungen und Verfahren zu ihrer Herstellung
DE102008006962A1 (de) Halbleiterbauelement mit einem Kondensator im Metallisierungssystem und ein Verfahren zur Herstellung des Kondensators
EP1770726B1 (de) MIM-Kondensator sowie zugehöriges Herstellungsverfahren
DE102004005697A1 (de) Widerstandsfähige Via-Struktur und zugehöriges Herstellungsverfahren
DE102015119536A1 (de) Kondensatoren mit dielektrischen Barriereschichten und Verfahren zu deren Ausbildung
DE112019002455T5 (de) Dünnfilmwiderstand in einer integrierten schaltung und herstellungsverfahren
DE112020003222B4 (de) Zwischenverbindungsanordnung mit vollständig ausgerichteten durchkontakten
DE102007009912B4 (de) Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema
DE112019003036B4 (de) Aluminiumkompatibler dünnfilmwiderstand (tfr) und herstellungsverfahren
DE102018200438B4 (de) Voll ausgerichtete Via-Strukturen
DE102004001853B3 (de) Verfahren zum Herstellen von Kontaktierungsanschlüssen
DE60037599T2 (de) Herstellungsverfahren für halbleiteranordnung mit reduzierter signalwegverzögerungszeit
DE112011103400T5 (de) Integrierte Schaltung und Verbindung und Verfahren zur Herstellung derselben
DE102004039803A1 (de) Verfahren zur Herstellung einer Leitbahnanordnung mit erhöhter kapazitiver Kopplung sowie zugehörige Leitbahnanordnung
DE102004029355B4 (de) Verfahren mit selbstausgerichteter Maske zum Verringern der Zellenlayoutfläche

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0023538000

Ipc: H01L0023522000

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R020 Patent grant now final
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee