DE112019003036B4 - Aluminiumkompatibler dünnfilmwiderstand (tfr) und herstellungsverfahren - Google Patents

Aluminiumkompatibler dünnfilmwiderstand (tfr) und herstellungsverfahren Download PDF

Info

Publication number
DE112019003036B4
DE112019003036B4 DE112019003036.1T DE112019003036T DE112019003036B4 DE 112019003036 B4 DE112019003036 B4 DE 112019003036B4 DE 112019003036 T DE112019003036 T DE 112019003036T DE 112019003036 B4 DE112019003036 B4 DE 112019003036B4
Authority
DE
Germany
Prior art keywords
tfr
contact
metal
conductive
heads
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112019003036.1T
Other languages
English (en)
Other versions
DE112019003036T5 (de
Inventor
Justin Hiroki Sato
Yaojian Leng
Gregory Allen Stom
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Microchip Technology Inc
Original Assignee
Microchip Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Microchip Technology Inc filed Critical Microchip Technology Inc
Publication of DE112019003036T5 publication Critical patent/DE112019003036T5/de
Application granted granted Critical
Publication of DE112019003036B4 publication Critical patent/DE112019003036B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • H01L28/24Resistors with an active material comprising a refractory, transition or noble metal, metal compound or metal alloy, e.g. silicides, oxides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5228Resistive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step

Abstract

Verfahren zur Herstellung eines Dünnschichtwiderstandsmoduls (TFR) in einer integrierten Schaltungs- (IC-) Struktur, wobei das Verfahren aufweist:Ausbilden eines Grabens (104; 204) in einem dielektrischen Bereich (102; 202);Ausbilden eines TFR-Elements (116; 216) in dem Graben (104; 204), wobei das TFR-Element (116; 216) einen sich seitlich erstreckenden TFR-Bereich (119; 219) und einen TFR-Grat (118; 218) aufweist, der sich von einem sich seitlich erstreckenden TFR-Bereich (119; 219 ) nach oben erstreckt;Abscheiden zumindest einer Metallschicht (160; 260) über dem TFR-Element (116; 216)Strukturieren der zumindest einen Metallschicht (160; 260) und Ätzen der zumindest einen Metallschicht (160; 260) unter Verwendung eines Metallätzens, um ein Paar von Metall-TFR-Köpfen (170A, B) über dem TFR-Element (116; 216) an gegenüberliegenden Enden des TFR-Elements (116; 216) zu definieren, wobei das Metallätzen auch zumindest einen Teil des sich nach oben erstreckenden TFR-Grats (118; 218) entfernt.

Description

  • Die vorliegende Offenbarung betrifft Dünnschichtwiderstände (TFRs), insbesondere TFR-Module, die mit Aluminiumverbindungen (z.B. Aluminium-TFR-Köpfen) kompatibel sind und/oder eine vergrößerte Fläche der Metall-TFR-Verbindung aufweisen, sowie Verfahren zur Herstellung solcher TFR-Module .
  • Integrierte Halbleiterschaltungen (IC) enthalten typischerweise Metallisierungsschichten zum Verbinden verschiedener Komponenten des IC, die als Verbindungselemente oder Back-End-of-Line- (BEOL-) Elemente bezeichnet werden. Diese Metallschichten bestehen typischerweise aus Kupfer oder Aluminium.
  • Eine bekannte Technik zum Ausbilden von Kupferverbindungen auf einem IC ist als additive Strukturierung bekannt, die manchmal als Damaszenerprozess bezeichnet wird und sich auf traditionelle Metallinlayverfahren bezieht. Ein sogenannter Damaszenerprozess kann das Strukturieren von dielektrischen Materialien wie Siliziumdioxid oder Fluorsilikatglas (FSG) oder Organosilikatglas (OSG) mit offenen Gräben aufweisen, in denen sich Kupfer- oder andere Metallleiter befinden sollten. Eine Kupferdiffusionsbarriereschicht (typischerweise Ta, TaN oder eine Doppelschicht aus beiden) wird abgeschieden, gefolgt von einer abgeschiedenen Kupferkeimschicht, gefolgt von einer Kupfermassefüllung, z.B. unter Verwendung eines elektrochemischen Beschichtungsverfahrens. Ein chemisch-mechanisches Planarisierungsverfahren (CMP) kann dann verwendet werden, um überschüssiges Kupfer und Barriere zu entfernen, und kann daher als Kupfer-CMP-Verfahren bezeichnet werden. Das im Graben verbleibende Kupfer fungiert als Leiter. Eine dielektrische Barriereschicht, z.B. SiN oder SiC, wird dann typischerweise über dem Wafer abgeschieden, um Kupferkorrosion zu verhindern und die Zuverlässigkeit der Vorrichtung zu verbessern. Da mehr Eigenschaften in einzelne Halbleiterchips gepackt werden, besteht ein erhöhter Bedarf, passive Komponenten wie Widerstände in die Schaltungen zu packen. Einige Widerstände können durch Ionenimplantation und -diffusion erzeugt werden, beispielsweise Polywiderstände. Solche Widerstände weisen jedoch typischerweise starke Schwankungen des Widerstandswerts auf und können auch Widerstandswerte aufweisen, die sich in Abhängigkeit von der Temperatur drastisch ändern. In der Industrie wurde eine neues Verfahren zum Aufbau integrierter Widerstände eingeführt, die als Dünnschichtwiderstände (TFRs) bezeichnet werden, um die Leistung integrierter Widerstände zu verbessern. Bekannte TFRs werden typischerweise zum Beispiel aus SiCr (Siliciumchrom), SiCCr (Silicium-Siliciumcarbid-Chrom), TaN (Tantalnitrid), NiCr (Nickelchrom), AlNiCr (Aluminium-dotiertes Nickel-Chrom) oder TiNiCr (Titan-Nickel-Chrom) ausgebildet.
  • Die meisten typischen TFR-Herstellungsverfahren verwenden zwei oder mehr zusätzliche Fotomasken, welches Kosten für den Herstellungsprozess erhöht. Darüber hinaus sind einige TFRs nicht mit Verbindungen kompatibel, die aus bestimmten Metallen ausgebildet werden. Beispielsweise sind einige TFRs oder TFR-Herstellungsverfahren nicht mit Kupferverbindungen kompatibel, während andere TFRs oder TFR-Herstellungsverfahren nicht mit Aluminiumverbindungen kompatibel sind.
  • 1 zeigt eine Querschnittsansicht von zwei beispielhaften TFR-Vorrichtungen 10A und 10B, die unter Verwendung herkömmlicher Prozesse implementiert wurden, die typischerweise drei hinzugefügte Maskenschichten erfordern. Eine erste hinzugefügte Maskenschicht wird verwendet, um die TFR-Köpfe 12A und 12B zu erzeugen. Eine zweite hinzugefügte Maskenschicht wird verwendet, um die TFRs 14A und 14B zu erzeugen. Eine dritte hinzugefügte Maskenschicht wird verwendet, um TFR-Durchkontaktierungen 16A und 16B zu erzeugen. Wie gezeigt, sind die TFRs 12A und 12B über der Ober- und Unterseite der TFR-Köpfe 12A bzw. 12B ausgebildet, wobei jedoch typischerweise drei hinzugefügte Maskenschichten erforderlich sind.
  • 2 zeigt eine Querschnittsansicht einer bekannten IC-Struktur, einschließlich eines beispielhaften TFR 30, die im Hinblick auf die Lehren des US-Patents US 9 679 844 B2 ausgebildet wurde, wobei TFR 30 unter Verwendung einer einzelnen hinzugefügten Maskenschicht und eines Damaszenerverfahrens für eine Back-End-Of-Line- (BEOL-) Verbindung aus Kupfer erzeugt werden kann. Ein TFR-Film 34, in diesem Beispiel ein SiCCr-Film, kann in Gräben abgeschieden werden, die in einem zuvor verarbeiteten Halbleitersubstrat strukturiert sind. Wie gezeigt ist der SiCCr-Film 34 als Widerstand zwischen leitenden (z.B. Kupfer) TFR-Köpfen 32 aufgebaut, wobei ein darüber liegender dielektrischer Bereich eine dielektrische Schicht 36 (z.B. SiN oder SiC) und einen dielektrischen Kappenbereich 38 (z.B. SiO2) aufweist, die über dem SiCCr-Film 34 ausgebildet ist. Die IC-Struktur einschließlich TFR 30 kann für einen typischen Cu- (Kupfer-) Verbindungsprozess (BEOL) weiterverarbeitet werden, z.B. die nächste Ebene von Durchkontaktierung und Graben. TFR 30 kann mit anderen Teilen der Schaltung unter Verwendung typischer Kupferdurchkontaktierungen 40 verbunden werden, die beispielsweise mit den Kupfer-TFR-Köpfen 32 verbunden sind.
  • Aus der US Patentoffenlegungsschrift US 2013 / 0 093 024 A1 ist eine Struktur und ein Verfahren zum Integrieren von Front-End SiCr Widerständen in HiK Metall-Gate Technologien bekannt.
  • Beispielaspekte der vorliegenden Offenbarung werden nachstehend in Verbindung mit den Figuren beschrieben, in denen:
    • 1 eine Querschnittsansicht von zwei beispielhaften Dünnschichtwiderstands- (TFR-) Vorrichtungen ist, die unter Verwendung bekannter Verfahren implementiert sind;
    • 2 eine Querschnittsansicht einer bekannten integrierten Schaltungs- (IC-) Struktur mit einem beispielhaften TFR ist, der gemäß bekannten Techniken ausgebildet wurde;
    • 3A1-3A2 bis 3I1-3I2 einen beispielhaften Prozess zum Ausbilden einer beispielhaften IC-Struktur mit einem integrierten TFR gemäß einer beispielhaften Ausführungsform veranschaulichen; und
    • 4A1-4A2 bis 4J1-4J2 einen beispielhaften Prozess zum Ausbilden einer anderen beispielhaften IC-Struktur mit einem integrierten TFR gemäß einer anderen beispielhaften Ausführungsform veranschaulichen.
  • Ausführungsformen der vorliegenden Offenbarung stellen Dünnschichtwiderstandsmodule (TFR-Module) mit Aluminium-TFR-Köpfen bereit, d.h. Aluminium-Back-End-of-Line-(BEOL-) Kontakt. Einige Ausführungsformen stellen Verfahren zum Ausbilden solcher TFR-Module unter Verwendung einer einzelnen hinzugefügten Maskenschicht bereit.
  • In einigen Ausführungsformen können TFR-Element-„Grate“, die den TCR (Temperature Coefficient of Resistance) oder andere Leistungsmerkmale des TFR-Moduls negativ beeinflussen können, während eines Metallätzens, das die TFR-Köpfe ausbildet (z.B. Aluminium-Köpfe), teilweise oder vollständig reduziert oder beseitigt werden. Einige Ausführungsformen stellen auch leitende TFR-Kontakte bereit, die die Oberflächenkontaktfläche zwischen den TFR-Köpfen (z.B. Aluminium-Köpfen) und dem TFR-Element vergrößern, um dadurch den leitenden Pfad zwischen den TFR-Köpfen über das TFR-Element zu erhöhen und dadurch die Leistung des TFR-Moduls zu verbessern, z.B. für Hochstromanwendungen.
  • Ausführungsformen der vorliegenden Offenbarung stellen Dünnschichtwiderstandsmodule (TFR-Module) bereit, die, wie hierin erörtert, verschiedene Vorteile in Bezug auf vorhandene TFR-Module bieten.
  • 3A1-3A2 bis 3I1-3I2 veranschaulichen ein beispielhaftes Verfahren zum Ausbilden einer beispielhaften IC-Struktur mit einem integrierten TFR mit Aluminiumköpfen gemäß einer beispielhaften Ausführungsform. Jedes Paar von 3n1/3n2 (z.B. Figurenpaar 3A1/3A2, Figurenpaar 3B1/3B2 usw.) zeigt eine Draufsicht bzw. eine Querschnittsseitenansicht an einem ausgewählten Punkt im beispielhaften Verfahren. Das Verfahren kann beginnen, indem eine Einzel-Damaszener-TFR-Struktur ausgebildet wird, wie in den nachstehend erörterten 3A-3C gezeigt, z.B. unter Verwendung eines der im US-Patent US 9 679 844 B2 offenbarten Verfahren gefolgt von der Ausbildung von Aluminium-TFR-Köpfen über der TFR-Struktur, wie unten beschrieben.
  • 3A1 und 3A2 zeigen die anfängliche Ausbildung einer IC-Struktur 100 durch Ausbilden einer dielektrischen Schicht 102 über einer darunter liegenden Struktur 101, z.B. einschließlich Substrat, Feldoxid, Metallschicht(en), IC-Vorrichtungen usw. In einer Ausführungsform kann die dielektrische Schicht 102 eine dielektrische Pre-Metal-Dielectric-(PMD-) Schicht oder Bereich aufweisen. In einer Ausführungsform kann der TFR in einem IMD (Intermetalldielektrikum) ausgebildet werden, nachdem Metallverbindungen (Metallleitungen) erzeugt worden sind. Wie gezeigt, kann ein TFR-Graben 104 durch Strukturieren und Ätzen der dielektrischen Schicht 102 ausgebildet werden.
  • Wie in 3B1 und 3B2 gezeigt, kann eine Schicht aus TFR-Material 110, auch als „TFR-Film“ bezeichnet, über der Struktur abgeschieden werden und sich in den TFR-Graben 104 erstrecken, gefolgt von einer dielektrischen Deckschicht 112. In einigen Ausführungsformen kann ein Tempern zwischen der Abscheidung der TFR-Schicht 110 und der dielektrischen Deckschicht 112 durchgeführt werden, wie nachstehend erörtert wird.
  • Die TFR-Schicht 110 kann SiCr, SiCCr, TaN, NiCr, AlNiCr, TiNiCr oder irgendein anderes geeignetes TFR-Material aufweisen. Die TFR-Schicht 110 kann auf jede geeignete Weise abgeschieden werden, z.B. unter Verwendung eines PVD- oder Sputter-Abscheidungsverfahrens, mit jeder geeigneten Dicke, z.B. etwa 50nm (z.B. 40nm bis 60nm). In einigen Ausführungsformen kann die TFR-Schichtdicke basierend auf einem Zielschichtwiderstand ausgewählt werden, z.B. 500-1000 Ω/sq.
  • Wie oben erwähnt, kann in einigen Ausführungsformen die Struktur 100 einschließlich der TFR-Schicht 110 dann z.B. bei einer Temperatur von ungefähr 500 °C (z.B. 400 °C bis 600 °C oder 450 °C bis 550 °C) für ungefähr 30 Minuten (z.B. 20 bis 60 Minuten) getempert werden, um 0 ppm oder nahe 0 ppm TCR (Temperaturkoeffizient des Widerstands) der TFR-Schicht 110 oder des resultierenden TFR-Moduls 115 (nachstehend erörtert) zu erreichen. In einigen Ausführungsformen kann „nahe 0“ ppm TCR einen TCR von 0 ± 400 ppm/°C oder einen TCR von 0 ± 100 ppm/°C oder einen TCR von 0 ± 50 ppm/°C oder einen TCR von 0 ± 20 ppm/°C oder ein TCR von 0 ± 10 ppm/°C umfassen, abhängig von der speziellen Ausführungsform. In einigen besonderen Ausführungsformen kann die TFR-Schicht 110 oder das TFR-Modul 115 einen TCR von etwa 40 ppm/°C aufweisen, z.B. 40± 30 ppm/°C oder 40± 20 ppm/°C oder 40± 10 ppm/°C. z.B. wie in der am 14. Mai 2018 eingereichten vorläufigen US-Patentanmeldung Nr. 62/670,880 (siehe z.B. 10B und entsprechenden Text) offenbart.
  • Die dielektrische Deckschicht 112 kann dann auf der Struktur 100 abgeschieden werden, um den TFR-Film 110 zu schützen. In einer Ausführungsform kann die dielektrische Deckschicht 112 eine SiN-Schicht mit einer Dicke von etwa 50nm (z.B. 40nm bis 60nm) oder etwa 75nm aufweisen (z.B. 60nm bis 90nm).
  • Wie in 3C1 und 3C2 gezeigt, kann die Struktur 100 weiter verarbeitet werden, indem ein CMP durchgeführt wird, das an oder in der dielektrischen Deckschicht 112 gestoppt wird, um die oberen Abschnitte (d.h. außerhalb des TFR-Grabens 104) der TFR-Schicht 110 und der dielektrischen Kappenschicht 112 zu entfernen und dadurch die Struktur eines TFR-Moduls 114 mit einem ausgebildeten TFR-Element 116 zu definieren. In einigen Ausführungsformen wird das CMP mit einer angestrebten verbleibenden Deckschichtdicke (Schicht 112) von etwa 100nm (z.B. 50nm bis 150nm) durchgeführt.
  • Infolge der Damaszenerkonstruktion kann das TFR-Element 116 Grate 118 an einer oder mehreren Kanten des TFR-Elements 116 aufweisen, die sich vertikal nach oben von einem sich horizontal erstreckenden Bodenbereich 119 des Elements 116 (das im Boden des TFR-Grabens ausgebildet ist) erstrecken. Diese Grate 118 können unerwünschte Wirkungen hervorrufen, z.B. in Bezug auf den Temperaturkoeffizienten des Widerstands (TCR) des TFR-Moduls 115. Wie nachstehend unter Bezugnahme auf die 3I1 und 3I2 erörtert, können einer oder mehrere dieser Grate 118 zumindest teilweise während einer Metallätzung zum Ausbilden der Aluminium-TFR-Köpfe entfernt werden, um die Leistung des TFR-Moduls zu verbessern (z.B. verbesserter TCR). Die Höhe der TFR-Elementgrate 118, angegeben mit „y“, kann durch die Tiefe des TFR-Grabens 104 und/oder die Eindringtiefe des in diesem Schritt durchgeführten CMP festgelegt werden.
  • Wie in 3D1 und 3D2 gezeigt, kann eine Fotomaske 120 auf der Struktur 100 ausgebildet und strukturiert werden, um zumindest eine Maskenöffnung 122 zum Erzeugen zumindest eines leitenden Vorrichtungskontakts in der darunter liegenden Struktur auszubilden. Die Maskenöffnung 122 kann über oder neben einem leitenden Element 140, z.B. einem Metallkontakt oder einer Verbindung, in der darunter liegenden Struktur 101 ausgerichtet sein, so dass der nachfolgend ausgebildete Vorrichtungskontakt mit dem leitenden Element 140 in Kontakt steht.
  • Wie in 3E1 und 3E2 gezeigt kann ein Ätzen durch die Maskenöffnung 132 durchgeführt werden, um eine Kontaktöffnung 132 zu erzeugen, die sich durch die dielektrische Schicht 102 erstreckt und auf oder neben einem leitenden Element 140 landet, und die Fotomaske 120 kann entfernt werden.
    Wie in 3F1 und 3F2 gezeigt, kann die Kontaktöffnung 132 mit Metall, z.B. Wolfram, gefüllt sein, um einen Vorrichtungskontakt 152 in Kontakt mit dem leitenden Element 140 in der darunter liegenden Struktur 101 zu definieren.
  • Wie in 3G1 und 3G2 gezeigt, kann eine Metallschicht oder ein Metallstapel 160 über dem TFR-Modul 114 und dem Vorrichtungskontakt 153 abgeschieden werden. Die Metallschicht oder der Stapel 160 kann eine Metall-1- oder M-1-Schicht definieren. In einigen Ausführungsformen kann die Metallschicht oder der Stapel 160 Aluminium aufweisen. In dem dargestellten Beispiel enthält der Metallstapel 160 eine dünne Ti- oder TiN-Schicht, die auf der Struktur abgeschieden ist, gefolgt von einer dicken Aluminiumschicht 164. In einigen Ausführungsformen kann eine weitere TiN-Schicht über der Aluminiumschicht 164 abgeschieden werden.
  • Wie in 3H1 und 3H2 gezeigt, kann der Metallstapel 160 unter Verwendung eines geeigneten Metallätzens strukturiert und geätzt werden, um (a) ein Paar Aluminium-TFR-Köpfe 170A und 170B an gegenüberliegenden Enden des TFR-Moduls 114 und (b) einen Aluminiumkontakt 172 zu definieren, der mit dem Vorrichtungskontakt 152 gekoppelt ist.
  • Wie in 3H2 gezeigt, können Aluminium-TFR-Köpfe 170A und 170B die vertikal verlaufenden Grate 118 des TFR-Elements 116 berühren, um dadurch einen leitenden Pfad zwischen den TFR-Köpfen 170A und 170B über das TFR-Element 116 zu definieren.
  • 3H2 zeigt auch, dass das Metallätzen eine teilweise vertikale Dicke (oder in einer anderen Ausführungsform eine volle Dicke) des dielektrischen TFR-Deckbereichs 112 entfernen kann.
  • 3I1 und 3I2 zeigen die Struktur an dem gleichen Punkt im Prozess wie 3H1 und 3H2, aber der in 3I2 gezeigte Querschnitt wird durch einen der TFR-Elementgrate 118 geführt, im Gegensatz zu dem in 3H2 gezeigten Querschnitt, der durch eine innere Stelle des TFR-Elements 116 genommen ist. Wie in 3I2 gezeigt, kann das Metallätzen auch eine teilweise oder vollständige Dicke des jeweiligen TFR-Elementgrats 118 in der bei 118A angegebenen „y“ -Richtung entlang eines Teils oder der vollen Länge des jeweiligen TFR-Elementgrats 118 in „x“ -Richtung entfernen. In der veranschaulichten Ausführungsform wird der im Querschnitt I-I gezeigte TFR-Elementgrat 118 (sowie der passende TFR-Elementgrat 118 auf der gegenüberliegenden Seite des TFR-Moduls 114) durch das Metallätzen von einer Dicke y1 auf eine reduzierte Dicke y2 reduziert, entlang der Länge des Grats 118 in x-Richtung mit Ausnahme der Abschnitte 118B, die mit Aluminium-TFR-Köpfen 170A und 170B bedeckt sind.
  • Wie oben erörtert, können die TFR-Elementgrate 118 den Temperaturkoeffizienten des Widerstands (TCR) des TFR-Moduls 115 negativ beeinflussen. Somit kann die Verringerung der TFR-Elementgrate 118 das TCR-Verhalten des TFR-Moduls 115 verbessern.
  • 4A1-4A2 bis 4J1-4J2 veranschaulichen ein beispielhaftes Verfahren zum Ausbilden einer beispielhaften IC-Struktur mit einem integrierten TFR mit Aluminiumköpfen und einschließlich TFR-Kontakten zum Erhöhen der Kontaktfläche zwischen den Aluminium-TFR-Köpfen und dem TFR-Element gemäß einer beispielhaften Ausführungsform. Jedes Paar von 4n1/4n2 (z.B. Figurenpaar 4A1/4A2, Figurenpaar 4B1/4B2 usw.) zeigt eine Draufsicht bzw. eine Querschnittsseitenansicht an einem ausgewählten Punkt in dem beispielhaften Verfahren. Der Prozess kann ähnlich wie der oben erörterte Prozess nach 3A-3I beginnen. Somit entsprechen 4A1-4C2 3A1-3C2, wobei die in 4A1-4C2 gezeigten Elemente 4xx den in den 3A1-3C2 gezeigten Elementen 3xx entsprechen.
  • 4A1 und 4A2 zeigen die anfängliche Ausbildung einer IC-Struktur 200 durch Ausbilden einer dielektrischen Schicht 202 über einer darunter liegenden Struktur 201, z.B. einschließlich eines Substrats, Feldoxids, einer Metallschicht(en), IC-Vorrichtungen usw. Die zugrunde liegende Struktur 201 kann geeignete Strukturen für anschließend ausgebildete Vorrichtungskontakte und/oder TFR-Kontakte aufweisen, auf denen gelandet werden kann, z.B. wie nachstehend erörtert. In einer Ausführungsform kann die dielektrische Schicht 202 eine Pre-Metal-Dielectric- (PMD-) Schicht oder Region aufweisen. In einer Ausführungsform kann der TFR in einem IMD (Intermetalldielektrikum) ausgebildet werden, nachdem Metallverbindungen (Metallleitungen) erzeugt worden sind. Wie gezeigt, kann ein TFR-Graben 204 durch Strukturieren und Ätzen der dielektrischen Schicht 202 ausgebildet werden.
    Wie in 4B1 und 4B2 gezeigt, kann eine Schicht aus TFR-Material oder TFR-Film 210 über der Struktur abgeschieden werden und sich in den TFR-Graben 204 erstrecken, gefolgt von einer dielektrischen Deckschicht 212. Die TFR-Schicht 210 kann SiCr, SiCCr, TaN, NiCr, AlNiCr, TiNiCr oder ein anderes geeignetes TFR-Material aufweisen. Die TFR-Schicht 210 kann auf jede geeignete Weise abgeschieden werden, z.B. unter Verwendung eines PVD- oder Sputter-Abscheidungsverfahrens, mit jeder geeigneten Dicke, z.B. etwa 50nm (z.B. 40nm bis 60nm). In einigen Ausführungsformen kann die TFR-Schichtdicke basierend auf einem Zielschichtwiderstand ausgewählt werden, z.B. 500-1000 Ω/sq. Die dielektrische Deckschicht 212 kann über dem TFR-Film 210 abgeschieden werden, um den TFR-Film 210 zu schützen. In einer Ausführungsform kann die dielektrische Deckschicht 212 eine SiN-Schicht mit einer Dicke von ungefähr 50nm (z.B. 40nm bis 60nm) oder ungefähr 75nm (z.B. 60nm bis 90nm) aufweisen.
  • In einigen Ausführungsformen kann ein Tempern zwischen der Abscheidung der TFR-Schicht 210 und der dielektrischen Deckschicht 212 durchgeführt werden, um beispielsweise eine gewünschte TCR-Eigenschaft (Temperaturkoeffizient des Widerstands) der TFR-Schicht 210 zu erreichen, wie oben in Bezug auf 3B1, 3B2 erörtert.
  • Wie in 4C1 und 4C2 gezeigt, kann die Struktur 200 weiter verarbeitet werden, indem ein CMP durchgeführt wird, das an oder in der dielektrischen Deckschicht 212 gestoppt wird, um die oberen Abschnitte (d.h. außerhalb des TFR-Grabens 204) der TFR-Schicht 210 und der dielektrischen Deckschicht 212 zu entfernen und dadurch die Struktur eines TFR-Moduls 214 mit einem ausgebildeten TFR-Element 216 zu definieren. In einigen Ausführungsformen wird das CMP mit einer verbleibenden Zieldeckschichtdicke (Schicht 212) von etwa 100nm (z.B. 50nm bis 150nm) durchgeführt.
  • Infolge der Damaszenerkonstruktion kann das TFR-Element 216 Grate 218 an einer oder mehreren Kanten des TFR-Elements 216 aufweisen, die sich von einem sich horizontal erstreckenden Bodenbereich 219 des Elements 216 (das im Boden des TFR ausgebildet ist) vertikal nach oben erstrecken. Diese Grate 218 können zu unerwünschten Effekten führen, z.B. in Bezug auf den Temperaturkoeffizienten des Widerstands (TCR) des TFR-Moduls 215. Wie nachstehend unter Bezugnahme auf 4I1, 4I2 erörtert, können einer oder mehrere dieser Grate 218 zumindest teilweise während eines Metallätzens zum Formen der Aluminium-TFR-Köpfe entfernt werden, um die Leistung (z.B. verbesserte TCR) des TFR-Moduls zu verbessern. Die Höhe der TFR-Elementgrate 218, angegeben mit „y“, kann durch die Tiefe des TFR-Grabens 204 und/oder die Eindringtiefe des in diesem Schritt durchgeführten CMP definiert werden.
  • Nach der in 4C1, 4C2 gezeigten Verarbeitung kann das Verfahren von dem oben erörterten Verfahren der 3A-3I abweichen, insbesondere durch die zusätzliche Ausbildung von TFR-Kontakten, um die Kontaktfläche zwischen dem TFR-Element 216 und anschließend ausgebildeten TFR-Köpfen zu vergrößern.
  • Wie in 4D1 und 4D2 gezeigt, kann eine Fotomaske 220 auf der Struktur 200 ausgebildet und strukturiert werden, um (a) zumindest eine erste Maskenöffnung 222 zum Erzeugen zumindest eines leitenden Vorrichtungskontakts 252 (nachstehend erörtert) in der darunter liegenden Struktur auszubilden und (b) zumindest eine zweite Maskenöffnung 224 zum Erzeugen zumindest eines TFR-Kontakts 254 (nachstehend erörtert) zum Vergrößern der Kontaktfläche zwischen dem TFR-Element 216 und anschließend ausgebildeten TFR-Köpfen auszubilden. In diesem Beispiel werden vier zweite Maskenöffnungen 224A-224D ausgebildet, um vier TFR-Kontakte 254A-254D zu erzeugen, wie nachstehend erörtert wird.
  • Jede erste Maskenöffnung 222 kann über oder neben einem jeweiligen leitenden Element 240, z.B. einem Metallkontakt oder einer Verbindung, in der darunter liegenden Struktur 201 ausgerichtet sein, so dass der nachfolgend ausgebildete Vorrichtungskontakt 252 mit dem leitenden Element 240 in Kontakt steht. Wie in 4D1 gezeigt, kann jede zweite Maskenöffnung 224 (in diesem Beispiel jede Öffnung 224A - 224D) über einem TFR-Elementgrat 218 ausgerichtet sein, so dass jeder anschließend ausgebildete TFR-Kontakt 254A - 254D einen jeweiligen TFR-Elementgrat 218 durchdringt, wie in nachfolgenden Figuren gezeigt und unten erörtert. In diesem Beispiel sind die Maskenöffnungen 224 angeordnet, um einen TFR-Kontakt 254 auszubilden, der sich durch die TFR-Elementkanten 218 an gegenüberliegenden Längsenden des TFR-Elements 216 erstreckt. In anderen Ausführungsformen können eine oder mehrere Maskenöffnungen 224 angeordnet sein, um einen sich erstreckenden TFR-Kontakt 254 durch einen, zwei, drei oder alle vier der TFR-Elementgrate 218 auszubilden, die sich um den Umfang des TFR-Elements 216 erstrecken.
  • Zusätzlich können zweite Maskenöffnungen 224 über einem inerten oder nichtleitenden Bereich 242, z.B. einer Oxid- oder Dummy-Polyschicht oder einem Dummy-Polyblock, in der darunter liegenden Struktur 201 ausgerichtet sein, so dass die anschließend ausgebildeten TFR-Kontakte 254 auf einem inerten oder nichtleitender Bereich 242 landen können. In einer Ausführungsform kann der TFR-Kontakt 254 auf einer leitenden Vorrichtung landen, die erforderlich ist, um mit dem TFR verbunden zu werden.
  • In einigen Ausführungsformen kann jede zweite Maskenöffnung 224 eine Kreisform aufweisen; eine längliche Form, z.B. ein Oval/eine Ellipse (wie in 4E1 gezeigt) oder ein längliches Rechteck; oder eine andere geeignete Form. Die Verwendung einer länglichen Form der Öffnungen 224 kann die resultierende Kontaktfläche zwischen den TFR-Kontakten 254 und dem TFR-Element 216 vergrößern (z.B. an den TFR-Graten 218 und/oder am TFR-Bodenbereich 291).
  • Wie in 4E1 und 4E2 gezeigt, kann ein Ätzen durch die Maskenöffnungen 232 und 234A-234D durchgeführt werden, um (a) eine Kontaktöffnung 232 zu erzeugen, die sich durch die dielektrische Schicht 202 erstreckt und auf oder neben dem leitenden Element 240 landet, und (b) TFR-Kontaktöffnungen 234A - 234D zu erzeugen, die sich durch die dielektrische Schicht 202 erstrecken und auf dem inerten oder nicht leitenden Bereich 242 landen. Die Fotomaske 220 kann dann entfernt werden.
  • Wie in 4F1 und 4F2 gezeigt, können die Kontaktöffnungen 232 und 234A-234D mit Metall, z.B. Wolfram, gefüllt sein, um (a) einen Vorrichtungskontakt 252 zu definieren, der sich durch die dielektrische Schicht 202 erstreckt und das leitende Element 240 in der darunter liegenden Struktur 201 berührt und (b) TFR-Kontakte 254A-254D zu definieren, die sich durch die dielektrische Schicht 202 erstrecken und auf einem inerten oder nichtleitenden Bereich 242 landen. In einer Ausführungsform kann der TFR-Kontakt 254 auf einer leitenden Vorrichtung landen, die mit dem TFR verbunden werden muss.
  • Wie in 4G1 und 4G2 gezeigt, kann eine Metallschicht oder ein Stapel 260 über dem TFR-Modul 214, den TFR-Kontakten 254 und dem Vorrichtungskontakt 252 abgeschieden werden. Die Metallschicht oder der Stapel 260 können eine Metall-1- oder M-1-Schicht definieren. In einigen Ausführungsformen kann die Metallschicht oder der Metallstapel 260 Aluminium aufweisen. In dem dargestellten Beispiel enthält der Metallstapel 260 eine dünne Ti oder TiN-Schicht, die auf der Struktur abgeschieden ist, gefolgt von einer dicken Aluminiumschicht 264. In einigen Ausführungsformen kann eine weitere TiN-Schicht über der Aluminiumschicht 264 abgeschieden werden.
  • Wie in 4H1 und 4H2 gezeigt, kann der Metallstapel 260 unter Verwendung einer geeigneten Metallätzung strukturiert und geätzt werden, um (a) ein Paar Aluminium-TFR-Köpfe 270A und 270B an gegenüberliegenden Enden des TFR-Moduls 214 und (b) einen Aluminiumkontakt 272 zu definieren, der mit dem Vorrichtungskontakt 252 gekoppelt ist. Wie in 4H2 gezeigt, berührt eine Bodenfläche jedes Aluminium-TFR-Kopfes 270A und 270B zumindest einen TFR-Elementgrat 218 und ein entsprechendes Paar von TFR-Kontakten 254, um dadurch über TFR-Kontakte 254A - 254D und TFR-Element 216 einen leitenden Pfad zwischen TFR-Köpfen 270A und 270B zu definieren.
  • 4H2 zeigt auch, dass das Metallätzen eine teilweise vertikale Dicke (oder in einer anderen Ausführungsform eine volle Dicke) des dielektrischen TFR-Deckschichtbereichs 212 entfernen kann.
  • 4I1 und 4I2 zeigen die Struktur am gleichen Punkt des Prozesses wie 4H1 und 4H2, aber der in 4I2 gezeigte Querschnitt wird durch einen der TFR-Elementgrate 218 geführt, im Gegensatz zu dem in 4H2 gezeigten Querschnitt, der durch einen inneren Ort von TFR-Element 216 geführt wird. Wie in 4I2 gezeigt, kann das Metallätzen auch eine teilweise oder vollständige Dicke des jeweiligen TFR-Elementgrats 218 in der „y“ - Richtung entfernen, die bei 218A entlang eines Teils oder der vollständigen Länge des jeweiligen TFR-Elementgrats 218 in „x“ -Richtung angegeben ist. In der dargestellten Ausführungsform wird der im Querschnitt 1-1 gezeigte TFR-Elementgrat 218 (sowie der passende TFR-Elementgrat 218 auf der gegenüberliegenden Seite des TFR-Moduls 214 durch das Metallätzen von einer Dicke y1 auf eine reduzierte Dicke y2 reduziert, entlang der Länge des Grats 218 in x-Richtung mit Ausnahme der Abschnitte 218B, die von Aluminium-TFR-Köpfen 270A und 270B bedeckt sind.
  • 4J1 und 4J2 zeigen die Struktur am gleichen Punkt des Prozesses wie 4H1/4H2 und 4I1/4I2, wobei jedoch der in 4J2 gezeigte Querschnitt durch die Linie J-J genommen wird, die sich an einem Längsende durch den TFR-Elementgrat 218 des TFR-Elements 216 erstreckt. Wie in der Querschnittsansicht gezeigt, durchdringen die TFR-Kontakte 254A und 254B den TFR-Elementgrat 218, um Bereiche des Oberflächenkontakts zwischen (a) Seitenflächen jedes TFR-Kontakts 254A und 254B und des TFR-Elementgrats 218 und auch zwischen (b) Seitenflächen jedes TFR-Kontakts 254A und 254B und dem sich horizontal erstreckenden Bodenbereich 219 des TFR-Elements 216 (deutlicher in 4H2 gezeigt) zu definieren. Diese Kontaktbereiche zwischen dem TFR-Kontakt 254 und dem TFR-Element 216 erhöhen den Leitungsweg zwischen jedem TFR-Kopf 270A und 270B und dem TFR-Element 216, was dadurch die Leistung des TFR-Moduls 214 verbessern kann, z.B. insbesondere bei Hochstromanwendungen.

Claims (21)

  1. Verfahren zur Herstellung eines Dünnschichtwiderstandsmoduls (TFR) in einer integrierten Schaltungs- (IC-) Struktur, wobei das Verfahren aufweist: Ausbilden eines Grabens (104; 204) in einem dielektrischen Bereich (102; 202); Ausbilden eines TFR-Elements (116; 216) in dem Graben (104; 204), wobei das TFR-Element (116; 216) einen sich seitlich erstreckenden TFR-Bereich (119; 219) und einen TFR-Grat (118; 218) aufweist, der sich von einem sich seitlich erstreckenden TFR-Bereich (119; 219 ) nach oben erstreckt; Abscheiden zumindest einer Metallschicht (160; 260) über dem TFR-Element (116; 216) Strukturieren der zumindest einen Metallschicht (160; 260) und Ätzen der zumindest einen Metallschicht (160; 260) unter Verwendung eines Metallätzens, um ein Paar von Metall-TFR-Köpfen (170A, B) über dem TFR-Element (116; 216) an gegenüberliegenden Enden des TFR-Elements (116; 216) zu definieren, wobei das Metallätzen auch zumindest einen Teil des sich nach oben erstreckenden TFR-Grats (118; 218) entfernt.
  2. Verfahren nach Anspruch 1, wobei das Verfahren die folgenden zwei Maskierungsschritte aufweist: einen ersten Maskierungsschritt zum Ausbilden des Grabens (104; 204) im dielektrischen Bereich (102; 202); und einen zweiten Maskierungsschritt zum Strukturieren der zumindest einen Metallschicht (160; 260) für das Metallätzen.
  3. Verfahren nach einem der Ansprüche 1 bis 2, wobei die zumindest eine Metallschicht (160; 260) über dem TFR-Element (116; 216) Aluminium aufweist, so dass das Paar von Metall-TFR-Köpfen (170A,B; 270A,B) Aluminium-TFR-Köpfe aufweist.
  4. Verfahren nach einem der Ansprüche 1 bis 2, wobei die zumindest eine Metallschicht (160; 260) über dem TFR-Element (116; 216) aufweist: eine erste Metallschicht (162; 262), die über dem TFR-Element (116; 216) ausgebildet ist und Titan (Ti) oder Titannitrid (TiN) aufweist; und eine Aluminiumschicht (164; 264), die über der ersten Metallschicht (162; 262) ausgebildet ist.
  5. Verfahren nach einem der Ansprüche 1 bis 4, das weiterhin aufweist: vor dem Abscheiden der zumindest einen Metallschicht (160; 260) über dem TFR-Element (116; 216) Ätzen einer Kontaktöffnung und Füllen der Kontaktöffnung (132; 232) mit einem leitenden Material, um einen Vorrichtungskontakt (152; 252) zu definieren, und wobei die zumindest eine Metallschicht (160; 260) über dem TFR-Element (116; 216) und über dem Vorrichtungskontakt (152; 252) abgeschieden wird; und wobei das Metallätzen einen Metallkontakt (172; 272) definiert, der leitend mit dem Vorrichtungskontakt (152; 252) gekoppelt ist.
  6. Verfahren nach einem der Ansprüche 1 bis 5, das weiterhin vor dem Abscheiden der zumindest einen Metallschicht (260) über dem TFR-Element (216) das Ausbilden eines leitenden TFR-Kontakts (254A..D) aufweist, der sich durch eine Dicke des TFR-Elements (216) erstreckt; wobei ein erster der Metall-TFR-Köpfe (270A; 270B) in Kontakt mit dem leitenden TFR-Kontakt (254A..D) ausgebildet wird, um dadurch über den leitenden TFR-Kontakt (254A..D) einen leitenden Pfad zwischen dem ersten TFR-Kopf (270A; 270B) und dem TFR-Element (216) zu definieren.
  7. Verfahren nach Anspruch 6, wobei das Ausbilden des zumindest einen leitenden TFR-Kontakts (254A) aufweist: Ätzen zumindest einer TFR-Kontaktöffnung (234A..D), die sich durch einen seitlichen Randbereich des TFR-Elements (216) erstreckt; und Füllen jeder TFR-Kontaktöffnung (234A..D) mit einem leitenden Material, um einen jeweiligen leitenden TFR-Kontakt (254A..D) zu definieren, der zumindest eine Seitenfläche in Kontakt mit zumindest einer Seitenfläche des TFR-Elements (216) aufweist.
  8. Verfahren nach Anspruch 6 oder 7, wobei jeder leitende TFR-Kontakt (254A..D) Wolfram aufweist.
  9. Verfahren nach einem der vorhergehenden Ansprüche 6-8, wobei sich jeder leitende TFR-Kontakt (254A..D) unter einer Bodenfläche des TFR-Elements (216) erstreckt.
  10. Verfahren nach einem der vorhergehenden Ansprüche 6-9, welches das Ausbilden mehrerer diskreter leitender TFR-Kontakte (254A, 254B; 254C, 254D) an einem ersten Ende des TFR-Elements (216) und mit einer oberen Oberfläche in Kontakt mit einem der Metall-TFR-Köpfe (270A; 270B) aufweist.
  11. Verfahren nach einem der Ansprüche 1 bis 10, das weiterhin das Ausbilden zumindest eines leitenden TFR-Kontakts (254A..D) aufweist, der sich durch eine Dicke des TFR-Elements (216) erstreckt, wobei jeder leitende TFR-Kontakt (254A..D) aufweist: zumindest eine Seitenfläche in Kontakt mit zumindest einer Seitenfläche des TFR-Elements (216), und eine obere Fläche in Kontakt mit einem der Metall-TFR-Köpfe (270A, 270B), wobei der zumindest eine leitende TFR-Kontakt (254A..D) eine Leitfähigkeit zwischen dem TFR-Element (216) und zumindest einem der Metall-TFR-Köpfe (270A, 270B) erhöht.
  12. Verfahren nach einem der Ansprüche 1 bis 11, wobei sich der sich nach oben erstreckende TFR-Grat (118; 218) vor dem Metallätzen um einen Umfang des sich seitlich erstreckenden TFR-Bereichs 119; 219 erstreckt.
  13. Verfahren nach einem der Ansprüche 1 bis 12, wobei das Metallätzen eine Teilhöhe des sich nach oben erstreckenden TFR-Grats (118; 218) entfernt.
  14. Verfahren nach einem der Ansprüche 1 bis 12, wobei das Metallätzen eine volle Höhe des sich nach oben erstreckenden TFR-Grats (118; 218) entfernt.
  15. Verfahren nach einem der Ansprüche 1 bis 14, wobei das TFR-Element (116; 216) SiCr oder SiCCr aufweist.
  16. Dünnschichtwiderstandsmodul (TFR), das aufweist: ein in einem Graben (104; 204) in einem dielektrischen Bereich (102; 202) ausgebildetes TFR-Element (116) mit einem sich seitlich erstreckenden Abschnitt (119; 219) und einem ersten und zweiten TFR-Grat (118; 218), die sich an gegenüberliegenden Enden der seitlich erstreckenden TFR-Bereich (119; 219) nach oben erstrecken; ein Paar von TFR-Köpfen (170A,B; 270A,B), die über den sich nach oben erstreckenden ersten und zweiten TFR-Grat (118; 218) des TFR-Elements (116) ausgebildet sind und wobei das Paar von TFR-Köpfen nur im Bereich der jeweils ersten und zweiten TFR-Grate mit dem TFR-Element (116) in Verbindung steht.
  17. Dünnschichtwiderstandsmodul nach Anspruch 16, mit zusätzlichen leitenden TFR-Kontakten (254A..D), die sich durch einen jeweiligen TFR-Grat (218) erstrecken, wobei ein jeweiliger TFR-Kopf (270A; 270B) in Kontakt mit einem der zusätzlichen leitenden TFR-Kontakte (254A..D) ausgebildet ist, um dadurch über den zusätzlichen leitenden TFR-Kontakt einen leitenden Pfad vom TFR-Kopf (270A) zum TFR-Element (216) zu definieren.
  18. Dünnschichtwiderstandsmodul nach Anspruch 16, wobei ein erster und zweiter TFR-Kopf (170A, 170B) in direktem Kontakt mit den sich nach oben erstreckenden TFR-Graten (218) stehen.
  19. Dünnschichtwiderstandsmodul nach einem der Ansprüche 16 bis 18, wobei das Paar von TFR-Köpfen (170; 270) Aluminium aufweist.
  20. Dünnschichtwiderstandsmodul nach einem der Ansprüche 17 bis 19, wobei die zusätzlich leitenden TFR-Kontakte (254A..D) jeweils unter dem ersten oder dem zweiten TFR-Kopf (270A, 270B) angeordnet sind.
  21. Dünnschichtwiderstandsmodul (TFR-Modul), das nach einem der Verfahren nach Anspruch 1 bis 15 ausgebildet ist.
DE112019003036.1T 2018-06-15 2019-06-10 Aluminiumkompatibler dünnfilmwiderstand (tfr) und herstellungsverfahren Active DE112019003036B4 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862685676P 2018-06-15 2018-06-15
US62/685,676 2018-06-15
US16/037,889 US10658453B2 (en) 2018-06-15 2018-07-17 Aluminum compatible thin-film resistor (TFR) and manufacturing methods
US16/037,889 2018-07-17
PCT/US2019/036242 WO2019241094A1 (en) 2018-06-15 2019-06-10 Aluminum compatible thin-film resistor (tfr) and manufacturing methods

Publications (2)

Publication Number Publication Date
DE112019003036T5 DE112019003036T5 (de) 2021-03-25
DE112019003036B4 true DE112019003036B4 (de) 2023-03-02

Family

ID=68840277

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112019003036.1T Active DE112019003036B4 (de) 2018-06-15 2019-06-10 Aluminiumkompatibler dünnfilmwiderstand (tfr) und herstellungsverfahren

Country Status (5)

Country Link
US (1) US10658453B2 (de)
CN (1) CN112313809A (de)
DE (1) DE112019003036B4 (de)
TW (1) TW202002236A (de)
WO (1) WO2019241094A1 (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10553336B2 (en) 2018-06-21 2020-02-04 Microchip Technology Incorporated Thin-film resistor (TFR) module with top-side interconnects connected to reduced TFR ridges and manufacturing methods
US11626474B2 (en) * 2020-12-31 2023-04-11 Microchip Technology Incorporated Thin-film resistor (TFR) with improved contacts
US20230361159A1 (en) * 2022-05-04 2023-11-09 Microchip Technology Incorporated Thin-film resistor (tfr) module including a tfr element formed in a metal cup structure

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130093024A1 (en) 2011-10-17 2013-04-18 Texas Instruments Incorporated STRUCTURE AND METHOD FOR INTEGRATING FRONT END SiCr RESISTORS IN HiK METAL GATE TECHNOLOGIES
US9679844B2 (en) 2015-06-18 2017-06-13 Microchip Technology Incorporated Manufacturing a damascene thin-film resistor

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7291525B1 (en) * 2004-08-05 2007-11-06 National Semiconductor Corporation System and method for manufacturing thin film resistors using a trench and chemical mechanical polishing
US7485540B2 (en) 2005-08-18 2009-02-03 International Business Machines Corporation Integrated BEOL thin film resistor
US8013394B2 (en) * 2007-03-28 2011-09-06 International Business Machines Corporation Integrated circuit having resistor between BEOL interconnect and FEOL structure and related method
US7981759B2 (en) * 2007-07-11 2011-07-19 Paratek Microwave, Inc. Local oxidation of silicon planarization for polysilicon layers under thin film structures
US9147678B2 (en) 2012-01-04 2015-09-29 United Microelectronics Corp. Resistor and fabrication method thereof
US8754741B2 (en) * 2012-10-18 2014-06-17 Texas Instruments Incorporated High-resistance thin-film resistor and method of forming the resistor
US8927306B2 (en) * 2013-02-28 2015-01-06 Avago Technologies General Ip (Singapore) Pte. Ltd. Etched-facet lasers having windows with single-layer optical coatings
US9240403B2 (en) 2013-03-01 2016-01-19 United Microelectronics Corp. Embedded resistor
CN104051614A (zh) 2013-03-15 2014-09-17 联华电子股份有限公司 埋入式电阻
US9627467B2 (en) * 2013-09-06 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Thin film resistor integrated between interconnect levels and contacting an underlying dielectric layer protrusion
JP6376750B2 (ja) * 2013-12-10 2018-08-22 ローム株式会社 半導体装置および半導体装置の製造方法
US9893119B2 (en) * 2016-03-15 2018-02-13 Texas Instruments Incorporated Integrated circuit with hall effect and anisotropic magnetoresistive (AMR) sensors

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130093024A1 (en) 2011-10-17 2013-04-18 Texas Instruments Incorporated STRUCTURE AND METHOD FOR INTEGRATING FRONT END SiCr RESISTORS IN HiK METAL GATE TECHNOLOGIES
US9679844B2 (en) 2015-06-18 2017-06-13 Microchip Technology Incorporated Manufacturing a damascene thin-film resistor

Also Published As

Publication number Publication date
CN112313809A (zh) 2021-02-02
US20190386091A1 (en) 2019-12-19
US10658453B2 (en) 2020-05-19
DE112019003036T5 (de) 2021-03-25
TW202002236A (zh) 2020-01-01
WO2019241094A1 (en) 2019-12-19

Similar Documents

Publication Publication Date Title
DE102016100270B4 (de) Bondstrukturen und verfahren zu ihrer herstellung
DE102004004532B4 (de) Halbleitervorrichtung
DE102015107271B4 (de) Zwei- oder mehrteilige Ätzstoppschicht in integrierten Schaltungen
DE102014111783B4 (de) Gestapelte integrierte Schaltungen mit Umverteilungsleitungen und Verfahren zu ihrer Herstellung
DE112019003120B4 (de) Dünnfilmwiderstand in einer integrierten schaltung und herstellungsverfahren dafür
DE112019003036B4 (de) Aluminiumkompatibler dünnfilmwiderstand (tfr) und herstellungsverfahren
DE60132152T2 (de) Herstellungsverfahren von einem randlosen Kontakt auf Bitleitungskontaktstutzen mit einer Ätzstopschicht
DE112019002455T5 (de) Dünnfilmwiderstand in einer integrierten schaltung und herstellungsverfahren
DE102014100564A1 (de) Halbleitervorrichtungen und Verfahren zu ihrer Herstellung
DE112018004421T5 (de) Damaszener-dünnschichtwiderstand (tfr) in polymetall-dielektrikum und verfahren zur herstellung
DE102015119536A1 (de) Kondensatoren mit dielektrischen Barriereschichten und Verfahren zu deren Ausbildung
DE102020119486A1 (de) Halbleitervorrichtungen umfassend eine dicke metallschicht
DE102008060077A1 (de) Verfahren zur Herstellung einer integrierten Schaltung
DE102012105304B4 (de) Vorrichtung zur Belastungsreduzierung
DE102004033825B4 (de) Verfahren zur Herstellung einer Kondensatoranordnung sowie zugehörige Kondensatoranordnung
DE102019204020B4 (de) Verbindungsstruktur und Verfahren zu deren Herstellung
DE102019115467B4 (de) Minderung der Stufenhöhe in Resistive Random-Access-Memorystrukturen
DE112020003222T5 (de) Zwischenverbindungsanordnung mit vollständig ausgerichteten durchkontakten
DE112018003821T5 (de) Systeme und verfahren zum ausbilden eines in einer integrierten schaltungsanordnung integrierten dünnfilmwiderstandes
DE102011052914A1 (de) Kondensator und Verfahren zu dessen Herstellung
DE102021100457B4 (de) Rückseiten- oder vorderseiten-substratdurchkontaktierungslandung (tsv-landung) auf metall
DE102021100639A1 (de) Verschaltungsstruktur einer halbleitervorrichtung
DE112021000239T5 (de) Verbindungsstrukturen mit rutheniumauskleidung mit kobaltinfusion und einer kobaltabdeckung
DE102017117962A1 (de) Halbleiter-bauelement und verfahren zu dessen herstellung
DE102011100779B4 (de) Elektronische Vorrichtung und Verfahren zur Herstellung einer elektronischen Vorrichtung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final