DE102021100457B4 - Rückseiten- oder vorderseiten-substratdurchkontaktierungslandung (tsv-landung) auf metall - Google Patents

Rückseiten- oder vorderseiten-substratdurchkontaktierungslandung (tsv-landung) auf metall Download PDF

Info

Publication number
DE102021100457B4
DE102021100457B4 DE102021100457.8A DE102021100457A DE102021100457B4 DE 102021100457 B4 DE102021100457 B4 DE 102021100457B4 DE 102021100457 A DE102021100457 A DE 102021100457A DE 102021100457 B4 DE102021100457 B4 DE 102021100457B4
Authority
DE
Germany
Prior art keywords
metal
dielectric
semiconductor substrate
tsv
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102021100457.8A
Other languages
English (en)
Other versions
DE102021100457A1 (de
Inventor
Zheng-Xun Li
Min-Feng KAO
Hsing-Chih LIN
Jen-Cheng Liu
Dun-Nian Yaung
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021100457A1 publication Critical patent/DE102021100457A1/de
Application granted granted Critical
Publication of DE102021100457B4 publication Critical patent/DE102021100457B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors

Abstract

Halbleiterstruktur, aufweisend:ein Halbleitersubstrat (102);eine Interconnect-Struktur (104), die über dem Halbleitersubstrat (102) angeordnet ist, wobei die Interconnect-Struktur (104) eine dielektrische Struktur (106) und eine Mehrzahl von Metallleitungen (108a-108h) aufweist, die übereinander in der dielektrischen Struktur (106) gestapelt sind;eine Substratdurchkontaktierung TSV (112), die sich durch das Halbleitersubstrat (102) erstreckt, um eine Metallleitung der Mehrzahl von Metallleitungen (108a-108h) zu kontaktieren; undeinen Schutzmantel (114), der entlang von Außenseitenwänden der TSV (112) angeordnet ist und die Außenseitenwände der TSV (112) von der dielektrischen Struktur (106) der Interconnect-Struktur (104) trennt, wobei die Außenseitenwand des Schutzmantels (114) eine Reihe von Stegen (326) aufweist, die über die Höhe des Schutzmantels (114) variieren.

Description

  • STAND DER TECHNIK
  • Heutige integrierte Chips enthalten Milliarden oder Billionen von Halbleiterbauelementen. Die Halbleiterbauelemente sind elektrisch mittels Backend-der-Leitung-Metall-Interconnect-Schichten (back-end-of-the-line metal interconnect-Schichten) miteinander verbunden, die über den Bauelementen auf einem integrierten Chip gebildet sind. Ein typischer integrierter Chip weist eine Mehrzahl von Backend-der-Leitung-Metall-Interconnect-Schichten in dielektrischem Material eingebettet auf. Die Metall-Interconnect-Schichten enthalten entsprechende Schichten von Metalldrähten, die vertikal mit Metalldurchkontaktierungen gekoppelt sind. Die Größe der Metall-Interconnect-Schichten nimmt von dünnen Metallleitungen, die mit den Bauelementen verbunden werden, zu dicken Metallleitungen, die mit Komponenten abseits vom Chip verbunden werden, zu. Die Druckschrift US 2020 / 0 006 128 A1 offenbart ein Verfahren zum Verbinden eines ersten Wafers mit einem zweiten Wafer. Der erste Wafer umfasst eine Mehrzahl von dielektrischen Schichten; ein Metallrohr, das die Mehrzahl der dielektrischen Schichten durchdringt; und eine dielektrische Region mit einer Mehrzahl von Stufen als Seitenwände, die von dem Metallrohr umgeben werden. Die Druckschrift US 2019 / 0 313 528 A1 offenbart eine mehrschichtige Verdrahtungsstruktur mit einer ersten Metallschicht; einem Zwischenschicht-Isolierfilm mit einer Öffnung, der auf der ersten Metallschicht ausgebildet ist; einer zweiten Metallschicht, die auf einer Innenwand der Öffnung ausgebildet ist; und einer dritten Metallschicht, die die Öffnung über die zweite Metallschicht füllt.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Elemente nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Elemente zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 veranschaulicht eine Querschnittansicht mancher Ausführungsformen einer Halbleiterstruktur, die eine Substratdurchkontaktierung (TSV, Through Substrate Via) und einen Schutzmantel, der Seitenwände der TSV von angrenzenden dielektrischen Schichten trennt, aufweist.
    • 2 veranschaulicht eine Draufsicht einer Halbleiterstruktur, die mit manchen Ausführungsformen von 1 übereinstimmt.
    • 3 veranschaulicht eine Querschnittansicht mancher Ausführungsformen einer Halbleiterstruktur, die eine Substratdurchkontaktierung (TSV) und einen Schutzmantel, der Seitenwände der TSV von angrenzenden dielektrischen Schichten trennt, aufweist.
    • 4 veranschaulicht eine Querschnittansicht mancher Ausführungsformen einer Halbleiterstruktur, die TSV und einen Schutzmantel, der Seitenwände der TSV von angrenzenden dielektrischen Schichten trennt, aufweist.
    • 5A veranschaulicht eine Querschnittansicht mancher Ausführungsformen einer Halbleiterstruktur, die eine TSV und einen Schutzmantel, der Seitenwände der TSV von angrenzenden dielektrischen Schichten trennt, aufweist.
    • 5B veranschaulicht eine Querschnittansicht mancher alternativer Ausführungsformen einer Halbleiterstruktur, die eine TSV aufweist.
    • 5C veranschaulicht eine Querschnittansicht mancher alternativer Ausführungsformen einer Halbleiterstruktur, die eine TSV aufweist.
    • 6 veranschaulicht ein Ablaufdiagramm, das manche Ausführungsformen von Verfahren in Übereinstimmung mit der vorliegenden Offenbarung abbildet.
    • 7-16 veranschaulichen eine Reihe von Querschnittansichten, die gemeinsam einen Prozess zur Herstellung einer Halbleiterstruktur in Übereinstimmung mit manchen Ausführungsformen der vorliegenden Offenbarung veranschaulichen.
    • 17-23 veranschaulichen eine Reihe von Querschnittansichten, die gemeinsam einen Prozess zur Herstellung einer Halbleiterstruktur in Übereinstimmung mit anderen Ausführungsformen der vorliegenden Offenbarung veranschaulichen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale dieser Offenbarung bereit. Spezifische Beispiele von Komponenten und Anordnungen werden unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich bloß Beispiele und nicht beabsichtigt begrenzend zu sein. Zum Beispiel kann die Bildung eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen enthalten, in denen das erste und das zweite Element in direktem Kontakt gebildet sind und kann auch Ausführungsformen enthalten, in denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element gebildet sein können, sodass das erste und das zweite Element nicht in direktem Kontakt sein könnten. Zusätzlich kann die vorliegende Offenbarung Referenznummern und/oder - buchstaben in den unterschiedlichen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit und gibt selbst keine Beziehung zwischen den unterschiedlichen besprochenen Ausführungsformen und/oder Konfigurationen vor.
  • Weiter können räumlich relative Ausdrücke wie „unterliegend“, „unterhalb“, „unter“, „überliegend“, „ober“ und dergleichen hierin zur Erleichterung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) wie in den Figuren veranschaulicht zu beschreiben. Die räumlich relativen Ausdrücke sind beabsichtigt, verschiedene Ausrichtungen des Bauelements in Verwendung oder Betrieb zusätzlich zu der in den Figuren abgebildeten Ausrichtung zu umschließen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht oder bei anderen Ausrichtungen) und die hierin verwendeten räumlich relativen Beschreibungsausdrücke können ebenso entsprechend ausgelegt werden.
  • Heutige integrierte Chips weisen ein oder mehrere Halbleitersubstrate auf, in denen Milliarden oder Billionen Halbleiterbauelemente angeordnet sind. Wenn ein Chip mehrere Substrate übereinandergestapelt aufweist, kann jedes Substrat eine entsprechende Interconnect-Struktur aufweisen, wobei die Halbleiterbauelemente eines vorgegebenen Substrats elektrisch mittels Backend-der-Leitung-Metallleitungen (BEOL-Leitungen) miteinander verbunden sind, die in der Interconnect-Struktur entsprechend diesem Substrat gebildet sind. Zum Beispiel weist eine typische Interconnect-Struktur eine Mehrzahl von BEOL-Metall-Interconnect-Schichten innerhalb von dielektrischem Material eingebettet auf. Die Metall-Interconnect-Schichten enthalten entsprechende Schichten aus Metalldrähten, die vertikal mit Metalldurchkontaktierungen aneinander gekoppelt sind. Die Größe der Metall-Interconnect-Schichten für ein vorgegebenes Substrat nimmt von dünnen Metalldrähten näher bei dem Substrat zu dicken Metalldrähten weiter von dem Substrat entfernt zu. Typischerweise werden die Schichten von Metalldrähten durch Nummern bezeichnet, die von dem Substrat weg hochgezählt werden - zum Beispiel kann die Schicht von Metalldrähten am nächsten zum Substrat als eine Metall-o-Schicht bezeichnet werden, die nächste Schicht von Drähten kann als eine Metall-1-Schicht bezeichnet werden, dann eine Metall-2-Schicht und so weiter.
  • Weil heutige integrierte Chips mehrere Substrate aufweisen können, ist es in manchen Instanzen von Vorteil, eine elektrische Verbindung bereitzustellen, die durch ein Substrat geht, wodurch zusätzliche Pfade für Verbindungen der Bauelemente auf verschiedenen Substraten miteinander ermöglicht werden. Dies wird bewerkstelligt, indem eine Substratdurchkontaktierung (TSV) aus leitfähigem Material hergestellt wird, das sich von einer ersten Seite des Substrats erstreckt und durch das gesamte Substrat und aus der zweiten Seite des Substrats geht, um auf einer dünnen BEOL-Metallleitung (z.B. Mo-Leitung) am nächsten zu der zweiten Seite des Substrats zu landen. Manche Aspekte der vorliegenden Offenbarung liegen in dem Bewusstsein, dass falls solch eine TSV auf einer dickeren, höheren Schicht von Metalldrähten (als einer unteren, dünneren Schicht von Metalldrähten) landet, der insgesamte Kontaktwiderstand in manchen fortschrittlichen Technologien verringert werden könnte. Dies könnte dabei helfen, resistiv-kapazitive Kopplung (RC-Kopplung) zu verringern und dadurch eine Gesamtleistung des integrierten Chips zu verbessern.
  • Jedoch sind dielektrische Materialien, in die solche Schichten von Metallleitungen eingebettet sind, typischerweise High-k-Dielektrikum-Materialien, die ziemlich porös und dementsprechend ziemlich zerbrechlich sind. Daher erwägt die vorliegende Offenbarung, dass ohne Gegenmaßnahmen die aggressive Natur des Ätzprozesses, der verwendet wird, um eine Öffnung bereitzustellen, um eine TSV zu einer höheren Schicht von Metalldrähten zu bilden, das High-k-Dielektrikum-Material beschädigen würde, das die TSV umgibt und zu Zuverlässigkeitsproblemen führen würde. Dementsprechend stellt die vorliegende Offenbarung einen Schutzmantel bereit, um Außenseitenwände der TSV von dem Low-k-Dielektrikum zu trennen. Der Schutzmantel wird vor der TSV gebildet und daher, wenn ein Ätzen durchgeführt wird, um durch das Substrat und Abschnitte des Interconnects zu ätzen, um eine Öffnung zu bilden, in der die TSV gebildet wird, wird das Ätzen innerhalb des Schutzmantels eingegrenzt, um das umliegende Low-k-Dielektrikum zu schützen. Auf diese Weise kann der finale integrierte Chip eine TSV bereitstellen, die sich durch ein Substrat, über untere dünnere Schichten von Metalldrähten und zu einer höheren, dickeren Schicht von Metalldrähten auf eine Weise erstreckt, die angrenzende dielektrische Schichten schützt, wodurch eine zuverlässige Verbindung mit einer niedrigen RC-Kopplung und guter Leistung bereitgestellt wird.
  • 1 veranschaulicht eine Querschnittansicht und 2 veranschaulicht eine entsprechende Draufsicht einer Halbleiterstruktur 100 in Übereinstimmung mit manchen Ausführungsformen und werden nun gleichzeitig besprochen. Die Halbleiterstruktur 100 weist ein Halbleitersubstrat 102 mit einem oder mehreren Bauelementen (z.B. Transistor 103) darin eingebettet und eine Interconnect-Struktur 104 über dem Halbleitersubstrat 102 angeordnet auf. Die Interconnect-Struktur 104 weist eine dielektrische Struktur, die aus mehreren dielektrischen Schichten 106a-106h hergestellt ist, die aus einem Low-k-Dielektrikum-Material hergestellt sind, und eine Mehrzahl von Metallleitungen 108a-108h und Durchkontaktierungen 110a-110g, die in den jeweiligen dielektrischen Schichten 106a-106h übereinandergestapelt sind, auf. Eine Substratdurchkontaktierung (TSV) 112, die ein Metall wie zum Beispiel Kupfer oder Aluminium beinhalten kann, erstreckt sich durch das Halbleitersubstrat 102 und durch mindestens einen Abschnitt der Interconnect-Struktur 104, um eine obere Metallleitung 108h der Mehrzahl von Metallleitungen zu kontaktieren. Ein Schutzmantel 114 ist entlang von Außenseitenwänden der TSV 112 angeordnet und umgibt die TSV 112 seitlich, um die Außenwände der TSV 112 von den dielektrischen Schichten 106a-106g der Interconnect-Struktur 104 zu trennen. Indem die Außenseitenwände der TSV 112 von den dielektrischen Schichten 106a-106g getrennt werden, stellt der Schutzmantel 114 Vorteile während der Herstellung bereit, die in besser Bauelementleistung und Zuverlässigkeit für die finale Halbleiterstruktur 100 resultieren. Insbesondere ist der Schutzmantel 114 während Herstellung eingesetzt, wenn ein Ätzen durchgeführt wird, um eine Öffnung für die TSV 112 zu bilden (wobei sich die Öffnung durch das Substrat und durch die dielektrischen Schichten erstreckt), sodass der Schutzmantel 114 das Ätzen eingrenzt, um die umliegenden dielektrischen Schichten 106a-106g zu schützen, die ansonsten vom Ätzen beschädigt werden könnten. Daher, wenn ein Metall nachfolgend verwendet wird, um die Öffnung zu füllen, um die TSV 112 einzurichten, geht die TSV 112 durch die dielektrischen Schichten 106a-106h, die vom Ätzen unbeschädigt bleiben, wodurch eine zuverlässige Verbindung mit der oberen Metallleitung 108h mit niedriger RC-Kopplung und guter Leistung bereitgestellt wird.
  • Es wird begrüßt, dass 1-2 die Halbleiterstruktur 100 auf eine gewissermaßen vereinfachte Art abbilden und eine beliebige Zahl von Variationen berücksichtigt wird, in den Umfang der Offenbarung zu fallen, von denen manche weiter unten veranschaulicht und beschrieben werden. Zum Beispiel können zu Zwecken des Verständnisses Elemente wie Ätzstoppschichten und/oder andere dielektrische Schichten aus der Halbleiterstruktur 100 von 1-2 ausgelassen werden, es können aber solche Elemente in anderen Ausführungsformen vorhanden sein. Weiter, während in manchen Fällen die dielektrischen Schichten 106a-106h aus Low-k-Dielektrikum-Material hergestellt sind, können in anderen Ausführungsformen eine oder mehrere dieser dielektrischen Schichten 106a-106h aus anderen dielektrischen Materialien hergestellt sein, wie unter anderem Siliziumdioxid, Siliziumnitrid und/oder Siliziumoxynitrid. Ähnlich, während die Interconnect-Struktur 104 zuvor beschrieben ist Metallleitungen 108a-108h aufzuweisen, die als Kupfer, Aluminium, Wolfram und/oder Nickel unter anderen Materialien vorliegen können, könnten in manchen Ausführungsformen eine oder mehrere Schichten dieser Metallleitungen alternativ aus einem anderen leitfähigen Material hergestellt sein, wie zum Beispiel dotiertem Polysilizium. Zusätzlich kann, obwohl 1 sieben Schichten von Metallleitungen 108a-108h, eine Schicht von Kontakten 107 und sieben Schichten von Durchkontaktierungen 110a-110 zeigt, im Allgemeinen eine beliebige Zahl von Metallschichten, Kontakten und Durchkontaktierungen vorliegen und 1 ist daher nur ein Beispiel. Der Schutzmantel 114 weist oft dasselbe Material wie die Metallleitungen 108a-108h und Durchkontaktierungen 110a-110g auf, was erlaubt gestraffte Herstellungstechniken zu verwenden, kann aber in anderen Ausführungsformen aber auch ein von den Metallleitungen und/oder Durchkontaktierungen verschiedenes Metall beinhalten. Der Schutzmantel 114 könnte alternativ dielektrisches Material beinhalten, wie ein Nitrid oder Siliziumdioxid, das erhöhte strukturelle Integrität verglichen mit dem dielektrischen Material (z.B. Low-k-Dielektrikum) der dielektrischen Schichten 106a-106g bietet. Weiter, obwohl 1 den Schutzmantel 114 veranschaulicht, sich fortlaufend über die gesamte Höhe der TSV 112 über das Substrat 102 zu erstrecken (z.B. sich fortlaufend von der oberen Oberfläche des Substrats 102 zu der oberen Oberfläche von Metallschicht 108g zu erstrecken), kann in anderen Ausführungsformen der Schutzmantel 114 nur teilweise Seitenwände der TSV 112 über dem Substrat 112 abdecken. Zum Beispielkann in manchen Fällen ein unterster Seitenwandabschnitt der TSV 112 über dem Substrat 102 und/oder oberster Seitenwandabschnitt der TSV 112 über dem Substrat 102 von dem Schutzmantel 114 nicht abgedeckt bleiben. Außerdem kann, anstatt sich fortlaufend über die gesamte Höhe der TSV 112 über dem Substrat 102 zu erstrecken, der Schutzmantel 114 Unterbrechungen oder Säume aufweisen, zum Beispiel entsprechend einer Ätzstoppschicht zwischen den angrenzenden dielektrischen Schichten. Einige zusätzliche Ausführungsformen sind unten in Bezug auf 3-5 veranschaulicht und beschrieben, es wird aber wieder begrüßt werden, dass diese bloß nichtbegrenzende Beispiele sind.
  • Nun in Bezug auf 3 sind manche Ausführungsformen einer Halbleiterstruktur 300 zu sehen, wobei der Schutzmantel 114 als eine Reihe von Ringsegmenten implementiert ist, die als Metallschichten und Durchkontaktierungsschichten der Interconnect-Struktur 104 angeordnet sind. Halbleiterstruktur 300 von 3 kann manche Aspekte der Halbleiterstruktur 100 in 1-2 aufweisen (und umgekehrt); und daher sind in manchen Ausführungsformen die Merkmale, die zuvor in Bezug auf 1-2 beschrieben wurden, auch auf die Halbleiterstruktur 300 in 3 anwendbar (und umgekehrt). Dementsprechend sind manche Bezugsnummern in 1-2 und 3 dieselben und diese entsprechenden Elemente können für diese Figuren dieselben sein oder kleine Abweichungen voneinander aufweisen.
  • Im Beispiel von 3 kann das Halbleitersubstrat 102 ein monokristallines Siliziumsubstrat oder ein Halbleiter-auf-Isolator-Substrat (SOI-Substrat) (z.B. Silizium-auf-Isolator-Substrat) sein. Das Halbleitersubstrat 102 kann dotierte Gebiete in oder auf dem Halbleitersubstrat 102 gebildet, epitaktische Schichten in oder auf dem Halbleitersubstrat 102 gebildet, isolierende Schichten in oder auf dem Halbleitersubstrat 102 gebildet, Fotolackschichten in oder auf dem Halbleitersubstrat 102 gebildet und/oder leitfähige Schichten in oder auf dem Halbleitersubstrat 102 gebildet aufweisen. In manchen Ausführungsformen weist das Halbleitersubstrat 102 eine Dicke, Ts, auf, die von 5 Mikrometer bis 50 Mikrometer reicht und die in manchen Beispielen ungefähr 9 Mikrometer ist.
  • Ein Transistorbauelement 103 ist in oder über dem Halbleitersubstrat 102 angeordnet. Das Transistorbauelement 103 weist ein erstes Source/Drain-Gebiet 302 und ein zweites Source/Drain-Gebiet 304 auf, die sich eine erste Leitfähigkeit teilen (z.B. n-Halbleitermaterial) und die durch ein Kanalgebiet 306 getrennt sind, das eine zweite Leitfähigkeit aufweist (z.B. p-Halbleitermaterial). Eine Gate-Elektrode 308 ist über dem Kanalgebiet 306 eingerichtet und von dem Kanalgebiet 306 durch ein Gate-Dielektrikum 310 getrennt. Daher sind das erste Source/Drain-Gebiet 302, das zweite Source/Drain-Gebiet 304 und die Gate-Elektrode 308 jeweils ein Beispiel eines leitfähigen Bauteilelements. Jedoch versteht sich, dass ein „leitfähiges Bauteilelement“ nicht auf diese Strukturen begrenzt ist und unzählige Formen annehmen kann, umfassend einen Anschluss eines aktiven Bauteils, wie zum Beispiel ein FinFET, MOSFET oder BJT; und/oder ein Anschluss eines passiven Bauteils, wie unter anderem eine Diode, ein Widerstand, Kondensator und/oder ein Speicherelement; und/oder ein Kontakt, eine Durchkontaktierung oder Metallleitung.
  • Eine dielektrische Basisschicht 312 ist über dem Halbleitersubstrat 102 angeordnet und enthält ein erstes dielektrisches Material mit einer ersten dielektrischen Konstante. In manchen Ausführungsformen enthält das erste dielektrische Material Siliziumdioxid und die erste dielektrische Konstante ist etwa 3,9. In anderen Ausführungsformen enthält das erste dielektrische Material ein Low-k-Dielektrikum-Material oder ein anderes Dielektrikum.
  • Ein unteres Metallelement 314, wie ein Kontakt oder eine Metall-o-Leitung erstreckt sich durch die dielektrische Basisschicht 312 und kontaktiert ein leitfähiges Element in oder auf dem Substrat (z.B. das erste Source/Drain-Gebiet 302). Das untere Metallelement 314 kann ein Metall beinhalten, wie zum Beispiel Wolfram, Aluminium, Nickel und/oder Kupfer. In manchen Ausführungsformen ist das untere Metallelement 314 als ein Kontakt in der Form einer Säule vorhanden, die sich vertikal zwischen der/dem Source/Drain 302 und einer unteren Metallleitung 108a und/oder einer unteren Durchkontaktierung erstreckt, während in anderen Fällen das untere Metallelement 314 eine Metall-o-Leitung ist, die sich über der oberen Oberfläche des Substrats 102 erstreckt, um angrenzende Source/Drains oder andere leitfähige Elemente miteinander zu koppeln.
  • Eine oder mehrere dielektrische Zwischenschichten 106 (z.B. dielektrische Schichten 106a-106g) sind über der dielektrischen Basisschicht 312 angeordnet. In manchen Ausführungsformen weisen diese eine oder mehreren dielektrischen Zwischenschichten 106 eine zweite Dielektrizitätskonstante auf, die niedriger als die erste Dielektrizitätskonstante ist. Daher können die eine oder mehreren dielektrischen Zwischenschichten 106 ein Low-k-Dielektrikum-Material beinhalten, das eine Dielektrizitätskonstante niedriger als 3,9 aufweist.
  • Ein oder mehrere Zwischenmetallelemente 109 (z.B. Zwischenmetallschichten 108a-108g und Durchkontaktierungsschichten 110a-110) erstrecken sich jeweils durch die eine oder mehreren dielektrischen Zwischenschichten 106 und sind mit dem unteren Metallelement 314 gekoppelt. Das eine oder die mehreren Zwischenmetallelemente 109 beinhalten typischerweise ein Metall, wie zum Beispiel Kupfer und/oder Aluminium, können aber auch andere leitfähige Materialien beinhalten, wie zum Beispiel dotiertes Polysilizium.
  • Eine obere dielektrische Schicht 106h ist über der einen oder den mehreren dielektrischen Zwischenschichten 106 angeordnet. Die obere dielektrische Schicht 106h kann ein Low-k-Dielektrikum-Material beinhalten und kann in manchen Ausführungsformen eine Dielektrizitätskonstante kleiner 3,9 aufweisen. In anderen Ausführungsformen kann die obere dielektrische Schicht 106h ein anderes dielektrisches Material beinhalten, wie zum Beispiel Siliziumdioxid oder ein Passivierungsmaterial, beinhaltend Nitrid oder Polymermaterial.
  • Ein oberes Metallelement 108h ist in der oberen dielektrischen Schicht 106h angeordnet und ist mit dem leitfähigen Bauteilelement (z.B. erste(r) Source/Drain 302) durch das untere Metallelement 314 und durch die Zwischenmetallelemente 109 gekoppelt. In manchen Ausführungsformen ist das obere Metallelement 108h ein Metalldraht, der dicker als das untere Metallelement 314 ist und/oder dicker als die Zwischenmetallelemente 109 ist. Zum Beispiel kann das obere Metallelement 108h eine Dicke aufweisen, die von 50 nm bis 5000 nm reicht, während das untere Metallelement 314 eine Dicke aufweisen kann, die von 10 nm bis 1000 nm reicht, und ein Zwischenmetallelement 109 kann eine Dicke aufweisen, die von 100 nm bis 15000 nm reichen. Weil elektrische Signale dazu tendieren, sich durch mehr Drahtpfade zu verstreuen, wenn die Signale näher an das Substrat gelangen, wird ein gutes Gleichgewicht von Leistung und Herstellungskosten/-leichtigkeit bereitgestellt, wenn das obere Metallelement 108h dicker ist, während die Zwischenmetallelemente 109 und unteren Metallelemente 314 dünner sind.
  • Eine Substratdurchkontaktierung (TSV) 112 erstreckt sich durch das Halbleitersubstrat 102, durch die dielektrische Basisschicht 312 und durch die eine oder mehreren dielektrischen Zwischenschichten 106, um das obere Metallelement 108 zu kontaktieren. die TSV 112 ist aus leitfähigem Material hergestellt, wie zum Beispiel Kupfer und/oder Aluminium. Weil sich die TSV 112 mit dem oberen Metallelement 108h verbindet, das dicker als die Zwischenmetallelemente 109 ist, stellt die TSV 112 einen verringerten Widerstand verglichen mit anderen Ansätzen bereit. Die TSV 112 weist eine TSV-Breite, wt, auf, die von 1 Mikrometer bis 5 Mikrometer reicht, und in manchen Ausführungsformen ist die TSV-Breite, wt, ungefähr 2 Mikrometer. In manchen Ausführungsformen koppeln Durchkontaktierungen 330 das obere Metallelement 108h mit einer oberen Oberfläche der TSV 112, während in anderen Ausführungsformen das obere Metallelement 108h eine untere Oberfläche aufweist, die die obere Oberfläche der TSV 112 direkt kontaktiert, ohne dass Durchkontaktierungen 330 vorliegen.
  • Ein Schutzmantel 114 ist entlang von Außenseitenwänden der TSV 112 angeordnet und trennt die Außenwände der TSV 112 von den dielektrischen Zwischenschichten 106. Der Schutzmantel 114 hilft dabei, Schaden zu verhindern, der ansonsten an den dielektrischen Zwischenschichten 106 auftreten könnte, wenn eine Öffnung für die TSV 112 während Herstellung gebildet wird. Daher weist in der Ausführungsform von 3 der Schutzmantel 114 eine niedrigere Oberfläche auf, die eben mit einer oberen Oberfläche des unteren Metallelements 314 ist und der Schutzmantel 114 erstreckt sich nach oben zu einer oberen Oberfläche der TSV 112. Weil die dielektrische Basisschicht 312 in manchen Ausführungsformen aus Siliziumdioxid hergestellt ist, das eine größere Integrität als das Low-k-Dielektrikum-Material der dielektrischen Zwischenschichten 106 aufweist, kann der Schutzmantel 114 eine untere Oberfläche aufweisen, die an der oberen Oberfläche der dielektrischen Basisschicht 312 stoppt.
  • In 3 weist der Schutzmantel 114 eine Reihe konzentrischer Ringe auf, die den unterschiedlichen Metallschichten und Durchkontaktierungsschichten entsprechen. Daher ist ein erster Metallring 316 bei einer ersten Höhe über dem Halbleitersubstrat angeordnet. Die erste Höhe entspricht einer ersten Metallleitung z.B. 108a, der Mehrzahl von Metallleitungen. Ein zweiter Metallring 318 kontaktiert eine obere Oberfläche des ersten Metallrings 316. Der zweite Metallring 318 ist bei einer zweiten Höhe über dem Halbleitersubstrat 102 angeordnet und entspricht einer ersten Durchkontaktierung, z.B. 110a, der Interconnect-Struktur 104. Ein dritter Metallring 320 entspricht einer zweiten Metallschicht, z.B. 108b, ein vierter Metallring 322 entspricht einer zweiten Durchkontaktierungsschicht, z.B. 110b, und so weiter. Die Reihe von konzentrischen Ringen weist Mittelpunkte auf, die entlang einer gemeinsamen Achse 324 ausgerichtet sind, die senkrecht zu einer oberen Oberfläche des Substrats 102 ist und einer Mittelachse der TSV 112entspricht.
  • In manchen Ausführungsformen können die konzentrischen Ringe verschiedene ringförmige Dicken aufweisen. Zum Beispiel weist in 3 der erste Metallring 316 eine erste ringförmige Dicke auf und der zweite Metallring 318 weist eine zweite ringförmige Dicke auf, die sich von der ersten ringförmigen Dicke unterscheidet. Daher weist eine Außenseitenwand des Metallrings eine Reihe von Stegen 326 auf, die über eine Höhe des Schutzmantels 114 variieren. In manchen Ausführungsformen weist der Schutzmantel 114 eine ringförmige Dicke auf, die von 50 nm bis 14000 nm reicht.
  • Nun zu 4, ist eine andere Halbleiterstruktur 400 gemäß manchen Ausführungsformen der vorliegenden Offenbarung zu sehen. Halbleiterstruktur 400 von 4 kann manche Aspekte der Halbleiterstruktur 100 in 1-2 und/oder Halbleiterstruktur 300 von 3 aufweisen (und umgekehrt); und daher sind in manchen Ausführungsformen die zuvor in Bezug auf 1-2 und/oder 3 erklärten Merkmale auch auf die Halbleiterstruktur 400 in 4 anwendbar (und umgekehrt). Dementsprechend sind manche Bezugsnummern in 1-3 und 4 dieselben und diese entsprechenden Merkmale können für diese Figuren dieselben sein oder kleine Abweichungen voneinander aufweisen.
  • Im Gegensatz zu 3 (in der die TSV 112 eine konstante Breite, wt, von der untersten Oberfläche des Halbleitersubstrats 102 zu einer oberen Oberfläche des Schutzmantels 114 aufweist) veranschaulicht 4 ein anderes Beispiel, wo die TSV 112 eine sich über ihre Höhe ändernde Breite zeigt. Insbesondere weist die TSV in 4 einen oberen TSV-Abschnitt 112a auf, der eine erste Breite, wt1,von einer Stelle innerhalb der dielektrischen Basisschicht 312 zu einer oberen Oberfläche des Schutzmantels 114 aufweist. Die TSV von 4 weist auch einen unteren TSV-Abschnitt 112b auf, der eine zweite Breite, wt2,von einer untersten Oberfläche des Halbleitersubstrats 102 zu der Stelle innerhalb der dielektrischen Basisschicht 312 aufweist. Die erste Breite wt1 ist kleiner als die zweite Breite wt2. Zum Beispiel ist in manchen Ausführungsformen die erste Breite wt1 ungefähr 2 Mikrometer und die zweite Breite wt2 ist ungefähr 2,8 Mikrometer. In manchen Ausführungsformen weist die Basisschicht 314 eine Dicke typischerweise in dem Bereich von 100 nm 300 ~ nm auf und die TSV erstreckt sich nach oben in Basisschicht 314 um 0,01%~10% der Basisschicht 314. Weiter stellt eine während Gestaltung/Herstellung verwendete KOZ-Regel (Sperrflächenregel) einen minimalen Seitenabstand von 0,1µm∼10µm zwischen den nächsten Kanten des unteren TSV-Abschnitts 112b und des Transistors 103 bereit.
  • Zusätzlich zeigt 4, dass auch die Zwischenmetallelemente 109 und Ringe des Schutzmantels 114 jeweils eine Sperrschicht 410 und einen Metallkern 412 aufweisen können. Zum Beispiel ist der erste Metallring mit einer ersten Metallringsperre 410a und einem ersten Metallringkern 412a veranschaulicht, der zweite Metallring ist mit einer zweiten Metallringsperre 410b und einem zweiten Metallringkern 412b veranschaulicht und so weiter. Die Sperrschichten 410 trennen den Metallkern 412 von den angrenzenden dielektrischen Schichten 106 und hindern das Metall des Metallkerns daran, auszutreten oder sich zu verstreuen und Zuverlässigkeitsbedenken zu verursachen. In manchen Ausführungsformen beinhalten die Sperrschichten 410, 410a, 410b Tantal, Titan oder ein Nitrid und die Metallkerne 412, 412a, 412b beinhalten Kupfer und/oder eine Kupfer-Aluminium-Legierung.
  • 4 veranschaulicht auch Ätzstoppschichten 414, die innerhalb der Interconnect-Struktur 104 angeordnet sind. Jede Ätzstoppschicht 414 trennt angrenzende dielektrische Schichten voneinander und die Durchkontaktierungen 110a-110f erstrecken sich durch die Ätzstoppschichten 414, um vertikal angrenzende Metallleitungen miteinander zu verbinden. Die Ätzstoppschichten 414 können in manchen Ausführungsformen ein Nitrid, wie Siliziumnitrid, Siliziumoxynitrid oder Siliziumcarbonitrid beinhalten.
  • 5A veranschaulicht noch eine andere Ausführungsform, wobei Fehlausrichtung von Schichten und/oder dielektrischem Rest in manchen Fällen aufgrund von getrennten Lithografieschritten vorliegen kann. Daher können zum Beispiel Seitenwände der ersten leitfähigen Ringstruktur 316 leicht von Seitenwänden der zweiten leitfähigen Ringstruktur 318 aufgrund der Tatsache verschoben sein, dass diese Strukturen von verschiedenen Lithografieschritten gebildet werden. Weiter kann in manchen Fällen dielektrisches Restmaterial 502 zwischen der Außenseitenwand der TSV 112 und Innenwand der leitfähigen Ringstrukturen zurückbleiben. Halbleiterstruktur 500 von 5A kann manche Aspekte der Halbleiterstruktur 100 in 1-4 aufweisen (und umgekehrt); und daher sind in manchen Ausführungsformen die zuvor in Bezug auf 1-4 erklärten Merkmale auf die Halbleiterstruktur 500 in 5A anwendbar (und umgekehrt). Dementsprechend sind manche Bezugsnummern in 1-4 und 5A dieselben und diese entsprechenden Merkmale können für diese Figuren dieselben sein oder können kleine Abweichungen voneinander aufweisen.
  • 5B-5C zeigen andere Beispiele, wo mehrere untere Metallebenen gestapelt sind, um effektiv eine dickere Metallschicht bereitzustellen, an die sich die TSV anhängen kann. Daher erstreckt sich in 5B die TSV 112 zu dem ersten leitfähigen Element 108a' (z.B. Metall-1-Schicht) in der Form eines Pads, das von oben betrachtet quadratisch oder rechteckig ist und das mit anderen leitfähigen Elementen gestapelt ist, wie zweiten Durchkontaktierungen 110b', zweitem leitfähigen Element 108b' (z.B. Metall-2-Schicht) und so weiter, um Kopplung mit niedrigerem Widerstand für niedrigere RC-Werte und bessere Leistung bereitzustellen. 5C zeigt noch ein anderes Beispiel, wo die Durchkontaktierungen (z.B. 110b') von 5B breiter sind, um sich fortlaufend unter die leitfähigen Elemente/Pads zu erstrecken. In diesem Ansatz gibt es weniger Bereichsfehler, falls die TSV nicht so weit eindringt, da die oberen Interconnect-Ebenen immer noch zur Zwischenverbindung verwendet werden können. Weiter werden Vorderseiten-TSVs wie auch Rückseiten-TSVs angesehen, in den Umfang dieser Offenbarung zu fallen und die vorliegende Offenbarung ist in diesem Bezug daher weiter auszulegen.
  • Nun in Hinblick auf 6 ist ein Ablaufdiagramm zu sehen, das ein Verfahren 600 zum Bilden einer Halbleiterstruktur in Übereinstimmung mit manchen Ausführungsformen abbildet. Es wird begrüßt, dass das Verfahren 600 ein nichtbegrenzendes Beispiel ist und andere Variationen angesehen werden, in den Umfang der Offenbarung zu fallen. Während das offenbarte Verfahren 600 unten als eine Reihe von Handlungen oder Ereignissen veranschaulicht und beschrieben ist, wird begrüßt, dass die veranschaulichte Reihenfolge solcher Handlungen oder Ereignisse nicht in einem begrenzenden Sinn auszulegen ist. Zum Beispiel können manche Handlungen in verschiedener Reihenfolge und/oder gleichzeitig mit anderen Handlungen oder Ereignissen nebst den hierin veranschaulichten und/oder beschriebenen auftreten. Zusätzlich können nicht alle veranschaulichten Handlungen benötigt werden, um einen oder mehrere Aspekte oder Ausführungsformen der Beschreibung hierin zu implementieren. Weiter können eine oder mehrere der hierin abgebildeten Handlungen in einer oder mehreren getrennten Handlungen und/oder Phasen umgesetzt werden.
  • Verfahren 600 beginnt bei Handlung 602, wenn ein Halbleitersubstrat empfangen wird.
  • Bei Handlung 604 wird eine erste dielektrische Schicht über einer oberen Oberfläche des Halbleitersubstrats gebildet. In manchen Ausführungsformen enthält die erste dielektrische Schicht ein erstes dielektrisches Material, das ein Low-k-Dielektrikum-Material ist.
  • Bei Handlung 606 wird ein erstes leitfähiges Element, wie eine erste Metallleitung oder eine erste Durchkontaktierung, in der ersten dielektrischen Schicht gebildet und eine erste leitfähige Ringstruktur, deren Mittelöffnung mit der ersten dielektrischen Schicht gefüllt ist, wird gleichzeitig in der ersten dielektrischen Schicht gebildet.
  • Bei 608 wird eine zweite dielektrische Schicht über der ersten dielektrischen Schicht und über dem ersten leitfähigen Element gebildet. In manchen Ausführungsformen enthält die zweite dielektrische Schicht ein Low-k-Dielektrikum-Material und weist dieselbe Zusammensetzung wie das erste dielektrische Material auf.
  • Bei Handlung 610 wird ein zweites leitfähiges Element, wie eine zweite Metallleitung oder eine zweite Durchkontaktierung, in der zweiten dielektrischen Schicht gebildet. Eine zweite leitfähige Ringstruktur wird gleichzeitig mit dem zweiten leitfähigen Element in der zweiten dielektrischen Schicht gebildet. Das zweite leitfähige Ringelement weist eine zweite Öffnung auf, die mit dem dielektrischen Material gefüllt ist, und die zweite Öffnung und die erste Öffnung erstrecken sich beide entlang einer gemeinsamen Achse, um einen Schutzmantel zu bilden.
  • Obwohl es nicht ausdrücklich in 6 veranschaulicht ist, können auch zusätzliche dielektrische Schichten, zusätzliche leitfähige Elemente und zusätzliche leitfähige Ringe gebildet werden, um den Schutzmantel zu erweitern, wenngleich der Schutzmantel immer noch mit dielektrischem Material gefüllt ist.
  • Bei Handlung 612 wird ein Ätzen durchgeführt, um das dielektrische Material von der Mitte des Schutzmantels zu entfernen, während das dielektrische Material an Außenseitenwänden des Schutzmantels verbleibt. Daher entfernt das Ätzen erstes dielektrisches Material entlang der gemeinsamen Achse der ersten leitfähigen Ringstruktur. Das Ätzen entfernt auch das erste dielektrische Material entlang der gemeinsamen Achse der zweiten leitfähigen Ringstruktur und entfernt auch einen Abschnitt des Halbleitersubstrats von der gemeinsamen Achse, um eine TSV-Öffnung durch den Schutzmantel zu bilden.
  • Bei Handlung 614 wird die TSV-Öffnung mit einem leitfähigen Material gefüllt, um eine TSV entlang der gemeinsamen Achse, durch den Schutzmantel und in das Halbleitersubstrat zu bilden.
  • 7-16 veranschaulichen eine Reihe von Querschnittansichten, die gemeinsam einen Herstellungsprozess in Übereinstimmung mit manchen Ausführungsformen veranschaulichen.
  • 7 kann manchen Ausführungsformen von Handlung 602 von 6 entsprechen. In 7 wird ein Halbleitersubstrat 102 empfangen und eine Zahl von Halbleiterbauelementen, wie Transistoren 103, wird in oder über dem Halbleitersubstrat 102 gebildet. Eine dielektrische Basisschicht 312 wird über dem Substrat gebildet. In manchen Ausführungsformen enthält die dielektrische Basisschicht 312 Siliziumdioxid, obwohl in anderen Ausführungsformen die dielektrische Basisschicht 312 ein anderes dielektrisches Material wie zum Beispiel Siliziumnitrid oder ein Low-k-Dielektrikum enthält.
  • 8 kann manchen Ausführungsformen von Handlung 604 und Handlung 606 von 6 entsprechen. In 8 wird eine erste dielektrische Schicht 106 über dem Halbleitersubstrat 102 gebildet. Ein erstes leitfähiges Element 108a und eine erste leitfähige Ringstruktur 316 werden gleichzeitig in der ersten dielektrischen Schicht 106a gebildet. Die erste leitfähige Ringstruktur 316 weist eine erste Öffnung mit dem ersten dielektrischen Material gefüllt auf. Typischerweise werden das erste leitfähige Element 108a und die erste leitfähige Ringstruktur 316 durch einen Damaszener- oder Doppeldamaszenerprozess gebildet. In solch einem Prozess wird eine Öffnung in der ersten dielektrischen Schicht 106a durch Bilden einer Maskenschicht (z.B. Fotolack oder eine Hartmaske) und dann Umsetzen eines Ätzens mit der platzierten Maskenschicht gebildet. Die Öffnung wird dann mit Metall, wie Kupfer und/oder Aluminium, gefüllt und ein chemisch-mechanischer Planarisierungsbetrieb (CMP-Betrieb) wird durchgeführt, um das erste leitfähige Element 108a und die erste leitfähige Ringstruktur 316 bereitzustellen.
  • 9 kann manchen Ausführungsformen von Handlung 608 und Handlung 610 von 6 entsprechen. In 9 wird eine zweite dielektrische Schicht 106b über der ersten dielektrischen Schicht 106a gebildet. Die zweite dielektrische Schicht 106b enthält typischerweise dasselbe dielektrische Material wie die erste dielektrische Schicht 106a und kann zum Beispiel Low-k-Dielektrikum-Material beinhalten. Ein zweites leitfähiges Element 110a/11ob und eine zweite leitfähige Ringstruktur 318/320 werden gleichzeitig in der zweiten dielektrischen Schicht 106b gebildet. Die zweite leitfähige Ringstruktur 318/320 weist eine zweite Mittelöffnung auf, die mit dem dielektrischen Material der zweiten dielektrischen Schicht 106b gefüllt ist. Typischerweise beinhalten das zweite leitfähige Element 110a/ 108b und die zweite leitfähige Ringstruktur 318/320 Kupfer und/oder Aluminium und werden durch einen Damaszener- oder Doppeldamaszenerprozess gebildet. Um diese Strukturen zu bilden, wird eine Öffnung in der zweiten dielektrischen Schicht 106b gebildet, um eine Maskenschicht (z.B. Fotolack oder eine Hartmaske) zu bilden und dann ein Ätzen mit der platzierten Maskenschicht umzusetzen. Die Öffnung wird dann mit Metall, wie Kupfer und/oder Aluminium, gefüllt und ein chemisch-mechanischer Planarisierungsbetrieb (CMP-Betrieb) wird durchgeführt, um das zweite leitfähige Element 110a/108b und die zweite leitfähige Ringstruktur 318/320 bereitzustellen. Eine gemeinsame Achse 324 erstreckt sich durch Mitten der ersten leitfähigen Ringstruktur 316 und der zweiten leitfähigen Ringstruktur 318/320, um einen Schutzmantel 114' zu bilden.
  • In 10 werden zusätzliche dielektrische Schichten (z.B. 106c-106g) gebildet und zusätzliche leitfähige Elemente, umfassend Metallleitungen, Durchkontaktierungen und leitfähige Ringstrukturen, werden gleichzeitig in jeder zusätzlichen dielektrischen Schicht gebildet. Diese zusätzlichen leitfähigen Elemente können zum Beispiel unter Verwendung eines Damaszenerprozesses gebildet werden und können den Schutzmantel 114 verlängern. Die zusätzlichen leitfähigen Ringsegmente weisen jeweilige Mitten auf, die entlang der gemeinsamen Achse 324 ausgerichtet sind, die senkrecht zu der oberen Oberfläche des Substrats 102 ist.
  • 11 kann manchen Ausführungsformen von Handlung 612 von 6 entsprechen. In 11 wird eine Maske 1102, wie eine Fotolackmaske und/oder Hartmaske an der oberen Oberfläche der dielektrischen Oberseitenschicht 106g gebildet. Die Maske 1102 weist eine Öffnung 1104 auf, die eine obere Oberfläche des dielektrischen Materials in dem Schutzmantel 114 freilegt. Dann, mit der Maske 1102 platziert, wird ein Ätzen durchgeführt, um das dielektrische Material entlang der gemeinsamen Achse 324 des Schutzmantels 114 zu entfernen und einen Abschnitt des Halbleitersubstrats entlang der gemeinsamen Achse 324 zu entfernen, wodurch eine TSV-Öffnung 1106 durch den Schutzmantel 114 gebildet wird und sich in das Substrat 102 erstreckt. Während des Ätzens schützt der Schutzmantel 114 die dielektrischen Schichten 106a-106g vor Schaden, der ansonsten von dem Ätzen auftreten würde. Das Ätzen kann ein Trockenätzen, wie ein Plasmaätzen oder reaktives Ionenätzen, sein oder kann ein Nassätzen sein.
  • 12 kann manchen Ausführungsformen von Handlung 614 von 6 entsprechen. In 12 wird eine Metallschicht 1202 gebildet, um die TSV-Öffnung zu füllen. Die Metallschicht 1202 kann in manchen Ausführungsformen Kupfer und/oder Aluminium beinhalten. In manchen Ausführungsformen kann die Metallschicht 1202 zum Beispiel durch physikalische Gasphasenabscheidung (PVD), chemische Gasphasenabscheidung (CVD), Sputtern, Elektroplattierung oder elektrolose Plattierung gebildet werden.
  • In 13 wird chemisch-mechanische Planarisierung (CMP) durchgeführt, um einen obersten Abschnitt der Metallschicht zu entfernen, wodurch die obere Oberfläche der Metallschicht planarisiert wird und eine TSV 112 bearbeitet wird, die von dem Schutzmantel 114 eingegrenzt wird.
  • In 14 wird eine oberste dielektrische Schicht 106h über der TSV 112 gebildet. Die oberste dielektrische Schicht 106h kann zum Beispiel Siliziumdioxid, ein Low-k-Dielektrikum, eine Nitridschicht, wie Siliziumnitrid, Siliziumoxynitrid oder Siliziumcarbonitrid beinhalten.
  • In 15 wird eine obere Metallschicht 108h gebildet. In manchen Ausführungsformen können die obere Metallschicht 108h und darunterliegende Durchkontaktierungen durch einen Einzeldamaszenerprozess oder einen Doppeldamaszenerprozess gebildet werden, wobei eine Öffnung in der obersten dielektrischen Schicht 106h gebildet wird und Metall, wie Kupfer und/oder Aluminium, in der Öffnung gebildet wird, um die obere Metallschicht 108h und darunterliegende Durchkontaktierungen zu bilden.
  • In 16 wird eine Rückseite des Halbleitersubstrats zum Beispiel durch einen Schleifbetrieb und/oder einen CMP-Betrieb ausgedünnt, um eine Bodenoberfläche der TSV 112 freizulegen.
  • 17-23 veranschaulichen eine Reihe von Querschnittsansichten, die gemeinsam einen anderen Herstellungsprozess in Übereinstimmung mit manchen Ausführungsformen veranschaulichen.
  • 17 können manchen Ausführungsformen von Handlung 602 von 6 entsprechen. In 17 wird ein erstes Halbleitersubstrat 102 empfangen und eine Zahl von Halbleiterbauelementen, wie Transistoren 103, werden in oder über dem ersten Halbleitersubstrat 102 gebildet. Eine dielektrische Basisschicht 312 wird über dem ersten Halbleitersubstrat 102 gebildet. In manchen Ausführungsformen enthält die dielektrische Basisschicht 312 Siliziumdioxid, obwohl in anderen Ausführungsformen die dielektrische Basisschicht 312 ein anderes dielektrisches Material enthält, wie zum Beispiel Siliziumnitrid oder ein Low-k-Dielektrikum.
  • 18 kann manchen Ausführungsformen von Handlung 604, Handlung 606, Handlung 608 und Handlung 610 von 6 entsprechen. In 18 wird eine erste dielektrische Schicht 106a über dem ersten Halbleitersubstrat 102 gebildet. Ein erstes leitfähiges Element 108a und eine erste leitfähige Ringstruktur 316 werden gleichzeitig in der ersten dielektrischen Schicht 106a gebildet. Die erste leitfähige Ringstruktur 316 weist eine erste Mittelöffnung mit dem ersten dielektrischen Material gefüllt auf. Typischerweise werden das erste leitfähige Element 108a und die erste leitfähige Ringstruktur 316 durch einen Damaszener- oder Doppeldamaszenerprozess gebildet. In solch einem Prozess wird eine Öffnung in der ersten dielektrischen Schicht 106a gebildet, indem eine Maskenschicht (z.B. Fotolack oder eine Hartmaske) gebildet wird und dann ein Ätzen mit der platzierten Maskenschicht umgesetzt wird. Die Öffnung wird dann mit Metall, wie Kupfer und/oder Aluminium, gefüllt und ein chemisch-mechanischer Planarisierungsbetrieb (CMP-Betrieb) wird durchgeführt, um das erste leitfähige Element 108a und die erste leitfähige Ringstruktur 316 bereitzustellen.
  • Weiter wird in 18 eine zweite dielektrische Schicht 106b über der ersten dielektrischen Schicht 106a gebildet. Die zweite dielektrische Schicht 106b enthält typischerweise dasselbe dielektrische Material wie die erste dielektrische Schicht 106a und kann zum Beispiel Low-k-Dielektrikum-Material beinhalten. Ein zweites leitfähiges Element 110a/ 108b und eine zweite leitfähige Ringstruktur 318/320 werden gleichzeitig in der zweiten dielektrischen Schicht 106b gebildet. Die zweite leitfähige Ringstruktur 318/320 weist eine zweite Mittelöffnung auf und ist mit dem dielektrischen Material der zweiten dielektrischen Schicht 106b gefüllt. Typischerweise beinhalten das zweite leitfähige Element 110a/108b und die zweite leitfähige Ringstruktur 318/320 Kupfer und/oder Aluminium und werden durch einen Damaszener- oder Doppeldamaszenerprozess gebildet. Daher wird eine Öffnung in der zweiten dielektrischen Schicht 106b gebildet, indem eine Maskenschicht (z.B. Fotolack oder eine Hartmaske) gebildet wird und dann ein Ätzen mit der platzierten Maskenschicht umgesetzt wird. Die Öffnung wird dann mit Metall, wie Kupfer und/oder Aluminium, gefüllt und ein chemisch-mechanischer Planarisierungsbetrieb (CMP-Betrieb) wird durchgeführt, um das zweite leitfähige Element 110a/108b und die zweite leitfähige Ringstruktur 318/320 bereitzustellen. Eine gemeinsame Achse 324 erstreckt sich durch Mitten der ersten leitfähigen Ringstruktur 316 und zweiten leitfähigen Ringstruktur 318/320.
  • Noch weiter in 18 werden zusätzliche dielektrische Schichten gebildet und zusätzliche leitfähige Elemente, umfassend Metallleitungen, Durchkontaktierungen und leitfähige Ringstrukturen, werden gleichzeitig in jeder zusätzlichen dielektrischen Schicht gebildet. Diese zusätzlichen leitfähigen Elemente können zum Beispiel unter Verwendung eines Damaszenerprozesses gebildet werden und einen Schutzmantel 114 bilden. Die zusätzlichen leitfähigen Ringsegmente weisen jeweilige Mitten auf, die entlang der gemeinsamen Achse 324 ausgerichtet sind, die senkrecht zu der oberen Oberfläche des ersten Substrats 102 sind. Eine oberste dielektrische Schicht 106e und eine oberste Metallschicht 108e definieren eine oberste Oberfläche der ersten Halbleiterstruktur von 18.
  • In 19 wird eine zweite Halbleiterstruktur an die oberste Oberfläche der Halbleiterstruktur von 18 gebondet. Die zweite Halbleiterstruktur kann durch einen Fusionsbondingprozess, einen Hybridbondingprozess oder einen anderen Bondingprozess an die erste Halbleiterstruktur gebondet werden. Die Halbleiterstruktur weist ein zweites Halbleitersubstrat 1902 auf, wie zum Beispiel ein monokristallines Halbleitersubstrat oder ein SOI-Substrat. Halbleiterbauelemente, wie zum Beispiel ein aktives Bauelement in der Form eines FinFET, MOSFET oder BJT, und/oder ein passives Bauelement, wie eine Diode, ein Widerstand, Kondensator und/oder ein Speicherelement, sind in dem zweiten Halbleitersubstrat 1902 angeordnet. Eine zweite Interconnect-Struktur 1904 ist auf oder über dem zweiten Halbleitersubstrat 1902 angeordnet. Die zweite Interconnect-Struktur 1904 weist eine dielektrische Struktur 1906 auf, die aus einer Mehrzahl von dielektrischen Schichten und einer Mehrzahl von Metallverdrahtungsschichten 1908, Metalldurchkontaktierungsschichten 1910 und Kontakten 1912 hergestellt ist, die sich durch die dielektrische Struktur erstrecken, um die Halbleiterbauelemente in dem zweiten Halbleitersubstrat 1902 miteinander zu koppeln.
  • 20 bildet die Strukturen von 19 ab, nachdem die erste Halbleiterstruktur an die zweite Halbleiterstruktur gebondet wurde und die Strukturen vertikal gewendet wurden.
  • In 21 wird das erste Halbleitersubstrat 102 ausgedünnt. Diese Ausdünnung wird typischerweise durch einen Schleifbetrieb oder einen CMP-Betrieb bewerkstelligt, könnte aber auch durch einen anderen Ätzprozess erzielt werden, wie ein Trockenätzen oder ein Nassätzen.
  • In 22 wird eine Maske 2200, wie eine Fotolackmaske und/oder Hartmaske, an der Rückseite des ersten Halbleitersubstrats 102 gebildet. Die Maske 2200 weist eine Öffnung 2202 auf, die die Rückseite des ersten Halbleitersubstrats 102 freilegt und die über dem Schutzmantel 114 ausgerichtet ist. Dann, mit der Maske 2200 platziert, wird ein Ätzen durchgeführt, um einen Abschnitt des ersten Halbleitersubstrats 102 entlang der gemeinsamen Achse 324 zu entfernen und das dielektrische Material von innerhalb des Schutzmantels 114 zu entfernen, wodurch eine TSV-Öffnung 2204 durch den Schutzmantel 114 gebildet wird. Das Ätzen stoppt in manchen Ausführungsformen an der oberen Metallschicht 108e. Während des Ätzens schützt der Schutzmantel 114 die dielektrischen Schichten 106a-106g vor Schaden, der ansonsten vom Ätzen auftreten würde. Das Ätzen kann ein Trockenätzen sein, wie ein Plasmaätzen oder reaktives Ionenätzen, oder kann ein Nassätzen sein.
  • In 22 wird eine Metallschicht gebildet, um die TSV-Öffnung zu füllen und chemisch-mechanische Planarisierung (CMP) wird durchgeführt, um einen obersten Abschnitt der Metallschicht zu entfernen, wodurch die obere Oberfläche des Metalls planarisiert wird und eine TSV 112 bearbeitet wird, die von dem Schutzmantel 114 eingegrenzt ist. Die Metallschicht kann in manchen Ausführungsformen Kupfer und/oder Aluminium beinhalten. In manchen Ausführungsformen kann die Metallschicht zum Beispiel durch physikalische Gasphasenabscheidung (PVD), chemische Gasphasenabscheidung (CVD), Sputtern, Elektroplattierung oder elektrolose Plattierung gebildet werden.
  • Daher beziehen sich manche Ausführungsformen der vorliegenden Offenbarung auf eine Halbleiterstruktur, die ein Halbleitersubstrat und eine Interconnect-Struktur über dem Halbleitersubstrat angeordnet aufweist. Die Interconnect-Struktur weist eine dielektrische Struktur und eine Mehrzahl von Metallleitungen auf, die übereinander in der dielektrischen Struktur gestapelt sind. Eine Substratdurchkontaktierung (TSV) erstreckt sich durch das Halbleitersubstrat, um eine Metallleitung der Mehrzahl von Metallleitungen zu kontaktieren. Ein Schutzmantel wird entlang von Außenseitenwänden der TSV angeordnet und trennt die Außenseitenwände der TSV von der dielektrischen Struktur der Interconnect-Struktur, wobei die Außenseitenwand des Schutzmantels eine Reihe von Stegen aufweist, die über eine Höhe des Schutzmantels variieren.
  • Andere Ausführungsformen beziehen sich auf ein Verfahren. In dem Verfahren wird ein Halbleitersubstrat empfangen. Eine erste dielektrische Schicht, die ein erstes dielektrisches Material enthält, wird über einer oberen Oberfläche des Halbleitersubstrats gebildet. Ein erstes leitfähiges Material wird in der ersten dielektrischen Schicht gebildet und eine erste leitfähige Ringstruktur, die eine erste Öffnung mit dem ersten dielektrischen Material gefüllt aufweist, wird gleichzeitig gebildet. Eine zweite dielektrische Schicht wird dann über der ersten dielektrischen Schicht und über dem ersten leitfähigen Element gebildet. Die zweite dielektrische Schicht enthält das erste dielektrische Material. Ein zweites leitfähiges Element wird in der zweiten dielektrischen Schicht gebildet und eine zweite leitfähige Ringstruktur, die eine zweite Öffnung mit dem ersten dielektrischen Material gefüllt aufweist, wird gleichzeitig gebildet. Die erste leitfähige Ringstruktur und die zweite leitfähige Ringstruktur erstrecken sich beide entlang einer gemeinsamen Achse, um einen Schutzmantel zu bilden. Ein Ätzen wird durchgeführt, um das erste dielektrische Material entlang der gemeinsamen Achse der ersten leitfähigen Ringstruktur zu entfernen, das erste dielektrische material entlang der gemeinsamen Achse der zweiten leitfähigen Ringstruktur zu entfernen und einen Abschnitt des Halbleitersubstrats entlang der gemeinsamen Achse zu entfernen, um eine Öffnung durch den Schutzmantel und in das Halbleitersubstrat zu bilden. Die Öffnung wird mit einem leitfähigen Material gefüllt, um eine Substratdurchkontaktierung (TSV) entlang der gemeinsamen Achse und durch den Schutzmantel und in das Halbleitersubstrat zu bilden.
  • Noch andere Ausführungsformen beziehen sich auf eine Halbleiterstruktur. Die Halbleiterstruktur weist Halbleitersubstrat auf, das ein leitfähiges Bauteilelement aufweist. Eine dielektrische Basisschicht ist über dem Halbleitersubstrat angeordnet. Die dielektrische Basisschicht weist eine erste Dielektrizitätskonstante auf. Ein unteres Metallelement ist in der dielektrischen Basisschicht angeordnet und kontaktiert das leitfähige Bauteilelement. Eine dielektrische Zwischenschicht ist über der dielektrischen Basisschicht angeordnet und weist eine zweite Dielektrizitätskonstante auf, die niedriger als die erste Dielektrizitätskonstante ist. Ein Zwischenmetallelement ist in der dielektrischen Zwischenschicht angeordnet und ist mit dem unteren Metallelement gekoppelt. Eine obere dielektrische Schicht ist über der dielektrischen Zwischenschicht angeordnet und weist die zweite Dielektrizitätskonstante auf. Ein oberes Metallelement ist in der oberen dielektrischen Schicht angeordnet und ist mit dem leitfähigen Bauteilelement durch das untere Metallelement und durch das Zwischenmetallelement gekoppelt. Eine Substratdurchkontaktierung (TSV) erstreckt sich durch das Halbleitersubstrat, durch die dielektrische Basisschicht und durch die dielektrische Zwischenschicht, um das obere Metallelement zu kontaktieren. Ein Metallschutzmantel ist entlang von Außenseitenwänden der TSV angeordnet und trennt die Außenseitenwände der TSV von der dielektrischen Zwischenschicht. Der Metallschutzmantel weist eine untere Oberfläche auf, die eben mit einer oberen Oberfläche des unteren Metallelements ist und sich nach oben zu einer oberen Oberfläche der TSV erstreckt, wobei die Außenseitenwand des Metallschutzmantels eine Reihe von Stegen aufweist, die über eine Höhe des Metallschutzmantels variieren.

Claims (20)

  1. Halbleiterstruktur, aufweisend: ein Halbleitersubstrat (102); eine Interconnect-Struktur (104), die über dem Halbleitersubstrat (102) angeordnet ist, wobei die Interconnect-Struktur (104) eine dielektrische Struktur (106) und eine Mehrzahl von Metallleitungen (108a-108h) aufweist, die übereinander in der dielektrischen Struktur (106) gestapelt sind; eine Substratdurchkontaktierung TSV (112), die sich durch das Halbleitersubstrat (102) erstreckt, um eine Metallleitung der Mehrzahl von Metallleitungen (108a-108h) zu kontaktieren; und einen Schutzmantel (114), der entlang von Außenseitenwänden der TSV (112) angeordnet ist und die Außenseitenwände der TSV (112) von der dielektrischen Struktur (106) der Interconnect-Struktur (104) trennt, wobei die Außenseitenwand des Schutzmantels (114) eine Reihe von Stegen (326) aufweist, die über die Höhe des Schutzmantels (114) variieren.
  2. Halbleiterstruktur nach Anspruch 1, wobei die Mehrzahl von Metallleitungen (108a-108h) eine Metall-1-Leitung und eine Metall-3-Leitung aufweist und der Schutzmantel (114) eine Innenseitenwand aufweist, die die Außenseitenwände der TSV (112) direkt von einer ersten Höhe entsprechend der Metall-1-Leitung zu einer zweiten Höhe entsprechend der Metall-3-Leitung kontaktiert.
  3. Halbleiterstruktur nach Anspruch 1 oder 2, wobei mindestens eine untere Metallleitung (108a-108h) zwischen der Metallleitung und einer oberen Oberfläche des Halbleitersubstrats (102) angeordnet ist und die Metallleitung (108a-108h) einer oberen Oberfläche des TSV (112) entspricht, die am weitesten von der oberen Oberfläche des Halbleitersubstrats (102) entfernt ist.
  4. Halbleiterstruktur nach Anspruch 3, wobei die Metallleitung (108a-108h) eine erste Dicke aufweist und die mindestens eine untere Metallleitung (108a-108h) eine zweite Dicke aufweist, die kleiner als die erste Dicke ist.
  5. Halbleiterstruktur nach einem der vorstehenden Ansprüche, wobei der Schutzmantel (114) dasselbe Metall wie die Metallleitung (108a-108h) aufweist.
  6. Halbleiterstruktur nach einem der vorstehenden Ansprüche, wobei der Schutzmantel (114) aufweist: einen ersten Metallring (316, 320), der bei einer ersten Höhe über dem Halbleitersubstrat (102) angeordnet ist, wobei die erste Höhe einer ersten Metallleitung (108a, 108b) der Mehrzahl von Metallleitungen (108a-108h) entspricht; einen zweiten Metallring (318), der eine obere Oberfläche des ersten Metallrings (316, 320) kontaktiert, wobei der zweite Metallring (318, 322) bei einer zweiten Höhe über dem Halbleitersubstrat (102) entsprechend einer ersten Durchkontaktierung (110a, 110b) der Interconnect-Struktur (104) angeordnet ist.
  7. Halbleiterstruktur nach Anspruch 6, wobei der erste Metallring (316, 320) eine erste ringförmige Dicke aufweist und der zweite Metallring (318, 322) eine zweite ringförmige Dicke aufweist, die sich von der ersten ringförmigen Dicke unterscheidet.
  8. Halbleiterstruktur nach einem der vorstehenden Ansprüche, wobei die TSV (112) eine konstante Breite von einer untersten Oberfläche des Halbleitersubstrats (102) zu einer oberen Oberfläche des Schutzmantels (114) aufweist.
  9. Halbleiterstruktur nach Anspruch 1, wobei die TSV (112) eine erste Breite (Wt2) zwischen einer untersten Oberfläche des Halbleitersubstrats (102) und einer obersten Oberfläche des Halbleitersubstrats (102) aufweist und eine zweite Breite (Wt1), die kleiner als die erste Breite (Wt2) ist, zwischen der obersten Oberfläche des Halbleitersubstrats (102) und einer oberen Oberfläche des Schutzmantels (114) aufweist.
  10. Verfahren, umfassend: Empfangen (602) eines Halbleitersubstrats (102); Bilden (604) einer ersten dielektrischen Schicht (106a), die ein erstes dielektrisches Material aufweist, über einer oberen Oberfläche des Halbleitersubstrats (102); Bilden (606) eines ersten leitfähigen Elements (108a) in der ersten dielektrischen Schicht (106a) und gleichzeitiges Bilden einer ersten leitfähigen Ringstruktur (316), die eine erste Öffnung mit dem ersten dielektrischen Material gefüllt aufweist; Bilden (608) einer zweiten dielektrischen Schicht (106b), die das erste dielektrische Material aufweist, über der ersten dielektrischen Schicht (106b) und über dem ersten leitfähigen Element (108a); Bilden (610) eines zweiten leitfähigen Elements (108b) in der zweiten dielektrischen Schicht (106b) und gleichzeitiges Bilden einer zweiten leitfähigen Ringstruktur (318), die eine zweite Öffnung mit dem ersten dielektrischen Material gefüllt aufweist, wobei die erste leitfähige Ringstruktur (316) und die zweite leitfähige Ringstruktur (318) sich beide entlang einer gemeinsamen Achse (324) erstrecken, um einen Schutzmantel (114) zu bilden; Durchführen (612) eines Ätzens, das das erste dielektrische Material entlang der gemeinsamen Achse (324) der ersten leitfähigen Ringstruktur (316) entfernt, das einen Abschnitt des Halbleitersubstrats (102) und des ersten dielektrischen Materials entlang der gemeinsamen Achse (324) der ersten leitfähigen Ringstruktur (316) und der zweiten leitfähigen Ringstruktur (318) entfernt, um eine Öffnung in dem Halbleitersubstrat (102) und durch den Schutzmantel (114) zu bilden; und Füllen (614) der Öffnung mit einem leitfähigen Material, um eine Substratdurchkontaktierung TSV (112) entlang der gemeinsamen Achse (324) zu bilden.
  11. Verfahren nach Anspruch 10, weiter umfassend: Bilden einer dielektrischen Basisschicht (312) über dem Halbleitersubstrat (102) vor dem Bilden der ersten dielektrischen Schicht (106a); und Bilden eines Kontakts (314) durch die dielektrische Basisschicht (312), wobei der Kontakt das erste leitfähige Element (108a) mit einem leitfähigen Bauteilelement (103) in dem Halbleitersubstrat (102) koppelt.
  12. Verfahren nach Anspruch 11, wobei die dielektrische Basisschicht (312) Siliziumdioxid aufweist und das erste dielektrische Material ein Low-k-Dielektrikum-Material aufweist.
  13. Verfahren nach Anspruch 11 oder 12, wobei der Schutzmantel (114) die TSV (112) seitlich umgibt und sich fortlaufend von der oberen Oberfläche der dielektrischen Basisschicht (312) zu der oberen Oberfläche der zweiten dielektrischen Schicht (106b) erstreckt.
  14. Verfahren nach einem der Ansprüche 11 bis 13, wobei eine unterste Oberfläche des Schutzmantels (114) von der oberen Oberfläche des Halbleitersubstrats (102) durch die dielektrische Basisschicht (312) beabstandet ist.
  15. Verfahren nach einem der Ansprüche 10 bis 14, wobei das erste leitfähige Element (108a) einer Metall-1-Leitung entspricht und das zweite leitfähige Element (108b) einer Metall-2-Leitung entspricht.
  16. Verfahren nach einem der Ansprüche 10 bis 15, weiter umfassend: Bilden eines ersten leitfähigen Durchkontaktierungselements (110a), das zwischen dem ersten leitfähigen Element (108a) und dem zweiten leitfähigen Element (108b) angeordnet ist, und gleichzeitiges Bilden einer ersten leitfähigen Durchkontaktierungsringstruktur zwischen der ersten leitfähigen Ringstruktur (316) und der zweiten leitfähigen Ringstruktur (318), wobei die erste leitfähige Durchkontaktierungsringstruktur eine Mittelöffnung aufweist, die sich entlang der gemeinsamen Achse (324) erstreckt.
  17. Verfahren nach einem der Ansprüche 10 bis 16, wobei die erste leitfähige Ringstruktur (316) eine erste ringförmige Dicke aufweist und die zweite leitfähige Ringstruktur (318) eine zweite ringförmige Dicke aufweist, die größer als die erste ringförmige Dicke ist.
  18. Halbleiterstruktur, aufweisend: ein Halbleitersubstrat (102), das ein leitfähiges Bauteilelement (103) aufweist; eine dielektrische Basisschicht (312), die über dem Halbleitersubstrat (102) angeordnet ist, wobei die dielektrische Basisschicht (312) eine erste dielektrische Konstante aufweist; ein unteres Metallelement (314), das in der dielektrischen Basisschicht (312) angeordnet ist und das leitfähige Bauteilelement (103) kontaktiert; eine dielektrische Zwischenschicht (106a-106g), die über der dielektrischen Basisschicht (312) angeordnet ist, wobei die dielektrische Zwischenschicht (106a-106g) eine zweite Dielektrizitätskonstante aufweist, die niedriger als die erste Dielektrizitätskonstante ist; ein Zwischenmetallelement (108a-108g), das in der dielektrischen Zwischenschicht (106a-106h) angeordnet und mit dem unteren Metallelement (314) gekoppelt ist; eine obere dielektrische Schicht (106h), die über der dielektrischen Zwischenschicht (106a-106g) angeordnet ist, wobei die obere dielektrische Schicht (106h) die zweite Dielektrizitätskonstante aufweist; ein oberes Metallelement (108h), das in der oberen dielektrischen Schicht (106h) angeordnet und mit dem leitfähigen Bauelementelement (103) durch das untere Metallelement (314) und durch das Zwischenmetallelement (108a-108g) gekoppelt ist; eine Substratdurchkontaktierung TSV (112), die sich durch das Halbleitersubstrat (102), durch die dielektrische Basisschicht (312) und durch die dielektrische Zwischenschicht (106a-106g) erstreckt, um das obere Metallelement (108h) zu kontaktieren; und einen Metallschutzmantel (114), der entlang von Außenseitenwänden der TSV (112) angeordnet ist und die Außenseitenwände der TSV (112) von der dielektrischen Zwischenschicht (106a-106g) trennt, wobei der Metallschutzmantel (114) eine untere Oberfläche aufweist, die mit einer oberen Oberfläche des unteren Metallelements (314) eben ist und sich nach oben zu einer oberen Oberfläche der TSV (112) erstreckt, wobei die Außenseitenwand des Metallschutzmantels (114) eine Reihe von Stegen (326) aufweist, die über die Höhe des Metallschutzmantels (114) variieren.
  19. Halbleiterstruktur nach Anspruch 18, wobei der Metallschutzmantel (114) dasselbe Metall wie das Zwischenmetallelement (108a-108g) aufweist.
  20. Halbleiterstruktur nach Anspruch 18 oder 19, wobei der Metallschutzmantel (114) aufweist: einen ersten Metallring (316), der bei einer ersten Höhe über dem Halbleitersubstrat (102) angeordnet ist, wobei die erste Höhe einer ersten Metallleitung (108a) des Zwischenmetallelements (108a-108g) entspricht.
DE102021100457.8A 2021-01-08 2021-01-13 Rückseiten- oder vorderseiten-substratdurchkontaktierungslandung (tsv-landung) auf metall Active DE102021100457B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/144,717 US20220223498A1 (en) 2021-01-08 2021-01-08 Backside or frontside through substrate via (tsv) landing on metal
US17/144,717 2021-01-08

Publications (2)

Publication Number Publication Date
DE102021100457A1 DE102021100457A1 (de) 2022-07-14
DE102021100457B4 true DE102021100457B4 (de) 2023-10-12

Family

ID=81710696

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021100457.8A Active DE102021100457B4 (de) 2021-01-08 2021-01-13 Rückseiten- oder vorderseiten-substratdurchkontaktierungslandung (tsv-landung) auf metall

Country Status (5)

Country Link
US (1) US20220223498A1 (de)
KR (2) KR20220100480A (de)
CN (1) CN114759011A (de)
DE (1) DE102021100457B4 (de)
TW (1) TWI758122B (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230163084A1 (en) 2021-11-23 2023-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Guard ring structure
CN116504732B (zh) * 2023-06-26 2023-10-20 北京象帝先计算技术有限公司 封装基板及其制作方法、封装结构

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190313528A1 (en) 2018-04-06 2019-10-10 Tdk Corporation Multilayer wiring structure and its manufacturing method
US20200006128A1 (en) 2018-06-29 2020-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Protection Structures for Bonded Wafers

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8344503B2 (en) * 2008-11-25 2013-01-01 Freescale Semiconductor, Inc. 3-D circuits with integrated passive devices
US10170396B2 (en) * 2014-02-14 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Through via structure extending to metallization layer
US20150348874A1 (en) * 2014-05-29 2015-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC Interconnect Devices and Methods of Forming Same
US9455158B2 (en) * 2014-05-30 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect devices and methods of forming same
US9437578B2 (en) * 2014-06-26 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked IC control through the use of homogenous region
US9449914B2 (en) * 2014-07-17 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuits with redistribution lines
US9793243B2 (en) * 2014-08-13 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer layer(s) on a stacked structure having a via
JP5959071B2 (ja) * 2014-08-25 2016-08-02 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation 半導体構造中の貫通電極の形成方法
US9525001B2 (en) * 2014-12-30 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9397038B1 (en) * 2015-02-27 2016-07-19 Invensas Corporation Microelectronic components with features wrapping around protrusions of conductive vias protruding from through-holes passing through substrates
EP3113216B1 (de) * 2015-07-01 2021-05-19 IMEC vzw Verfahren zum befestigen und verbinden von integrierten schaltungsvorrichtungen
US10199326B1 (en) * 2017-10-05 2019-02-05 Sandisk Technologies Llc Three-dimensional memory device with driver circuitry on the backside of a substrate and method of making thereof
JP2019212729A (ja) * 2018-06-04 2019-12-12 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
DE102019130124A1 (de) * 2018-11-30 2020-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Funktionale komponente innerhalb einer verbindungsstruktur einer halbleitervorrichtung und verfahren zum bilden derselben
US11183454B2 (en) * 2018-11-30 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Functional component within interconnect structure of semiconductor device and method of forming same
WO2020113590A1 (en) * 2018-12-07 2020-06-11 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and fabricating methods thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190313528A1 (en) 2018-04-06 2019-10-10 Tdk Corporation Multilayer wiring structure and its manufacturing method
US20200006128A1 (en) 2018-06-29 2020-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Protection Structures for Bonded Wafers

Also Published As

Publication number Publication date
TW202230690A (zh) 2022-08-01
DE102021100457A1 (de) 2022-07-14
TWI758122B (zh) 2022-03-11
KR20230145955A (ko) 2023-10-18
US20220223498A1 (en) 2022-07-14
KR20220100480A (ko) 2022-07-15
CN114759011A (zh) 2022-07-15

Similar Documents

Publication Publication Date Title
DE102016100270B4 (de) Bondstrukturen und verfahren zu ihrer herstellung
DE102014118969B4 (de) Verfahren zum Herstellen einer 3DIC Dichtungsring-Struktur
DE102015114903B4 (de) Dreidimensionale integrierte Schaltungsstruktur und gebondete Struktur
DE112012001870B4 (de) Optimierter ringförmiger Kupfer-TSV
DE102014111783B4 (de) Gestapelte integrierte Schaltungen mit Umverteilungsleitungen und Verfahren zu ihrer Herstellung
DE102013103206B4 (de) Durchkontaktierungsstruktur sowie Verfahren
DE102007034306B3 (de) Halbleitersubstrat mit Durchkontaktierung und Verfahren zur Herstellung eines Halbleitersubstrates mit Durchkontaktierung
DE102010017109B4 (de) Halbleiterchip und Verfahren zur Herstellung einer Halbleiterstruktur
DE102017127227B4 (de) Verbindungsstruktur und Verfahren
DE102016116094A1 (de) Struktur für gestackte logikleistungsverbesserung
DE102020111391A1 (de) Rückseitenkondensatortechniken
DE102019127076B4 (de) Filmstruktur für bondkontaktstelle und verfahren zu ihrer herstellung
DE102021100457B4 (de) Rückseiten- oder vorderseiten-substratdurchkontaktierungslandung (tsv-landung) auf metall
DE102010037426A1 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
DE102011053356A1 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
DE102015119536A1 (de) Kondensatoren mit dielektrischen Barriereschichten und Verfahren zu deren Ausbildung
DE102019117352A1 (de) Padstruktur zur verbesserten bondfähigkeit
DE102020116884B4 (de) Übergrosse Durchkontaktierung als Substratdurchkontaktierungsstoppschicht (TSV-Stoppschicht)
DE102016100258A1 (de) Zwischenschicht für Kupferstrukturierung und Verfahren zur Bildung derselben
DE102021103804A1 (de) Passive Struktur auf SoIC
DE102020106355A1 (de) Halbleitervorrichtung, eine dicke Metallschicht und eine Erhebung enthaltend
DE112019003036B4 (de) Aluminiumkompatibler dünnfilmwiderstand (tfr) und herstellungsverfahren
DE4239457A1 (en) Semiconductor wafer structure forming peripheral structure of semiconductor device chip - has insulating layer on surface of substrate with mutually insulated openings which respectively surround device areas on substrate
DE102014116262B4 (de) Halbleitervorrichtungen und Verfahren zu ihrer Herstellung
DE102016117031B4 (de) Halbleiterstruktur und Herstellungsverfahren davon

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021768000

Ipc: H01L0023522000

R016 Response to examination communication
R018 Grant decision by examination section/examining division