DE102016100270B4 - Bondstrukturen und verfahren zu ihrer herstellung - Google Patents

Bondstrukturen und verfahren zu ihrer herstellung Download PDF

Info

Publication number
DE102016100270B4
DE102016100270B4 DE102016100270.4A DE102016100270A DE102016100270B4 DE 102016100270 B4 DE102016100270 B4 DE 102016100270B4 DE 102016100270 A DE102016100270 A DE 102016100270A DE 102016100270 B4 DE102016100270 B4 DE 102016100270B4
Authority
DE
Germany
Prior art keywords
pad
bonding pad
dielectric layer
forming
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102016100270.4A
Other languages
English (en)
Other versions
DE102016100270A1 (de
Inventor
Wen-Chih Chiou
Chen-Hua Yu
Ming-Fa Chen
Yi-Hsiu Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016100270A1 publication Critical patent/DE102016100270A1/de
Application granted granted Critical
Publication of DE102016100270B4 publication Critical patent/DE102016100270B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/89Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using at least one connector not provided for in any of the groups H01L24/81 - H01L24/86
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03464Electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/0347Manufacturing methods using a lift-off mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • H01L2224/03616Chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/037Manufacturing methods involving monitoring, e.g. feedback loop
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/0382Applying permanent coating, e.g. in-situ coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps
    • H01L2224/0391Forming a passivation layer after forming the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps
    • H01L2224/03914Methods of manufacturing bonding areas involving a specific sequence of method steps the bonding area, e.g. under bump metallisation [UBM], being used as a mask for patterning other parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05008Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05022Disposition the internal layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05023Disposition the whole internal layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05025Disposition the internal layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05026Disposition the internal layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05084Four-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2224/05187Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05568Disposition the whole external layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2224/05687Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0601Structure
    • H01L2224/0603Bonding areas having different sizes, e.g. different heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0651Function
    • H01L2224/06515Bonding areas having different functions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/0951Function
    • H01L2224/09515Bonding areas having different functions
    • H01L2224/09517Bonding areas having different functions including bonding areas providing primarily mechanical support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80905Combinations of bonding methods provided for in at least two different groups from H01L2224/808 - H01L2224/80904
    • H01L2224/80907Intermediate bonding, i.e. intermediate bonding step for temporarily bonding the semiconductor or solid-state body, followed by at least a further bonding step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80909Post-treatment of the bonding area
    • H01L2224/80948Thermal treatments, e.g. annealing, controlled cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00012Relevant to the scope of the group, the symbol of which is combined with the symbol of this group
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits

Abstract

Verfahren mit den folgenden Schritten:Ausbilden einer ersten leitenden Struktur (34A) und einer zweiten leitenden Struktur (34B);Ausbilden einer Kontaktstelle (42) über und in elektrischer Verbindung mit der ersten leitenden Struktur (34A);Ausbilden einer Passivierungsschicht (46), die Randteile der Kontaktstelle (42) bedeckt,wobei ein mittlerer Teil einer Oberseite der Kontaktstelle (42) durch eine Öffnung in der Passivierungsschicht (46) freigelegt wird;Abscheiden einer ersten dielektrischen Schicht (50), die die Kontaktstelle (42) und die Passivierungsschicht (46) bedeckt;Ausbilden einer Bondinsel (66B) über der ersten dielektrischen Schicht (50), wobei die Bondinsel (66B) mit der zweiten leitenden Struktur (34B) elektrisch verbunden wird; undAbscheiden einer zweiten dielektrischen Schicht (70) um die Bondinsel (66B) herum, wobei die Bondinsel (66B) und die zweite dielektrische Schicht (70) in einer ersten Package-Komponente sind und das Verfahren weiterhin das Bonden der Bondinsel (66B) und der zweiten dielektrischen Schicht (68, 70) an eine zweite Package-Komponente durch Hybridbonden umfasst.

Description

  • Hintergrund der Erfindung
  • Bei der Herstellung von integrierten Schaltkreisen werden Bauelemente, wie etwa Transistoren, auf der Oberfläche eines Halbleitersubstrats in einem Wafer gebildet. Dann wird eine Verbindungsstruktur über den integrierten Schaltkreiselementen gebildet. Eine Metall-Kontaktstelle wird über der Verbindungsstruktur gebildet und mit dieser elektrisch verbunden. Über der Metall-Kontaktstelle werden eine Passivierungsschicht und eine erste Polymerschicht gebildet, wobei die Metall-Kontaktstelle durch Öffnungen in der Passivierungsschicht und der ersten Polymerschicht freigelegt wird.
  • Dann wird eine Nachpassivierungsverbindung (post-passivation interconnect; PPI) zum Verbinden mit der Oberseite der Metall-Kontaktstelle gebildet, und anschließend wird eine zweite Polymerschicht über der PPI gebildet. Es wird eine Metallisierung unter dem Kontakthügel (under bump metallization; UBM) gebildet, die in eine Öffnung in der zweiten Polymerschicht hinein reicht, wobei die UBM mit der PPI elektrisch verbunden wird. Dann wird eine Lotkugel über der UBM platziert und aufgeschmolzen.
    Die DE 10 2007 057 689 A1 beschreibt ein Halbleiter-Bauelement mit einer im Wesentlichen aluminiumfreien Höckerstruktur in Bauteilgebieten und einer im Wesentlichen aluminiumfreien Drahtverbindungsstruktur in Testgebieten. Beispielsweise können Nickelkontaktelemente über kupferbasierten Kontaktbereichen gebildet werden, wobei das Nickel als eine Basis für die Drahtverbindung oder zum Ausbilden eines Höckermaterials darauf dient.
  • Die US 2012 / 0 306 070 A1 offenbart ein System und ein Verfahren zum Bereitstellen einer Nachpassivierungs- und Bump-Unterseitenmetallisierung. Eine Ausführungsform umfasst eine Nachpassivierungsschicht, die größer ist als eine darüber liegende Underbump-Metallisierung. Die Nachpassivierungsschicht, die sich über die untere Höckermetallisierung hinaus erstreckt, schirmt die darunter liegenden Schichten gegen Belastungen ab, die durch Fehlanpassungen des Wärmeausdehnungskoeffizienten der Materialien erzeugt werden.
  • Die Erfindung sieht ein Verfahren gemäß Anspruch 1, ein Verfahren gemäß Anspruch 8 und eine integrierte Schaltkreisstruktur gemäß Anspruch 16 vor. Ausgestaltungen sind in den abhängigen Ansprüchen angegeben.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Klarheit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • Die 1 bis 11 zeigen Schnittansichten von Zwischenstufen bei der Herstellung einer Bondstruktur gemäß einigen Ausführungsformen.
    • Die 12 bis 21 zeigen Schnittansichten von Zwischenstufen bei der Herstellung einer Bondstruktur gemäß einigen Ausführungsformen.
    • Die 22 bis 24 zeigen Schnittansichten von Zwischenstufen bei der Herstellung einer Bondstruktur gemäß einigen Ausführungsformen.
    • 25 zeigt einen Prozessablauf zum Ausbilden einer Bondstruktur gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung bereit. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt gebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so gebildet werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung verschiedene Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Es werden eine Bondstruktur und ein Verfahren zu ihrer Herstellung gemäß verschiedenen beispielhaften Ausführungsformen zur Verfügung gestellt. Es werden die Zwischenstufen bei der Herstellung der Bondstruktur erläutert. Es werden einige Abwandlungen einiger Ausführungsformen erörtert. In allen Ansichten und beispielhaften Ausführungsformen werden ähnliche Bezugssymbole zum Bezeichnen von ähnlichen Elementen verwendet.
  • Die 1 bis 11 zeigen Schnittansichten von Zwischenstufen bei der Herstellung einer Bondstruktur gemäß einigen Ausführungsformen. Die Schritte, die in den 1 bis 11 gezeigt sind, sind auch schematisch in dem Prozessablauf dargestellt, der in 25 gezeigt ist.
  • 1 zeigt eine Schnittansicht einer Package-Komponente 2. Bei einigen Ausführungsformen der vorliegenden Erfindung ist die Package-Komponente 2 ein Bauelement-Wafer mit aktiven Bauelementen, wie etwa Transistoren und/oder Dioden, und gegebenenfalls passiven Bauelementen, wie etwa Kondensatoren, Induktoren, Widerständen oder dergleichen. Der Bauelement-Wafer 2 kann eine Vielzahl von Chips 4 aufweisen, wobei einer der Chips 4 dargestellt ist. Bei alternativen Ausführungsformen der vorliegenden Erfindung ist die Package-Komponente 2 ein Interposer-Wafer, der aktive Bauelemente und/oder passive Bauelemente aufweisen kann oder auch nicht. Bei weiteren alternativen Ausführungsformen der vorliegenden Erfindung ist die Package-Komponente 2 ein Package-Substratstreifen, der kernlose Package-Substrate oder Package-Substrate mit Kernen umfasst. In der nachfolgenden Erörterung wird ein Bauelement-Wafer als eine beispielhafte Package-Komponente 2 erörtert. Die Grundsätze der vorliegenden Erfindung können auch auf Interposer-Wafer, Package-Substrate, Packages usw. angewendet werden.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung weist der beispielhafte Wafer 2 ein Halbleitersubstrat 20 und Strukturen auf, die auf einer Oberseite des Halbleitersubstrats 20 gebildet sind. Das Halbleitersubstrat 20 kann aus kristallinem Silicium, kristallinem Germanium, Siliciumgermanium und/oder einem III-V-Verbindungshalbleiter gebildet werden, wie etwa GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, GaInAsP und dergleichen. Das Halbleitersubstrat 20 kann auch ein massives Siliciumsubstrat oder ein Silicium-auf-Isolator(SOI)-Substrat sein. In dem Halbleitersubstrat 20 können STI-Bereiche (STI: shallow trench isolation; flache Grabenisolation) (nicht dargestellt) gebildet werden, um die aktiven Bereiche in dem Halbleitersubstrat 20 zu trennen. Durchkontaktierungen (nicht dargestellt) können so gebildet werden, dass sie in das Halbleitersubstrat 20 hinein reichen, wobei die Durchkontaktierungen dazu dienen, die Strukturen auf gegenüberliegenden Seiten des Wafers 2 elektrisch miteinander zu verbinden.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung weist der Wafer 2 integrierte Schaltkreiselemente 22 auf, die auf den Oberseiten des Halbleitersubstrats 20 gebildet sind. Beispielhafte integrierte Schaltkreiselemente 22 sind CMOS-Transistoren, -Widerstände, -Kondensatoren, -Dioden und dergleichen (CMOS: complementary metal-oxide semiconductor; komplementärer Metall-Oxid-Halbleiter). Die Einzelheiten der integrierten Schaltkreiselemente 22 werden hier nicht erläutert. Bei alternativen Ausführungsformen wird der Wafer 2 zum Ausbilden von Interposern verwendet, wobei das Substrat 20 ein Halbleitersubstrat oder ein dielektrisches Substrat sein kann.
  • Ein Zwischenschicht-Dielektrikum (ILD) 24 wird über dem Halbleitersubstrat 20 gebildet und füllt den Zwischenraum zwischen den Gate-Stapeln von Transistoren (nicht dargestellt) bei den integrierten Schaltkreiselementen 22. Bei einigen beispielhaften Ausführungsformen wird das ILD 24 aus Phosphorsilicatglas (PSG), Borsilicatglas (BSG), mit Bor dotiertem Phosphorsilicatglas (BPSG), mit Fluor dotiertem Silicatglas (FSG), Tetraethylorthosilicat (TEOS) oder dergleichen gebildet. Das ILD 24 kann mittels Schleuderbeschichtung, fließfähiger chemischer Aufdampfung (flowable chemical vapor depositon; FCVD) oder dergleichen gebildet werden. Bei einigen Ausführungsformen der vorliegenden Erfindung wird das ILD 24 unter Verwendung eines Abscheidungsverfahrens gebildet, wie etwa Plasma-unterstützte chemische Aufdampfung (plasma-enhanced chemical vapor deposition; PECVD), Tiefdruck-CVD (low-pressure chemical vapor deposition; LPCVD) oder dergleichen.
  • In dem ILD 24 werden Kontaktstifte 28 gebildet, die zum elektrischen Verbinden der integrierten Schaltkreiselemente 22 mit darüber befindlichen Metallleitungen und Durchkontaktierungen dienen. Bei einigen Ausführungsformen der vorliegenden Erfindung werden die Kontaktstifte 28 aus einem leitenden Material gebildet, das aus der Gruppe Wolfram, Aluminium, Kupfer, Titan, Tantal, Titannidrid, Tantalnitrid, Legierungen davon und/oder Mehrfachschichten davon gewählt wird. Die Herstellung der Kontaktstifte 28 kann die folgenden Schritte umfassen: Ausbilden von Kontaktöffnungen in dem ILD 24; Füllen eines oder mehrerer leitender Materialien in die Kontaktöffnungen; und Durchführen einer Planarisierung [wie etwa einer chemisch-mechanischen Polierung (CMP)], um die Oberseiten der Kontaktstifte 28 auf gleiche Höhe mit der Oberseite des ILD 24 zu bringen.
  • Über dem ILD 24 und den Kontaktstiften 28 befindet sich eine Verbindungsstruktur 30. Die Verbindungsstruktur 30 umfasst Metallleitungen 34 und Durchkontaktierungen 36, die in dielektrischen Schichten 32 gebildet sind. Die Kombination aus Metallleitungen auf einer Ebene wird nachstehend als eine Metallschicht bezeichnet. Bei einigen Ausführungsformen der vorliegenden Erfindung umfasst die Verbindungsstruktur 30 eine Vielzahl von Metallschichten, die durch die Durchkontaktierungen 36 miteinander verbunden sind. Die Metallleitungen 34 und die Durchkontaktierungen 36 können aus Kupfer oder Kupferlegierungen gebildet werden, aber sie können auch aus anderen Metallen gebildet werden. Bei einigen Ausführungsformen der vorliegenden Erfindung werden die dielektrischen Schichten 32 aus dielektrischen Low-k-Materialien gebildet. Die Dielektrizitätskonstanten (k-Werte) der dielektrischen Low-k-Materialien können zum Beispiel niedriger als etwa 3,0 oder niedriger als etwa 2,5 sein.
  • Die dielektrischen Schichten 32 werden nachstehend alternativ als IMD-Schicht (IMD: inter-metal dielectric; Zwischenmetall-Dielektrikum) 32 bezeichnet. Bei einigen Ausführungsformen der vorliegenden Erfindung werden zumindest die unteren der dielektrischen Schichten 32 aus einem dielektrischen Low-k-Material gebildet, das eine Dielektrizitätskonstante (k-Wert) hat, die niedriger als etwa 3,0, etwa 2,5 oder noch niedriger ist. Die dielektrischen Schichten 32 können Black Diamond (ein eingetragenes Warenzeichen von Applied Materials), ein kohlenstoffhaltiges dielektrisches Low-k-Material, Wasserstoff-Silsesquioxan (HSQ), Methyl-Silsesquioxan (MSQ) oder dergleichen umfassen. Die dielektrischen Schichten 32 können ebenfalls einen niedrigen k-Wert haben, der niedriger als etwa 3,0, 2,5 oder 2,0 sein kann. Bei einigen Ausführungsformen der vorliegenden Erfindung umfasst die Herstellung der dielektrischen Schichten 32 das Abscheiden eines porogenhaltigen dielektrischen Materials und das anschließende Durchführen eines Härtungsprozesses, um das Porogen auszutreiben, sodass die verbleibenden dielektrischen Schichten 32 porös sind.
  • Die Metallleitungen 34 und die Durchkontaktierungen 36 werden in den dielektrischen Schichten 32 gebildet. Der Herstellungsprozess kann Single- und Dual-Damascene-Prozesse umfassen. Bei einem beispielhaften Single-Damascene-Prozess wird zunächst ein Graben in einer der dielektrischen Schichten 32 gebildet, und dann wird der Graben mit einem leitenden Material gefüllt. Anschließend wird eine Planarisierung, wie etwa CMP, durchgeführt, um überschüssige Teile des leitenden Materials zu entfernen, die höher als die Oberseite der IMD-Schicht sind, sodass eine Metallleitung in dem Graben zurückbleibt. Bei einem Dual-Damascene-Prozess werden sowohl ein Graben als auch eine Durchkontaktierungsöffnung in einer IMD-Schicht gebildet, wobei sich die Durchkontaktierungsöffnung unter dem Graben befindet und mit diesem verbunden ist. Dann wird das leitende Material in den Graben und die Durchkontaktierungsöffnung gefüllt, um eine Metallleitung bzw. eine Durchkontaktierung herzustellen. Das leitende Material kann eine Diffusionssperrschicht und ein kupferhaltiges metallisches Material über der Diffusionssperrschicht umfassen. Die Diffusionssperrschicht kann Titan, Titannidrid, Tantal, Tantalnitrid oder dergleichen umfassen.
  • Die Metallleitungen 34 umfassen obere leitende (Metall-)Strukturen, wie etwa Metallleitungen oder Metall-Kontaktstellen 34A und 34B in einer oberen Metallisierungsschicht, die sich in einer der dielektrischen Schichten 32 befindet (die als dielektrische Schicht 32A gekennzeichnet ist). Es ist wohlverstanden, dass auch wenn die Metallstrukturen 34A und 34B als einzelne Strukturen dargestellt sind, die durch die dielektrische Schicht 32A getrennt sind, sie auch Teile einer zusammenhängenden metallischen Struktur sein können, die durch ein gestricheltes Rechteck 35 dargestellt ist und einen leitenden Teil verkörpert, der die Metallstrukturen 34A und 34B miteinander verbindet. Bei einigen Ausführungsformen wird die dielektrische Schicht 32A aus einem dielektrischen Low-k-Material gebildet, das dem Material der unteren der dielektrischen Schichten 32 ähnlich ist. Bei weiteren Ausführungsformen wird die dielektrische Schicht 32A aus einem dielektrischen Nicht-Low-k-Material gebildet, das Siliciumnitrid, undotiertes Silicatglas (USG), Siliciumoxid oder dergleichen umfassen kann. Die dielektrische Schicht 32A kann auch eine Mehrschichtstruktur haben, zum Beispiel zwei USG-Schichten mit einer Siliciumnitridschicht dazwischen. Die oberen Metallstrukturen 34A und 34B können ebenfalls aus Kupfer oder einer Kupferlegierung gebildet werden und können eine Dual- oder Single-Damascene-Struktur haben.
  • Eine Metall-Kontaktstelle 42 wird über und in Kontakt mit der Metallstruktur 34A gebildet. Die Metall-Kontaktstelle 42 ist bei einigen beispielhaften Ausführungsformen durch leitende Strukturen, wie etwa die Metallleitungen 34 und die Durchkontaktierungen 36, mit den integrierten Schaltkreiselementen 22 elektrisch verbunden, wobei Strichlinien 45 die elektrischen Verbindungen darstellen. Die Metall-Kontaktstelle 42 kann eine Aluminium-Kontaktstelle oder eine Aluminium-Kupfer-Kontaktstelle sein, aber es können auch andere metallische Materialien verwendet werden. Bei einigen Ausführungsformen der vorliegenden Erfindung hat die Metall-Kontaktstelle 42 einen Aluminiumgehalt, der größer als etwa 95 Prozent ist.
  • Über der Verbindungsstruktur 30 kann eine Passivierungsschicht 40 (die gelegentlich auch als Passivierung-1 bezeichnet wird) gebildet werden. Über der Passivierungsschicht 40 wird eine Passivierungsschicht 46 (die gelegentlich auch als Passivierung-2 bezeichnet wird) gebildet. Einige Teile der Passivierungsschicht 46 können die Randteile der Metall-Kontaktstelle 42 bedecken, und ein mittlerer Teil einer Oberseite der der Metall-Kontaktstelle 42 wird durch eine Öffnung in der Passivierungsschicht 46 freigelegt. Die Passivierungsschichten 40 und 46 können jeweils eine einzelne Schicht oder eine Verbundschicht sein und sie können aus einem nicht-porösen Material gebildet werden. Bei einigen Ausführungsformen der vorliegenden Erfindung können die Passivierungsschichten 40 und/oder 46 jeweils eine Verbundschicht sein, die eine Siliciumoxidschicht und eine Siliciumnitridschicht auf der Siliciumoxidschicht umfasst.
  • 1 zeigt einen Sondenprüfschritt, der Teil einer Wafer-Abnahmeprüfung oder einer Schaltungsprüfung sein kann. Der entsprechende Schritt ist als Schritt 202 in dem Prozessablauf angegeben, der in 25 gezeigt ist. Die Sondenprüfung wird durchgeführt, um die Funktionsfähigkeit der integrierten Schaltkreiselemente 22 und der jeweiligen elektrischen Verbindungen zu überprüfen. Die Sondenprüfung kann durch In-Kontakt-Bringen einer Sondennadel 48 mit der Metall-Kontaktstelle 42 durchgeführt werden. Die Sondennadel 48 kann zum Beispiel Teil einer Sondenkarte mit einer Vielzahl von Sondennadeln sein, die mit einer Prüfvorrichtung (nicht dargestellt) verbunden ist. Die Metall-Kontaktstelle 42 ist so konfiguriert, dass sie groß genug für die Sondenprüfung ist. Darüber hinaus kann die Metall-Kontaktstelle 42 eine Aluminium-Kontaktstelle sein, die weicher als Kupfer ist und somit besser als eine Kupfer-Kontaktstelle für die Sondenprüfung geeignet ist.
  • In 2 wird eine dielektrische Schicht 50 abgeschieden, die zum Beispiel mit einem CMP-Prozess planarisiert werden kann. Der entsprechende Schritt ist als Schritt 204 in dem Prozessablauf angegeben, der in 25 gezeigt ist. Die Oberseite der dielektrischen Schicht 50 ist höher als die Oberseite der Metall-Kontaktstelle 42 und kann höher als die oberen Enden der Passivierungsschicht 46 sein.
  • Dann wird, wie in 3 gezeigt ist, eine dielektrische Ätzstoppschicht 52 gebildet, und daran schließt sich die Herstellung einer Durchkontaktierung 54 an. Der entsprechende Schritt ist als Schritt 206 in dem Prozessablauf angegeben, der in 25 gezeigt ist. Die dielektrische Ätzstoppschicht 52 kann aus einem Nitrid, wie etwa Siliciumnitrid, gebildet werden. Die Herstellung der Durchkontaktierung 54 umfasst das Ätzen der dielektrischen Ätzstoppschicht 52 und der dielektrischen Schicht 50, um eine Durchkontaktierungsöffnung herzustellen, und das anschließende Füllen der Durchkontaktierungsöffnung mit leitenden Materialien, um die Durchkontaktierung 54 herzustellen. Bei einigen Ausführungsformen der vorliegenden Erfindung umfasst das Füllen der Durchkontaktierungsöffnung die Schutzabscheidung einer leitenden Sperrschicht 56, das Ausbilden einer Seed-Schicht, wie etwa einer Kupferschicht, und das anschließende Durchführen eines Plattierungsprozesses, wie etwa einer elektrischen oder stromlosen Plattierung, um ein Metall 58, wie etwa Kupfer oder eine Kupferlegierung, zu plattieren. Die leitende Sperrschicht 56 kann Titan, Titannidrid, Tantal, Tantalnitrid oder dergleichen umfassen. Anschließend wird eine Planarisierung, wie etwa CMP, durchgeführt, um überschüssige Teile des leitenden Materials, der Seed-Schicht und des plattierten Metalls 58 zu entfernen.
  • In 4 werden eine leitende Sperrschicht 60 und eine Seed-Schicht 62 zum Beispiel durch physikalische Aufdampfung (PVD) gebildet. Der entsprechende Schritt ist als Schritt 208 in dem Prozessablauf angegeben, der in 25 gezeigt ist. Die leitende Sperrschicht 60 kann aus Titan, Titannidrid, Tantal oder Tantalnitrid gebildet werden. Die Seed-Schicht 62 kann Kupfer umfassen. Wie in 5 gezeigt ist, wird dann ein Fotoresist 64 gebildet und so strukturiert, dass einige Teile der Seed-Schicht 62 freigelegt werden. In einem nachfolgenden Schritt, der in 6 gezeigt ist, wird ein Plattierungsprozess durchgeführt, um Bondinseln 66A und 66B aus der Seed-Schicht 62 herzustellen. Der entsprechende Schritt ist als Schritt 210 in dem Prozessablauf angegeben, der in 25 gezeigt ist. Die Plattierung kann eine elektrochemische Plattierung (ECP) oder eine stromlose Plattierung oder dergleichen sein.
  • Wie in 4 gezeigt ist, hat die Seed-Schicht 62 zwar horizontale Teile, aber keine vertikalen Teile. Dadurch wachsen die Bondinseln 66A und 66B nach oben und nicht horizontal. Ein solches Wachstum ist für eine (111)-Flächenorientierung der Bondinseln 66A und 66B (wie etwa Kupferkörner) günstig. Versuchsergebnisse zeigen, dass bei einigen untersuchten Bondinseln 8936 Kupferkörner eine (111)-Flächenorientierung hatten und 2715 Kupferkörner eine (200)-Flächenorientierung hatten, was bedeutet, dass die Anzahl der Kupferkörner mit der (111)-Flächenorientierung 3,3-mal so groß wie die Anzahl der Kupferkörner mit der (200)-Flächenorientierung war. Da das Kupfer mit der (111)-Flächenorientierung leichter diffundiert als Kupfer mit anderen Flächenorientierungen, können die Bondinseln 66A und 66B vorteilhafterweise leicht Metall-Metall-Direktbindungen in nachfolgenden Schritten bilden.
  • Dann wird das Fotoresist 64 entfernt, sodass die Struktur von 7 entsteht. Die Teile der Seed-Schicht 62 und der leitenden Sperrschicht 60, die von dem Fotoresist 64 bedeckt sind, werden freigelegt. Dann werden die freigelegten Teile der Seed-Schicht 62 und der leitenden Sperrschicht 60 in einem Ätzschritt entfernt, und die resultierende Struktur ist in 8 gezeigt. Während des Ätzens wird die dielektrische Ätzstoppschicht 52 zum Beenden des Ätzens der leitenden Sperrschicht 60 verwendet. In der gesamten Beschreibung werden die verbleibenden Teile der Seed-Schicht 62 und der leitenden Sperrschicht 60, die sich direkt unter den Bondinseln 66A und 66B befinden, auch als Teile der Bondinseln 66A und 66B angesehen. Die Bondinsel 66A kann bei einigen Ausführungsformen die Metall-Kontaktstelle 42 direkt überlappen.
  • 9 zeigt die Abscheidung einer dielektrischen Sperrschicht 68, die auf der Oberseite und den Seitenwänden der Bondinseln 66A und 66B sowie auf der dielektrischen Ätzstoppschicht 52 abgeschieden wird. Die dielektrische Sperrschicht 68 wird als eine konforme Schicht abgeschieden und kann durch Atomlagenabscheidung (ALD) gebildet werden. Die Seitenwandteile der dielektrischen Sperrschicht 68 umschließen außerdem die Bondinseln 66A und 66B jeweils vollständig. Bei einigen Ausführungsformen der vorliegenden Erfindung wird die dielektrische Sperrschicht 68 aus Silicium-Kohlenstoff-Nitrid (SiCN), Siliciumnitrid oder dergleichen gebildet. Dann wird ein dielektrisches Material 70 abgeschieden. Der entsprechende Schritt ist als Schritt 212 in dem Prozessablauf angegeben, der in 25 gezeigt ist. Das dielektrische Material 70 wird zum Schmelzbonden (das auch als Oxid-Oxid-Bonden bezeichnet wird), verwendet. Bei einigen Ausführungsformen wird das dielektrische Material 70 aus einem siliciumhaltigen dielektrischen Material, wie etwa Siliciumoxid oder Siliciumnitrid, gebildet.
  • In einem nachfolgenden Schritt, der in 10 gezeigt ist, werden die oberen Teile des dielektrischen Materials 70 in einem Planarisierungsschritt, wie etwa einem CMP-Schritt, entfernt. Der entsprechende Schritt ist als Schritt 214 in dem Prozessablauf angegeben, der in 25 gezeigt ist. Die Teile des dielektrischen Materials 70 und der dielektrischen Sperrschicht 68, die höher als die Oberseiten der Bondinseln 66A und 66B sind, werden ebenfalls entfernt, sodass die Bondinseln 66A und 66B freigelegt werden. Die Oberseiten der resultierenden Bondinseln 66A und 66B sind miteinander und mit der Oberseite der dielektrischen Schicht 70 koplanar.
  • 11 zeigt das Bonden einer Package-Komponente 72 an die Package-Komponente 2. Der entsprechende Schritt ist als Schritt 216 in dem Prozessablauf angegeben, der in 25 gezeigt ist. Bei einigen Ausführungsformen der vorliegenden Erfindung ist die Package-Komponente 72 ein Bauelement-Chip, ein Interposer-Chip, ein Package-Substrat oder ein Package. Die Package-Komponente 72 weist Metall-Kontaktstellen 74A und 74B auf, die durch Metall-Metall-Direktbonden an die Bondinseln 66A bzw. 66B gebondet sind. Außerdem weist die Package-Komponente 72 eine dielektrische Schicht 76 auf, die eine Oberfläche hat, die mit den Oberflächen der Metall-Kontaktstellen 74A und 74B koplanar ist. Die dielektrische Schicht 76 kann ebenfalls eine siliciumhaltige dielektrische Schicht sein, wie etwa eine Siliciumoxidschicht. Die dielektrische Schicht 76 wird durch Schmelzbonden an die dielektrische Schicht 70 gebondet. Somit ist die resultierende Bondstelle eine Hybrid-Bondstelle, die die Schmelzbondstelle und die Metall-Metall-Direktbondstelle umfasst. Bei einigen Ausführungsformen umfasst das Bonden ein Vorbonden, um die Package-Komponenten 2 und 72 aneinander zu bonden, und ein Glühen, um die Metalle in den Bondinseln 66A und 74A und die Metalle in den Bondinsel 66B und 74B ineinander zu diffundieren. Da die Bondinseln 66A und 66B eine (111)-Flächenorientierung haben und das Kupfer mit der (111)-Flächenorientierung leichter als Kupfer mit anderen Flächenorientierungen diffundiert, können die resultierenden Bondstellen mit einer niedrigeren Glühtemperatur und einer kürzeren Glühzeit realisiert werden. Die resultierenden Bondstellen sind außerdem stabiler.
  • In der Struktur, die in 11 gezeigt ist, hat die Bondinsel 66B elektrische Funktionen und kann mit den integrierten Schaltkreiselementen 22 elektrisch verbunden werden. Die Bondinsel 66A hat hingegen keine elektrische Funktion und ist somit eine Blind-Bondinsel. Die Blind-Bondinsel 66A wird in einem Bereich mit einer geringen Dichte von Bondinseln platziert und hat die Funktion, den Strukturlade-Effekt zu verringern. Dadurch wird die Koplanarität der Oberseiten der Bondinseln 66A und 66B mit der dielektrischen Schicht 70 verbessert. Darüber hinaus haben die Package-Komponenten 2 und 72 eine bessere Bondfestigkeit, wenn die Blind-Bondinsel 66A an die Blind-Bondinsel 74A gebondet ist. Bei einigen Ausführungsformen ist die Bondinsel 66A elektrisch floatend. Darüber hinaus ist die Bondinsel 66A vollständig von der dielektrischen Sperrschicht 68 umschlossen, und die Unterseite der Bondinsel 66A ist außerdem durch die dielektrische Ätzstoppschicht 52 und die dielektrische Schicht 50 von allen anderen leitenden Strukturen getrennt. Daher können die Seitenwände und die Unterseite der Bondinsel 66A nicht in Kontakt mit einem leitenden Material, wie etwa Metall, kommen.
  • Darüber hinaus wird die Metall-Kontaktstelle 42 zwar zur Sondenprüfung, aber nicht zum Verbinden der Metall-Kontaktstelle 34A mit einer darüber befindlichen leitenden Struktur verwendet. Die gesamte Oberseite der Metall-Kontaktstelle 42 kann in Kontakt mit dielektrischen Materialien sein, und keine leitenden Strukturen sind über und in Kontakt mit der Oberseite der Metall-Kontaktstelle 42. Darüber hinaus kann die Metall-Kontaktstelle 42, wenn der Chip 4 in Betrieb (eingeschaltet) ist, eine Spannung (entweder Erdspannung oder eine von Null Volt verschiedene Spannung) haben, aber sie wird möglicherweise nicht von Strom durchflossen. Bei einigen Ausführungsformen werden für das Bonden kleine Bondinseln 66A und 66B (statt der großen Metall-Kontaktstelle 42) verwendet, und daher ist der Abstand der Bondinseln klein. Die Ausführungsformen der vorliegenden Erfindung sind somit für E/A-Anwendungen mit kleinen Abständen geeignet.
  • Die 12 bis 21 und die 22 bis 24 zeigen Schnittansichten von Zwischenstufen bei der Herstellung von Bondstrukturen gemäß einigen Ausführungsformen der vorliegenden Erfindung. Wenn nicht anders angegeben, sind die Materialien und Herstellungsverfahren für die Komponenten in diesen Ausführungsformen im Wesentlichen die Gleichen wie für ähnliche Komponenten, die mit ähnlichen Bezugssymbolen in den Ausführungsformen bezeichnet sind, die in den 1 bis 11 gezeigt sind. Die Einzelheiten zu den Materialien und Herstellungsverfahren für die Komponenten, die in den 12 bis 24 gezeigt sind, sind somit in der Erörterung der Ausführungsformen zu finden, die in den 1 bis 11 gezeigt sind.
  • Die 12 bis 21 zeigen Schnittansichten von Zwischenstufen gemäß einigen Ausführungsformen, in denen Blind-Metall-Kontaktstellen und elektrische Metall-Kontaktstellen in getrennten Schritten gebildet werden. Die ersten Schritte dieser Ausführungsformen sind in 12 gezeigt und sind im Wesentlichen die Gleichen wie die, die in 1 gezeigt sind. In einem nachfolgenden Schritt wird die dielektrische Schicht 50 gebildet, wie in 13 gezeigt ist, und daran schließt sich eine Planarisierung an, um die Oberseite der dielektrischen Schicht 50 zu ebnen.
  • 14 zeigt die Herstellung der dielektrischen Ätzstoppschicht 52 und der dielektrischen Schicht 70. Die Materialien können die Gleichen wie bei den Ausführungsformen sein, die in 11 gezeigt sind. Dann wird in 15 ein Fotoresist 61 aufgebracht und strukturiert, das zum Ätzen der dielektrischen Schicht 70 für die Herstellung einer Öffnung 78 verwendet wird. Bei einigen Ausführungsformen der vorliegenden Erfindung endet die Ätzung an der dielektrischen Schicht 50, wie in 15 gezeigt ist, wobei die Ätzstoppschicht 52 durchgeätzt wird. Bei alternativen Ausführungsformen endet die Ätzung an der Oberseite der Ätzstoppschicht 52 und die Ätzstoppschicht 52 wird zumindest nicht durchgeätzt. Dann wird das Fotoresist 61 entfernt.
  • In 16 wird eine leitende Sperrschicht 80 abgeschieden, die in die Öffnung 78 (15) hinein reicht. Ein Teil der leitenden Sperrschicht 80 ist in Kontakt mit der Oberseite der dielektrischen Ätzstoppschicht 52 oder der dielektrischen Schicht 50. Die leitende Sperrschicht 80 kann bei einigen Ausführungsformen aus Titan, Tantal, Titannidrid oder Tantalnitrid gebildet werden. Dann wird ein leitendes Material 82 (wie etwa Kupfer oder eine Kupferlegierung) abgeschieden. Anschließend wird eine Planarisierung durchgeführt, um überschüssige Teile der leitenden Sperrschicht 80 und des leitenden Materials 82 zu entfernen, sodass die Bondinsel 66A in der dielektrischen Schicht 70 zurückbleibt, wie in 17 gezeigt ist. Die resultierende Bondinsel 66A umfasst die leitende Sperrschicht 80, die in Kontakt mit den Seitenwänden und der Unterseite des leitenden Materials 82 ist, und ein kupferhaltiges Material 82.
  • In 18 wird ein Fotoresist 83 aufgebracht und strukturiert, das zum Ätzen der dielektrischen Schichten 70, 52, 50, 46 und 40 für die Herstellung einer Öffnung 84 verwendet wird. Die Ätzung endet auf der Metallstruktur 34B. Dann wird das Fotoresist 83 entfernt.
  • In 19 wird eine leitende Sperrschicht 86 abgeschieden, die in die Öffnung 84 (18) hinein reicht. Ein Teil der leitenden Sperrschicht 86 verläuft über die Oberseite der dielektrischen Schicht 70. Die leitende Sperrschicht 86 kann bei einigen Ausführungsformen aus Titan, Tantal, Titannidrid oder Tantalnitrid gebildet werden. Dann wird ein kupferhaltiges Material 88 abgeschieden. Anschließend wird eine Planarisierung durchgeführt, um überschüssige Teile der leitenden Sperrschicht 86 und des leitenden Materials 88 zu entfernen, sodass die Bondinsel 66B in der dielektrischen Schicht 70 zurückbleibt, wie in 20 gezeigt ist. 21 zeigt das Bonden der Package-Komponente 2 an die Package-Komponente 72.
  • Bei den Ausführungsformen, die in 21 gezeigt sind, hat die Bondinsel 66B gerade (und im Wesentlichen vertikale) Ränder, die durchgehend von der Oberseite der dielektrischen Schicht 70 bis zu der Metallstruktur 34B verlaufen. Daher gibt es keine schmale Durchkontaktierung, die die Bondinsel 66B mit der Metallstruktur 34B verbindet. Da die dielektrische Schicht 50 dick ist (bei einigen Ausführungsformen kann sie eine Dicke von 2 µm bis 3 µm haben), wenn schmale Durchkontaktierungen gebildet werden, ist der Widerstand der Durchkontaktierungen sehr hoch. Daher wird bei einigen Ausführungsformen der vorliegenden Erfindung die nachteilige Zunahme des Widerstands vermieden, die von schmalen Durchkontaktierungen verursacht wird.
  • Die 22 bis 24 zeigen Schnittansichten von Zwischenstufen gemäß einigen Ausführungsformen. Diese Ausführungsformen sind den Ausführungsformen in den 12 bis 21 ähnlich, mit der Ausnahme, dass die Spaltfüllung zur Herstellung der Bondinsel 66A und die Spaltfüllung zur Herstellung der Bondinsel 66B gleichzeitig durchgeführt werden. Die ersten Prozessschritte sind die Gleichen wie die, die in den 12 bis 15 gezeigt sind. Dann wird das Fotoresist 83 gebildet und strukturiert, wie in 22 gezeigt ist. Das Fotoresist 83 füllt die Öffnung 78. Das Fotoresist 83 wird dann als eine Ätzmaske zum Ätzen der dielektrischen Schichten verwendet, um die Öffnung 84 herzustellen. Nachdem die Metallstruktur 34B freigelegt worden ist, wird das Fotoresist 83 entfernt.
  • Dann werden, wie in 23 gezeigt ist, die leitende Sperrschicht 80 und das kupferhaltige Material 82 zum Beispiel durch Abscheidung und/oder Plattierung gebildet. Anschließend wird eine Planarisierung durchgeführt, um überschüssige Teile der leitenden Sperrschicht 80 und des kupferhaltigen Materials 82 zu entfernen, sodass die Bondinseln 66A und 66B zurückbleiben, wie in 24 gezeigt ist. Die Bondinseln 66A und 66B umfassen jeweils eine leitende Sperrschicht und ein Metall, wobei sich die leitende Sperrschicht auf der Seitenwand und auf der Unterseite des Metalls 82 befindet. 24 zeigt außerdem das Bonden der Package-Komponente 2 an die Package-Komponente 72.
  • Die Ausführungsformen der vorliegenden Erfindung haben mehrere Vorzüge. Die Ausführungsformen der vorliegenden Erfindung ermöglichen ein Bonden mit kleinen Abständen. Bei einigen Ausführungsformen der vorliegenden Erfindung kann die bevorzugte Kupfer-Orientierung (111) realisiert werden, und das nachfolgende Hybridbonden ist einfacher. Die Bondqualität wird ebenfalls verbessert. Darüber hinaus wird bei einigen Ausführungsformen der Widerstand der resultierenden Struktur dadurch verringert, dass die Bondinseln die dielektrische Schicht durchdringen können, in der Aluminium-Kontaktstellen gebildet werden.

Claims (18)

  1. Verfahren mit den folgenden Schritten: Ausbilden einer ersten leitenden Struktur (34A) und einer zweiten leitenden Struktur (34B); Ausbilden einer Kontaktstelle (42) über und in elektrischer Verbindung mit der ersten leitenden Struktur (34A); Ausbilden einer Passivierungsschicht (46), die Randteile der Kontaktstelle (42) bedeckt, wobei ein mittlerer Teil einer Oberseite der Kontaktstelle (42) durch eine Öffnung in der Passivierungsschicht (46) freigelegt wird; Abscheiden einer ersten dielektrischen Schicht (50), die die Kontaktstelle (42) und die Passivierungsschicht (46) bedeckt; Ausbilden einer Bondinsel (66B) über der ersten dielektrischen Schicht (50), wobei die Bondinsel (66B) mit der zweiten leitenden Struktur (34B) elektrisch verbunden wird; und Abscheiden einer zweiten dielektrischen Schicht (70) um die Bondinsel (66B) herum, wobei die Bondinsel (66B) und die zweite dielektrische Schicht (70) in einer ersten Package-Komponente sind und das Verfahren weiterhin das Bonden der Bondinsel (66B) und der zweiten dielektrischen Schicht (68, 70) an eine zweite Package-Komponente durch Hybridbonden umfasst.
  2. Verfahren nach Anspruch 1, das weiterhin das Durchführen einer Planarisierung an der zweiten dielektrischen Schicht (68, 70) und der Bondinsel (66B) umfasst, wobei nach dem Ausbilden der Bondinsel (66B) die Oberseite der Kontaktstelle (42) nicht mit leitenden Strukturen verbunden wird.
  3. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Bondinsel (66B) durch Plattierung gebildet wird und das Ausbilden der zweiten dielektrischen Schicht (68, 70) die folgenden Schritte aufweist: Abscheiden einer dielektrischen Sperrschicht (68), die in Kontakt mit einer Oberseite und Seitenwänden der Bondinsel (66B) kommt; Abscheiden einer weiteren dielektrischen Schicht (70) über der dielektrischen Sperrschicht (68) und Durchführen einer Planarisierung, um überschüssige Teile der dielektrischen Sperrschicht (68) und der weiteren dielektrischen Schicht (70) zu entfernen, die höher als die Bondinsel (66B) sind.
  4. Verfahren nach einem der vorhergehenden Ansprüche, das weiterhin das Ausbilden einer Durchkontaktierung (54) in der ersten dielektrischen Schicht (50) aufweist, wobei die Durchkontaktierung (54) die zweite leitende Struktur (34B) mit der Bondinsel (66B) physisch verbindet.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Ausbilden der Bondinsel (66B) die folgenden Schritte aufweist: Ätzen der zweiten dielektrischen Schicht (68, 70), der ersten dielektrischen Schicht (50) und der Passivierungsschicht (46), um eine erste Durchkontaktierungsöffnung herzustellen, wobei die erste Durchkontaktierungsöffnung einen im Wesentlichen geraden Rand hat, der von der Oberseite der zweiten dielektrischen Schicht (68, 70) bis zu der zweiten leitenden Struktur (34B) verläuft; und Füllen der ersten Durchkontaktierungsöffnung, um die Bondinsel (66B) herzustellen, wobei die Bondinsel (66B) von der Oberseite der zweiten dielektrischen Schicht (68, 70) bis zu einer Oberseite der zweiten leitenden Struktur (34B) verläuft.
  6. Verfahren nach Anspruch 5, das weiterhin die folgenden Schritte aufweist: Ätzen der zweiten dielektrischen Schicht (68, 70), um eine zweite Durchkontaktierungsöffnung herzustellen; und Füllen der zweiten Durchkontaktierungsöffnung, um eine weitere Bondinsel (66A) herzustellen, wobei die gesamte weitere Bondinsel (66A) höher liegt als eine Oberseite der ersten dielektrischen Schicht (50), wobei das Füllen der ersten Durchkontaktierungsöffnung und das Füllen der zweiten Durchkontaktierungsöffnung in verschiedenen Prozessschritten durchgeführt werden.
  7. Verfahren nach Anspruch 5, das weiterhin die folgenden Schritte aufweist: Ätzen der zweiten dielektrischen Schicht (68, 70), um eine zweite Durchkontaktierungsöffnung herzustellen; und Füllen der zweiten Durchkontaktierungsöffnung, um eine weitere Bondinsel (66A) herzustellen, wobei die gesamte weitere Bondinsel (66A) höher liegt als eine Oberseite der ersten dielektrischen Schicht (50), wobei das Füllen der ersten Durchkontaktierungsöffnung und das Füllen der zweiten Durchkontaktierungsöffnung gleichzeitig durchgeführt werden.
  8. Verfahren mit den folgenden Schritten: Ausbilden einer ersten Metallstruktur (34A) und einer zweiten Metallstruktur (34B); Ausbilden einer Kontaktstelle (42) über und in Kontakt mit der ersten Metallstruktur (34A); Ausbilden einer Passivierungsschicht (46), die Randteile der Kontaktstelle (42) bedeckt, wobei ein mittlerer Teil einer Oberseite der Kontaktstelle (42) durch eine Öffnung in der Passivierungsschicht (46) freigelegt wird; Ausbilden einer ersten dielektrischen Schicht (50), um die Oberseite der Kontaktstelle (42) und die Passivierungsschicht (46) zu bedecken; Ausbilden einer Durchkontaktierung (54), die die erste dielektrische Schicht (50) und die Passivierungsschicht (46) durchdringt, sodass sie in Kontakt mit der zweiten Metallstruktur (34B) kommt; gleichzeitiges Ausbilden einer ersten Bondinsel (66A) und einer zweiten Bondinsel (66B), wobei sich die zweite Bondinsel (66B) über der Durchkontaktierung (54) befindet und mit dieser in Kontakt ist; Ausbilden einer zweiten dielektrischen Schicht (70), um die erste Bondinsel (66A) und die zweite Bondinsel (66B) einzubetten; und Planarisieren einer Oberseite der zweiten dielektrischen Schicht (70), um die Oberseite der zweiten dielektrischen Schicht (70) auf gleiche Höhe mit Oberseiten der ersten Bondinsel (66A) und der zweiten Bondinsel (66B) zu bringen.
  9. Verfahren nach Anspruch 8, wobei die erste Bondinsel (66A) elektrisch floatend ist.
  10. Verfahren nach Anspruch 8 oder 9, das weiterhin das Ausbilden einer dielektrischen Sperrschicht (68) auf Seitenwänden und Oberseiten der ersten Bondinsel (66A) und der zweiten Bondinsel (66B) vor dem Ausbilden der zweiten dielektrischen Schicht (70) umfasst.
  11. Verfahren nach einem der Ansprüche 8 bis 10, wobei die erste Bondinsel (66A) von allen anderen leitenden Strukturen in einem jeweiligen Chip physisch getrennt ist.
  12. Verfahren nach einem der Ansprüche 8 bis 11, wobei nach der Planarisierung die gesamte Oberseite der Kontaktstelle (42) in Kontakt mit dielektrischen Materialien ist.
  13. Verfahren nach einem der Ansprüche 8 bis 12, wobei nach der Planarisierung alle Seitenwände der Kontaktstelle (42) in Kontakt mit dielektrischen Materialien sind.
  14. Verfahren nach einem der Ansprüche 8 bis 13, wobei das Ausbilden der Durchkontaktierung (54) und das Ausbilden der zweiten Bondinsel (66B) in getrennten Single-Damascene-Prozessen durchgeführt werden.
  15. Verfahren nach einem der Ansprüche 8 bis 14, das weiterhin eine Prüfung durch In-Kontakt-Bringen einer Sondennadel mit der Oberseite der Kontaktstelle (42) umfasst.
  16. Integrierte Schaltkreisstruktur mit: einer ersten Metallstruktur (34A) und einer zweiten Metallstruktur (34B), die nebeneinander liegen; einer Kontaktstelle (42) über und in Kontakt mit der ersten Metallstruktur (34A); einer Passivierungsschicht (46), die Randteile der Kontaktstelle (42) bedeckt, wobei ein mittlerer Teil einer Oberseite der Kontaktstelle durch eine Öffnung in der Passivierungsschicht (46) freigelassen ist; einer ersten dielektrischen Schicht (50), die die Oberseite der Kontaktstelle (42) und die Passivierungsschicht (46) bedeckt; einer Durchkontaktierung (54), die die erste dielektrische Schicht (50) und die Passivierungsschicht (46) durchdringt, sodass sie in Kontakt mit der zweiten Metallstruktur (34b) kommt; und einer ersten Bondinsel (66A) und einer zweiten Bondinsel (66B), die von einer zweiten dielektrischen Schicht (70) umschlossen sind, wobei sich die zweite Bondinsel (66B) über der Durchkontaktierung (54) befindet und mit dieser in Kontakt ist; wobei die erste Bondinsel (66A) elektrisch floatend ist und die erste Bondinsel (66A) die Kontaktstelle überlappt.
  17. Integrierte Schaltkreisstruktur nach Anspruch 16, die weiterhin eine dielektrische Sperrschicht (68) auf Seitenwänden der ersten Bondinsel (66A) und der zweiten Bondinsel (66B) aufweist.
  18. Integrierte Schaltkreisstruktur nach Anspruch 16 oder 17, wobei die gesamte Oberseite der Kontaktstelle (42) und alle Seitenwände der Kontaktstelle (42) in Kontakt mit dielektrischen Materialien sind.
DE102016100270.4A 2015-12-28 2016-01-09 Bondstrukturen und verfahren zu ihrer herstellung Active DE102016100270B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/980,044 2015-12-28
US14/980,044 US9893028B2 (en) 2015-12-28 2015-12-28 Bond structures and the methods of forming the same

Publications (2)

Publication Number Publication Date
DE102016100270A1 DE102016100270A1 (de) 2017-06-29
DE102016100270B4 true DE102016100270B4 (de) 2022-03-17

Family

ID=59010548

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016100270.4A Active DE102016100270B4 (de) 2015-12-28 2016-01-09 Bondstrukturen und verfahren zu ihrer herstellung

Country Status (5)

Country Link
US (3) US9893028B2 (de)
KR (1) KR101831513B1 (de)
CN (1) CN107039380B (de)
DE (1) DE102016100270B4 (de)
TW (1) TWI595535B (de)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9893028B2 (en) * 2015-12-28 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bond structures and the methods of forming the same
US10522468B2 (en) 2017-07-31 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
KR102456321B1 (ko) * 2017-11-08 2022-10-19 삼성전기주식회사 인쇄회로기판 및 이를 포함하는 전자소자 패키지
US10515874B2 (en) 2017-11-30 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US11011494B2 (en) * 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US20200176377A1 (en) * 2018-11-30 2020-06-04 Nanya Technology Corporation Electronic device and method of manufacturing the same
EP3734652A1 (de) * 2019-05-02 2020-11-04 ams AG Halbleiterbauelement und verfahren zur herstellung eines halbleiterbauelements
US10886245B2 (en) * 2019-05-30 2021-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, 3DIC structure and method of fabricating the same
US11189599B2 (en) * 2019-05-30 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. System formed through package-in-package formation
DE102019128274A1 (de) * 2019-05-30 2020-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Package-in-Package-gebildetes System
US11195810B2 (en) * 2019-08-23 2021-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure and method of forming same
US11309222B2 (en) * 2019-08-29 2022-04-19 Advanced Micro Devices, Inc. Semiconductor chip with solder cap probe test pads
US11264343B2 (en) * 2019-08-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure for semiconductor device and method of forming same
KR20210041363A (ko) 2019-10-07 2021-04-15 삼성전자주식회사 다이 대 웨이퍼 접합 구조 및 이를 이용한 반도체 패키지
US11521945B2 (en) * 2019-11-05 2022-12-06 Nanya Technology Corporation Semiconductor device with spacer over bonding pad
US11133251B1 (en) * 2020-03-16 2021-09-28 Nanya Technology Corporation Semiconductor assembly having T-shaped interconnection and method of manufacturing the same
DE102020135087A1 (de) 2020-03-27 2021-09-30 Samsung Electronics Co., Ltd. Halbleitergehäuse
US11715755B2 (en) * 2020-06-15 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for forming integrated high density MIM capacitor
CN115376890A (zh) * 2020-08-11 2022-11-22 广州粤芯半导体技术有限公司 半导体器件的制备方法
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US11610833B2 (en) * 2020-10-22 2023-03-21 Nanya Technology Corporation Conductive feature with non-uniform critical dimension and method of manufacturing the same
US11817361B2 (en) 2021-02-12 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation structure with planar top surfaces

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007057689A1 (de) 2007-11-30 2009-06-04 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einem Chipgebiet, das für eine aluminiumfreie Lothöckerverbindung gestaltet ist, und eine Teststruktur, die für eine aluminiumfreie Drahtverbindung gestaltet ist
US20120306070A1 (en) 2011-06-03 2012-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical Connection for Chip Scale Packaging

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6525551B1 (en) * 1997-05-22 2003-02-25 International Business Machines Corporation Probe structures for testing electrical interconnections to integrated circuit electronic devices
JP5141550B2 (ja) * 2006-03-08 2013-02-13 富士通セミコンダクター株式会社 半導体装置及びその製造方法
TWI353652B (en) * 2006-06-27 2011-12-01 Megica Corp Integrated circuit and method for fabricating the
US7820543B2 (en) 2007-05-29 2010-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced copper posts for wafer level chip scale packaging
US7838424B2 (en) 2007-07-03 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced reliability of wafer-level chip-scale packaging (WLCSP) die separation using dry etching
US7863742B2 (en) 2007-11-01 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Back end integrated WLCSP structure without aluminum pads
JP2009246218A (ja) 2008-03-31 2009-10-22 Renesas Technology Corp 半導体装置の製造方法および半導体装置
JP2011171567A (ja) * 2010-02-19 2011-09-01 Elpida Memory Inc 基板構造物の製造方法及び半導体装置の製造方法
JP2013016721A (ja) 2011-07-06 2013-01-24 Renesas Electronics Corp 半導体装置
US9257333B2 (en) 2013-03-11 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming same
US9263839B2 (en) 2012-12-28 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for an improved fine pitch joint
US8987058B2 (en) 2013-03-12 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for wafer separation
US20130320522A1 (en) * 2012-05-30 2013-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Re-distribution Layer Via Structure and Method of Making Same
US9196532B2 (en) 2012-06-21 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods for forming the same
US8865585B2 (en) 2012-07-11 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming post passivation interconnects
US8987884B2 (en) 2012-08-08 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Package assembly and methods for forming the same
US9275924B2 (en) 2012-08-14 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package having a recess filled with a molding compound
US8754508B2 (en) 2012-08-29 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Structure to increase resistance to electromigration
US8952530B2 (en) 2012-09-14 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Post passivation interconnect structures and methods for forming the same
US8772151B2 (en) 2012-09-27 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Passivation scheme
US9117825B2 (en) 2012-12-06 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate pad structure
US8884400B2 (en) 2012-12-27 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor in Post-Passivation structures and methods of forming the same
US8846548B2 (en) 2013-01-09 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Post-passivation interconnect structure and methods for forming the same
US9331032B2 (en) * 2013-03-06 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding and apparatus for performing the same
US9773732B2 (en) 2013-03-06 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for packaging pad structure
US9196559B2 (en) 2013-03-08 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Directly sawing wafers covered with liquid molding compound
US8987922B2 (en) 2013-03-11 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for wafer level packaging
US9275925B2 (en) 2013-03-12 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for an improved interconnect structure
US9455158B2 (en) 2014-05-30 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect devices and methods of forming same
US9893028B2 (en) * 2015-12-28 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bond structures and the methods of forming the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007057689A1 (de) 2007-11-30 2009-06-04 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einem Chipgebiet, das für eine aluminiumfreie Lothöckerverbindung gestaltet ist, und eine Teststruktur, die für eine aluminiumfreie Drahtverbindung gestaltet ist
US20120306070A1 (en) 2011-06-03 2012-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical Connection for Chip Scale Packaging

Also Published As

Publication number Publication date
US10269741B2 (en) 2019-04-23
CN107039380A (zh) 2017-08-11
DE102016100270A1 (de) 2017-06-29
KR20170077758A (ko) 2017-07-06
US10510699B2 (en) 2019-12-17
KR101831513B1 (ko) 2018-02-22
US20170186715A1 (en) 2017-06-29
CN107039380B (zh) 2020-06-05
US20190252335A1 (en) 2019-08-15
TW201724192A (zh) 2017-07-01
US20180166408A1 (en) 2018-06-14
US9893028B2 (en) 2018-02-13
TWI595535B (zh) 2017-08-11

Similar Documents

Publication Publication Date Title
DE102016100270B4 (de) Bondstrukturen und verfahren zu ihrer herstellung
DE102019130567B4 (de) Package mit brücken-die zum verbinden und verfahren zu dessen herstellung
DE102018116729B3 (de) Halbleiter-Bauelement-Package und Verfahren
DE102019117763B4 (de) Seitenwandschutz für metallkontakthügel
DE102018102719A1 (de) Ausbilden von Metallbonds mit Aussparungen
DE102019116993A1 (de) Opufferspeicherdesign für package-integration
DE102016101777B4 (de) Verfahren zur Bearbeitung eines Wafers und Verfahren
DE102018124695A1 (de) Integrieren von Passivvorrichtungen in Package-Strukturen
DE102016100012B4 (de) Verfahren zur herstellung von bondstrukturen
DE102011087279A1 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE102016101089B4 (de) Mehrfachaufprallprozess zum Bonden
DE102019118362A1 (de) Strukturieren einer polymerschicht zum reduzieren von spannung
DE102016100025A1 (de) Struktur und Erzeugungsverfahren für ein Chip-Package
DE102018117689A1 (de) Unterstützen von Info-Packages zum Reduzieren von Durchbiegung
DE102018107941B4 (de) Umverteilungsschicht-Metallstruktur und Verfahren
DE102021110267A1 (de) Deep-Partition-Leistungsabgabe mit Tiefgrabenkondensator
DE102021103804A1 (de) Passive Struktur auf SoIC
DE102021100457B4 (de) Rückseiten- oder vorderseiten-substratdurchkontaktierungslandung (tsv-landung) auf metall
DE102021112653A1 (de) Halbleiter-Package und Verfahren zur Herstellung eines Halbleiter-Packages
DE102020135142A1 (de) Tsv-struktur mit mehreren belägen und verfahren zu deren herstellung
DE102023105422A1 (de) Halbleiterpackage mit abgestuftem dichtungsring und verfahren zu dessen herstellung
DE102023100013A1 (de) Halbleitervorrichtung und verfahren
DE102023100773A1 (de) Integriertes schaltungs-package und verfahren
DE102018123499A1 (de) Prozesssteuerung für Package-Herstellung
DE102022100083A1 (de) Heterogenes dielektrikumbondschema

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final