DE102016101777B4 - Verfahren zur Bearbeitung eines Wafers und Verfahren - Google Patents

Verfahren zur Bearbeitung eines Wafers und Verfahren Download PDF

Info

Publication number
DE102016101777B4
DE102016101777B4 DE102016101777.9A DE102016101777A DE102016101777B4 DE 102016101777 B4 DE102016101777 B4 DE 102016101777B4 DE 102016101777 A DE102016101777 A DE 102016101777A DE 102016101777 B4 DE102016101777 B4 DE 102016101777B4
Authority
DE
Germany
Prior art keywords
photoresist
area
region
stripe
exposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102016101777.9A
Other languages
English (en)
Other versions
DE102016101777A1 (de
Inventor
Wen Hsin Wei
Hsien-Pin Hu
Shang-Yun Hou
Wei-Ming Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016101777A1 publication Critical patent/DE102016101777A1/de
Application granted granted Critical
Publication of DE102016101777B4 publication Critical patent/DE102016101777B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/564Details not otherwise provided for, e.g. protection against moisture
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02311Additive methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02313Subtractive methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0239Material of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps
    • H01L2224/0391Forming a passivation layer after forming the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05548Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05567Disposition the external layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13022Disposition the bump connector being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13024Disposition the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/1405Shape
    • H01L2224/14051Bump connectors having different shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1418Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/14181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • H01L2224/4901Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • H01L2224/491Disposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren zur Bearbeitung eines Wafers, der einen ersten Bereich (100), einen zweiten Bereich (200) und eine Stitching-Zone (300), in der sich der erste Bereich (100) und der zweite Bereich (200) überlappen, aufweist, wobei die Stitching-Zone (300) ein Streifen mit gleichbleibender Breite ist und wobei der erste Bereich (100) und der zweite Bereich (200) in einer ersten Richtung relativ zueinander angeordnet sind, das Verfahren aufweisend die folgenden Schritte:Durchführen (404) einer ersten Belichtung an einem Fotoresist (32; 60) unter Verwendung einer ersten lithografischen Maske (34; 62), die über dem ersten Bereich (100) platziert wird, wobei die erste lithografische Maske (34; 62) einen ersten Teil des Fotoresists (32; 60) bedeckt und der erste Teil des Fotoresists (32; 60) einen ersten Streifenteil (32A; 60A) aufweist, der bei der ersten Belichtung belichtet wird und sich im Wesentlichen parallel zu der ersten Richtung bis zu einem Rand der Stitching-Zone (300) erstreckt, der innerhalb des zweiten Bereichs (200) liegt;Durchführen (406) einer zweiten Belichtung an dem Fotoresist (32; 60) unter Verwendung einer zweiten lithografischen Maske (40; 64), die über dem zweiten Bereich (200) platziert wird, wobei die zweite lithografische Maske (40; 64) einen zweiten Teil des Fotoresists (32; 60) bedeckt und der zweite Teil des Fotoresists (32; 60) einen zweiten Streifenteil (32C; 60C) aufweist, der bei der zweiten Belichtung belichtet wird und sich im Wesentlichen parallel zu der ersten Richtung bis zu einem Rand der Stitching-Zone (300) erstreckt, der innerhalb des ersten Bereichs liegt, wobei der erste Streifenteil (32A; 60A) und der zweite Streifenteil (32C; 60C) einen überlappenden Teil (32D, 60D) in der Stitching-Zone (300) haben, der doppelt belichtet wird, und wobei eine Gesamtbreite der überlappenden ersten und zweiten Streifenteile größer ist als die Breite des ersten Streifenteils und die Breite des zweiten Streifenteils;Entwickeln (408) des Fotoresists (32; 60), um den ersten Streifenteil (32A; 60A) und den zweiten Streifenteil (32C; 60C) zu entfernen;Ätzen (410) einer dielektrischen Schicht (30), die sich unter dem Fotoresist (32; 60) befindet, um einen Graben (44; 68) herzustellen, wobei sich der Graben (44, 68) durchgehend über die Stitching-Zone (300) von dem ersten Bereich (100) zu dem zweiten Bereich (200) erstreckt; undFüllen (412) des Grabens (44; 68) mit einer leitenden Struktur (46; 70), wobei sich die leitende Struktur (46, 70) durchgehend über die Stitching-Zone (300) von dem ersten Bereich (100) zu dem zweiten Bereich (200) erstreckt.

Description

  • Hintergrund der Erfindung
  • Beim Packaging von integrierten Schaltkreisen kann eine Vielzahl von Bauelement-Chips auf einen Interposer-Wafer gebondet werden, der eine Vielzahl von Interposern aufweist. Nach dem Bonden der Bauelement-Chips wird eine Unterfüllung in die Spalte zwischen den Bauelement-Chips und dem Interposer-Wafer gefüllt. Dann kann ein Härtungsprozess durchgeführt werden, um die Unterfüllung zu härten. Zum Verkapseln der Bauelement-Chips kann eine Formmasse verwendet werden. Der resultierende Interposer-Wafer und die oberen Chips darauf werden dann in eine Vielzahl von Bauelementen zersägt, die freiliegende elektrische Verbinder, wie etwa Lotkugeln, umfassen. Die Bauelemente werden dann auf Gehäusesubstrate oder gedruckte Leiterplatten gebondet.
  • Die US 5 702 868 A beschreibt, dass ein Fotoresist durch eine designunabhängige hochauflösende Maske belichtet wird, wodurch ein hochauflösendes Bild des belichteten Fotoresists entsteht. Der Fotoresist wird nachfolgend durch eine bauartspezifische niedrig auflösende Maske belichtet, wodurch ausgewählte Teile des zuvor unbelichteten Fotoresists belichtet werden. Die verbleibenden Teile des zuvor nicht belichteten Fotoresists bilden ein designabhängiges hochauflösendes Bild. Nach der Entwicklung des Fotoresists werden seine unbelichteten Teile entfernt, wodurch Öffnungen im Fotoresist entstehen, die auf das darunter liegende Material übertragen werden können, z. B. durch Ätzen von Öffnungen in das darunter liegende Material, wodurch das designabhängige hochauflösende Bild auf dieses übertragen wird.
  • Die US 2008/0251923 A1 beschreibt einen Halbleiterchip mit einem Dichtungsring, der an die Kanten des Halbleiterchips angrenzt. Der Dichtungsring hat eine Öffnung, die sich von einer oberen Fläche zu einer unteren Fläche des Dichtungsrings erstreckt, wobei die Öffnung ein erstes Ende auf einer Außenseite des Dichtungsrings und ein zweites Ende auf einer Innenseite des Dichtungsrings hat. Ferner umfasst der Halbleiterchip eine Feuchtigkeitsbarriere mit einer Seitenwand, die parallel zu einer nächstgelegenen Seite des Dichtungsrings verläuft, wobei die Feuchtigkeitsbarriere an den Dichtungsring angrenzt und einen Abschnitt hat, der der Öffnung zugewandt ist.
  • Die US 6 150 840 A beschreibt Konstruktionsverfahren und -techniken, um große Chips herzustellen, wobei zwei Bereiche eines Wafers mit lithographischen Masken belichtet und entsprechend strukturiert werden und die beiden Bereiche über eine Stitching-Zone miteinander verbunden werden.
  • Die US 2004/0150070 A1 beschreibt eine Halbleitervorrichtung mit mehreren Dichtungsringen aus einem leitenden Material, wobei die Dichtungsringe entlang einer Peripherie des Halbleiterchips ausgebildet sind, und wobei die Dichtungsringe in einer Vielzahl von Verdrahtungsisolierfilmen in einer solchen Weise vergraben sind, dass sie sich über die Verdrahtungsisolierfilme erstrecken.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Klarheit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • Die 1 bis 19 zeigen Schnittansichten und Draufsichten von Zwischenstufen bei der Herstellung eines großen Chips durch Stitching (Zusammenheften) gemäß einigen Ausführungsformen.
    • Die 20 und 21 zeigen große Chips, die durch Stitching hergestellt werden, gemäß einigen Ausführungsformen.
    • 22 zeigt einen Prozessablauf zum Herstellen eines großen Chips durch Stitching gemäß einigen Ausführungsformen.
    • 23 zeigt eine Schnittansicht einer CoWoS-Struktur (CoWoS: chip on wafer on substrate; Chip auf Wafer auf Substrat) gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung bereit. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so ausgebildet werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Klarheit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter liegend“, „unter“, „untere(r)“/„unteres“, „darüber liegend“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung verschiedene Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Gemäß verschiedenen beispielhaften Ausführungsformen werden Verfahren zur Herstellung eines großen Chips in einem Wafer durch Stitching zur Verfügung gestellt. Die Zwischenstufen der Herstellung des Chips werden erläutert. Es werden einige Abwandlungen einiger Ausführungsformen erörtert. In allen verschiedenen Ansichten und erläuternden Ausführungsformen werden ähnliche Bezugssymbole zum Bezeichnen von ähnlichen Elementen verwendet.
  • Die 1 bis 19 zeigen Schnittansichten und Draufsichten von Zwischenstufen bei der Herstellung eines großen Chips durch Stitching gemäß einigen Ausführungsformen. Die Schritte, die in den 1 bis 19 gezeigt sind, sind auch in dem Prozessablauf schematisch dargestellt, der in 22 gezeigt ist. Bei der nachfolgenden Erörterung werden die Prozessschritte, die in den 1 bis 19 gezeigt sind, anhand der Prozessschritte von 22 erörtert.
  • 1 zeigt eine Schnittansicht eines Wafers 2. Bei einigen Ausführungsformen der vorliegenden Erfindung ist der Wafer 2 ein Bauelement-Wafer, der integrierte Schaltkreiselemente 22 aufweist, die auf der Oberseite eines Halbleitersubstrats 20 hergestellt werden. Beispielhafte integrierte Schaltkreiselemente 22 umfassen CMOS-Transistoren (CMOS: complementary metal-oxide semiconductor; komplementärer Metall-Oxid-Halbleiter), Widerstände, Kondensatoren, Dioden und/oder dergleichen. Einzelheiten der integrierten Schaltkreiselemente 22 sind hier nicht dargestellt. Bei einigen alternativen Ausführungsformen der vorliegenden Erfindung ist der Wafer 2 ein Interposer-Wafer, der keine aktiven Bauelemente, wie etwa Transistoren und Dioden, aufweist, und er kann passive Bauelemente aufweisen oder auch nicht. Der Interposer-Wafer weist eine Vielzahl von Interposern auf, die leitende Strukturen (wie etwa Metall-Kontaktstellen) auf gegenüberliegenden Seiten der Interposer umfassen. In den Interposern werden Leiterbahnen und Durchkontaktierungen hergestellt, um die leitenden Strukturen auf gegenüberliegenden Seiten der Interposer elektrisch miteinander zu verbinden.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung weist der beispielhafte Wafer 2 ein Substrat 20 auf. Das Substrat 20 kann ein Halbleitersubstrat oder ein dielektrisches Substrat sein. Wenn es sich um ein Halbleitersubstrat handelt, kann das Substrat 20 aus kristallinem Silicium, kristallinem Germanium, Siliciumgermanium und/oder einem III-V-Verbindungshalbleiter hergestellt werden, wie etwa GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, GaInAsP oder dergleichen. Das Halbleitersubstrat 20 kann ein massives Siliciumsubstrat oder ein SOI-Substrat (SOI: Silicium auf Isolator) sein. In dem Halbleitersubstrat 20 können STI-Bereiche (STI: shallow trench isolation; flache Grabenisolation) (nicht dargestellt) hergestellt werden, um die aktiven Bereiche in dem Halbleitersubstrat 20 zu trennen. Wenn es sich um ein dielektrisches Substrat handelt, kann das Substrat 20 aus Siliciumoxid, Siliciumcarbid, Siliciumnitrid oder dergleichen hergestellt werden. Durchkontaktierungen 21 können so hergestellt werden, dass sie in das Halbleitersubstrat 20 hinein reichen, wobei die Durchkontaktierungen 21 dazu verwendet werden, die Strukturen auf gegenüberliegenden Seiten des Wafers 2 elektrisch miteinander zu verbinden. Die Durchkontaktierungen 21 können mittels einer Isolierschicht 23 gegen das Substrat 20 isoliert werden.
  • Der Wafer 2 weist einen Bereich 100 und einen Bereich 200 auf, die alternativ als ein erster Retikelfeldbereich bzw. ein zweiter Retikelfeldbereich bezeichnet werden. Die Bereiche 100 und 200 haben einen überlappenden Bereich 300, der auch als eine Stitching-Zone bezeichnet wird, da Metallstrukturen, die von dem Bereich 100 bis zu dem Bereich 200 reichen, in dem Bereich 300 zusammengeheftet werden. Die Stitching-Zone 300 ist ein Streifen, der eine gleichbleibende Breite hat. Darüber hinaus können die Bereiche 100 und 200 im Wesentlichen die gleiche Größe haben, aber ihre Größen können auch voneinander verschieden sein. Der Wafer 2 kann eine Vielzahl von Paaren von Bereichen haben, die mit dem Paar aus den Bereichen 100 und 200 identisch sind, wobei die Vielzahl von Paaren von Bereichen ein Array bilden kann. Jedes Paar aus den Bereichen 100 und 200 dient zum Herstellen eines großen Chips, wie in 19 gezeigt ist.
  • Ein Zwischenschicht-Dielektrikum (ILD) 24 wird über dem Halbleitersubstrat 20 hergestellt und füllt (gegebenenfalls) den Zwischenraum zwischen den Gate-Stapeln von Transistoren (nicht dargestellt) in den integrierten Schaltkreiselementen 22. Bei einigen beispielhaften Ausführungsformen besteht das ILD 24 aus Phosphorsilicatglas (PSG), Borsilicatglas (BSG), mit Bor dotiertem Phosphorsilicatglas (BPSG), mit Fluor dotiertem Slicatglas (FSG), Tetraethylorthosilicat (TEOS) oder dergleichen. Das ILD 24 kann mittels Schleuderbeschichtung, fließfähiger chemischer Aufdampfung (flowable chemical vapor depositon; FCVD) oder dergleichen hergestellt werden. Bei einigen Ausführungsformen der vorliegenden Erfindung wird das ILD 24 unter Verwendung eines Abscheidungsverfahrens hergestellt, wie etwa Plasma-unterstützte chemische Aufdampfung (plasma-enhanced chemical vapor deposition; PECVD), Tiefdruck-CVD (low-pressure chemical vapor deposition; LPCVD) oder dergleichen. Die Durchkontaktierungen 21 können bei einigen Ausführungsformen ebenfalls in das ILD 24 hinein reichen.
  • Über und in Kontakt mit dem ILD 24 und den integrierten Schaltkreiselementen 22 kann gegebenenfalls eine Ätzstoppschicht (nicht dargestellt) hergestellt werden. Die Ätzstoppschicht kann aus Siliciumcarbid, Siliciumnitrid, Siliciumoxidnitrid, Siliciumcarbidnitrid oder dergleichen hergestellt werden. Die Ätzstoppschicht wird aus einem Material hergestellt, das eine hohe Ätzselektivität in Bezug auf die darüber liegende dielektrische Schicht 30 hat, und daher kann die Ätzstoppschicht zum Stoppen des Ätzens der dielektrischen Schicht 30 verwendet werden.
  • Bei einigen Ausführungsformen, bei denen der Wafer 2 ein Interposer-Wafer ist, werden keine integrierten Schaltkreiselemente 22 und kein ILD 24 hergestellt.
  • In 1 ist weiterhin die dielektrische Schicht 30 dargestellt, die nachstehend alternativ als IMD-Schicht (IMD: inter-metal dielectric; Zwischenmetall-Dielektrikum) 30 bezeichnet wird. Bei einigen Ausführungsformen der vorliegenden Erfindung wird die IMD-Schicht 30 aus einem dielektrischen Low-k-Material hergestellt, das eine Dielektrizitätskonstante (k-Wert) hat, die kleiner als etwa 3,0, kleiner als etwa 2,5 oder noch kleiner ist. Die IMD-Schicht 30 kann aus Black Diamond (ein eingetragenes Warenzeichen von Applied Materials), einem Kohlenstoff-haltigen dielektrischen Low-k-Material, Wasserstoff-Silsesquioxan (HSQ), Methyl-Silsesquioxan (MSQ) oder dergleichen hergestellt werden. Bei einigen Ausführungsformen der vorliegenden Erfindung umfasst die Herstellung der IMD-Schicht 30 das Abscheiden eines Porogen-haltigen dielektrischen Materials und das anschließende Durchführen eines Härtungsprozesses, um das Porogen auszutreiben, sodass die verbleibende IMD-Schicht 30 porös wird.
  • Bei alternativen Ausführungsformen wird die IMD-Schicht 30 aus einem dielektrischen Nicht-Low-k-Material hergestellt, wie etwa Siliciumoxid, Siliciumnitrid, Siliciumcarbid, Siliciumoxidnitrid oder dergleichen.
  • Die 2A bis 4 zeigen einen Single-Damascene-Prozess. In 2A wird ein Fotoresist 32 auf die IMD-Schicht 30 aufgebracht. Der entsprechende Schritt ist als Schritt 402 in dem Prozessablauf angegeben, der in 22 gezeigt ist. Eine lithografische Maske 34 wird dann direkt über dem Bereich 100 platziert, der die Stitching-Zone 300 umfasst. Die lithografische Maske 34, die von der maximalen Größe des Retikelfelds begrenzt wird, ist nicht so groß, dass sie die Bereiche 100 und 200 (die die Stitching-Zone 300 umfassen) bedeckt. Vielmehr wird die lithografische Maske 34 dazu verwendet, die Teile des Fotoresists 32 in dem Bereich 100, jedoch nicht in dem Bereich 200, zu belichten. Dann wird eine Belichtung durchgeführt, um die Teile 32A des Fotoresists 32 zu belichten, während die Teile 32B nicht belichtet werden. Der entsprechende Schritt ist als Schritt 404 in dem Prozessablauf angegeben, der in 22 gezeigt ist.
  • 2B zeigt eine schematische Draufsicht des Fotoresists 32 nach der Belichtung, die in 2A gezeigt ist. Einige beispielhafte belichtete Bereiche 32A sind schematisch dargestellt, wobei die belichteten Bereiche in dem Bereich 100 liegen. Die belichteten Bereiche 32A umfassen weiterhin Teile 32A1 und einen Teil 32A2, wobei die Teile 32A1 nah an den Rändern des resultierenden Chips 4 (19) sind, und sie definieren die Strukturen zum Herstellen eines Dichtungsrings 84. Der Teil 32A2 stellt die Teile dar, die zum Herstellen von Metallleitungen in den nachfolgenden Schritten verwendet werden.
  • In 3A wird eine lithografische Maske 40 direkt über dem Bereich 200 (der die Stitching-Zone 300 umfasst) platziert. Die lithografische Maske 40, die von der maximalen Größe des Retikelfelds begrenzt wird, ist nicht so groß, dass sie die Bereiche 100 und 200 bedeckt, und sie wird dazu verwendet, die Teile des Fotoresists 32 in dem Bereich 200, jedoch nicht in dem Bereich 100, zu belichten. Dann wird eine Belichtung durchgeführt, um die Teile 32C des Fotoresists 32 zu belichten, während die Teile 32B nicht belichtet werden. Der entsprechende Schritt ist als Schritt 406 in dem Prozessablauf angegeben, der in 22 gezeigt ist. Einige der belichteten Teile 32C überlappen Teile der belichteten Teile 32A, die in dem in 2A gezeigten Schritt belichtet worden sind. Die Überlappungsteile der Teile 32A und 32C werden nachstehend als doppelt belichtete Teile 32D bezeichnet. Die doppelt belichteten Teile 32D befinden sich in der Stitching-Zone 300.
  • 3B zeigt eine schematische Draufsicht des Fotoresists 32 nach der Belichtung, die in 3A gezeigt ist. Einige beispielhafte belichtete Bereiche 32C sind schematisch dargestellt, wobei die belichteten Bereiche in dem Bereich 200 und der Stitching-Zone 300 liegen. Die belichteten Bereiche 32C umfassen weiterhin Teile 32C1 und 32C2, wobei der Teil 32C1 nah an den Rändern des resultierenden Chips 4 (19) ist, und sie definieren die Strukturen zum Herstellen des Dichtungsrings 84. Der Teil 32C2 stellt die Teile dar, die zum Herstellen von Metallleitungen in den nachfolgenden Schritten verwendet werden. Die doppelt belichteten Teile 32D umfassen auch Teile 32D1 und 32D2. Durch die beiden Belichtungen, für die verschiedene lithografische Masken verwendet werden, können die Strukturen 32A, 32B und 32C zusammen über den Bereich eines einzelnen Retikelfelds hinaus reichen. Das Verbinden der Strukturen in den Bereichen 100 und 200 wird als Stitching bezeichnet.
  • Die doppelt belichteten Teile 32D sind zweifach belichtet. Daher sind die Breiten W1 der doppelt belichteten Teile 32D größer als die Breiten W2 der Teile 32A und 32C. Zum Beispiel stellen Strichlinien 42 die doppelt belichteten Teile 32D schematisch dar, die breiter als die Teile sind, die nur einmal belichtet worden sind. Darüber hinaus sind, wenn eine oder beide lithografischen Masken 34 (2A) und 40 (3A) nicht exakt ausgerichtet sind, die Teile 32A2 und 32C2 nicht zu einer Geraden ausgerichtet und sind verschoben aber zueinander parallel, auch wenn die Teile 32A2 und 32C2 in den lithografischen Masken als ein durchgehender geradliniger Streifen mit einer gleichbleibenden Breite definiert sind. Ebenso können die Teile 32A1 und 32C1 nicht zu einer Geraden ausgerichtet sein.
  • Dann wird das Fotoresist entwickelt, und die belichteten Teile 32A und 32C (die die doppelt belichteten Bereiche 32D umfassen) werden entfernt, und die unbelichteten Teile 32B bleiben bestehen. Der entsprechende Schritt ist als Schritt 408 in dem Prozessablauf angegeben, der in 22 gezeigt ist. Die resultierende Struktur ist in 4 gezeigt. Das Fotoresist 32 wird dann als eine Ätzmaske zum Ätzen der darunter liegenden IMD-Schicht 30 verwendet, wodurch Gräben 44 in der IMD-Schicht 30 entstehen. Der entsprechende Schritt ist als Schritt 410 in dem Prozessablauf angegeben, der in 22 gezeigt ist. Wenn sich über der II,D-Schicht 24 eine Ätzstoppschicht befindet, wird diese ebenfalls durchgeätzt. Die Durchkontaktierungen 21 werden bei einigen Ausführungsformen zu den Gräben 44 hin freigelegt. Dann wird das Fotoresist 32 entfernt.
  • In 5 werden leitende Leitungen 46 in der IMD-Schicht 30 hergestellt. Der entsprechende Schritt ist als Schritt 412 in dem Prozessablauf angegeben, der in 22 gezeigt ist. Bei einigen Ausführungsformen haben die Metallleitungen 46 Diffusionssperrschichten 48 und ein kupferhaltiges Material 50 über den Diffusionssperrschichten 48. Die Diffusionssperrschichten 48 können Titan, Titannidrid, Tantal, Tantalnitrid oder dergleichen umfassen. Die Diffusionssperrschichten 48 haben die Funktion, eine Diffusion von Kupfer in dem kupferhaltigen Material 50 in die IMD-Schicht 30 zu vermeiden. Die leitenden Leitungen 46 werden nachstehend als Metallleitungen 46 bezeichnet. Die Herstellung der Metallleitungen 46 kann die folgenden Schritte umfassen: Herstellen einer Schutz-Diffusionssperrschicht 48, Abscheiden des kupferhaltigen Materials 50 (zum Beispiel durch Schichtabscheidung) und anschließend Durchführen einer Planarisierung, wie etwa chemisch-mechanisches Polieren (CMP), um überschüssige Teile der Sperrschicht 48 und des kupferhaltigen Materials 50 zu entfernen.
  • 6 zeigt die Herstellung einer Ätzstoppschicht (etch stop layer; ESL) 52 über der IMD-Schicht 30 und den Metallleitungen 46 und die Herstellung einer dielektrischen Low-k-Schicht 54 über der Ätzstoppschicht 52. Die Ätzstoppschicht 52 kann aus einem Nitrid, einem Material auf Silicium-Kohlenstoff-Basis, einem Kohlenstoff-dotierten Oxid und/oder einer Kombination davon hergestellt werden. Die Herstellungsverfahren umfassen Plasma-unterstützte chemische Aufdampfung (PECVD) oder andere Verfahren, wie etwa chemische Aufdampfung mit einem Plasma hoher Dichte (high-density plasma CVD; HDPCVD), Atomlagenabscheidung (atomic layer depositon; ALD) und dergleichen. Bei einigen Ausführungsformen ist die dielektrische Schicht 52 eine Diffusionssperrschicht, die dazu dient, ein Eindiffundieren von unerwünschten Elementen, wie etwa Kupfer, in die nachfolgend hergestellte dielektrische Low-k-Schicht zu vermeiden. Die dielektrische Schicht 52 kann sowohl als eine Ätzstoppschicht als auch als eine Diffusionssperrschicht fungieren.
  • 6 zeigt außerdem die Herstellung der dielektrischen Schicht 54, die eine dielektrische Low-k-Schicht oder eine dielektrische Nicht-Low-k-Schicht sein kann. Die dielektrische Low-k-Schicht 54 kann einen k-Wert haben, der kleiner als etwa 3,5 oder kleiner als etwa 2,5 ist. Die Materialien, die in der dielektrischen Low-k-Schicht 54 enthalten sind, können ein kohlenstoffhaltiges Material, ein Organosilicatglas, ein Porogen-haltiges Material und/oder Kombinationen davon umfassen. Die dielektrische Low-k-Schicht 54 kann mittels PECVD abgeschieden werden, aber es können auch andere allgemein übliche Abscheidungsverfahren verwendet werden, wie etwa LPCVD, ALD und Aufschleudern.
  • Die 7 bis 13 zeigen die Herstellung von Metallleitungen und Durchkontaktierungen mittels eines Dual-Damascene-Prozesses, wobei die entsprechenden Prozessschritte auch als Schritte 402 bis 412 in dem Prozessablauf angegeben sind, der in 22 gezeigt ist. Die 7 bis 11 zeigen die Herstellung von Durchkontaktierungsöffnungen und Gräben gemäß einigen beispielhaften Ausführungsformen. Es ist wohlverstanden, dass der Via-First-Approach als ein Beispiel verwendet wird, um zu zeigen, wie die Durchkontaktierungsöffnungen und Gräben hergestellt werden, aber es können auch andere Methoden verwendet werden, wie etwa Via-Last-Approach, Metallhartmasken-Methode usw., und auch das Stitching-Konzept der vorliegenden Erfindung kann für andere Methoden verwendet werden.
  • Zunächst werden in 7 Durchkontaktierungsöffnungen 56 hergestellt. Die Herstellung kann unter Verwendung des Doppelbelichtungsverfahrens realisiert werden, das in den 2A bis 4 beschrieben worden ist, wobei die Einzelheiten hier nicht wiederholt werden. Es ist wohlverstanden, dass die Durchkontaktierungsöffnungen 56 die Durchkontaktierungsöffnungen umfassen können, die zum Herstellen von Durchkontaktierungen, die der elektrischen Verbindung dienen, und zum Herstellen der Öffnungen verwendet werden, die zum Herstellen des Dichtungsrings dienen. Die Öffnungen für die elektrische Verbindung werden in anderen Teilen der Bereiche 100 und 200 als der Stitching-Zone 300 hergestellt. Andererseits reichen die Durchkontaktierungsöffnungen zum Herstellen des Dichtungsrings in die Stitching-Zone 300 (und in andere Teile der Bereiche 100 und 200) hinein, um zu gewährleisten, dass der resultierende Dichtungsring in alle dielektrischen Low-k-Schichten hinein reicht und einen nahtlosen Metallring bildet.
  • Dann wird in 8A ein Fotoresist 60 auf die IMD-Schicht 54 aufgebracht. Eine lithografische Maske 62 wird dann direkt über dem Bereich 100 platziert (der die Stitching-Zone 300 umfasst). Die lithografische Maske 62 wird dazu verwendet, die Teile des Fotoresists 60 in den Bereichen 100 und 300, jedoch nicht in dem Bereich 200 zu belichten. Dann wird eine Belichtung durchgeführt, um Teile 60A des Fotoresists 60 zu belichten, während Teile 60B nicht belichtet werden.
  • 8B zeigt eine schematische Draufsicht des Fotoresists 60 nach der Belichtung in 8A. Die belichteten Bereiche 60A umfassen weiterhin Teile 60A1 und 60A2, wobei die Teile 60A1 die Strukturen zum Herstellen des Dichtungsrings 84 in 19 definieren. Der Teil 60A2 stellt die Teile dar, die zum Herstellen von Metallleitungen in den nachfolgenden Schritten verwendet werden.
  • In 9A wird eine lithografische Maske 64 direkt über dem Bereich 200 platziert (der die Stitching-Zone 300 umfasst). Die lithografische Maske 64 wird dazu verwendet, die Teile des Fotoresists 60 in dem Bereich 200 (einschließlich 300), jedoch nicht in dem Bereich 100 zu belichten. Dann wird eine Belichtung durchgeführt, um Teile 60C des Fotoresists 60 zu belichten, während Teile 60B nicht belichtet werden. Einige der belichteten Teile 60C überlappen Teile der belichteten Teile 60A, die in dem in 8A gezeigten Schritt belichtet worden sind. Die überlappten Bereiche der Teile 60A und 60C werden nachstehend als doppelt belichtete Teile 60D bezeichnet. Die doppelt belichteten Teile 60D befinden sich in der Stitching-Zone 300.
  • 9B zeigt eine schematische Draufsicht des Fotoresists 60 nach der Belichtung in 9A. Die belichteten Bereiche 60C umfassen weiterhin Teile 60C1 und 60C2, wobei die Teile 60C 1 die Strukturen zum Herstellen des Dichtungsrings 84 in 19 definieren. Der Teil 60C2 stellt die Teile dar, die zum Herstellen von Metallleitungen in den nachfolgenden Schritten verwendet werden. Die doppelt belichteten Teile 60D umfassen auch Teile 60D1, die zum Definieren der Strukturen zum Herstellen des Dichtungsrings verwendet werden.
  • Auch hier werden die doppelt belichteten Teile 60D zweifach belichtet. Daher können die Breiten W3 der doppelt belichteten Teile 60D größer als die Breiten W4 der Teile 60A und 60C sein. Zum Beispiel stellen Strichlinien 66 die doppelt belichteten Teile 60D schematisch dar, die breiter als die Teile sein können, die nur einmal belichtet worden sind. Auch in diesem Fall können, wenn eine oder beide lithografischen Masken 62 (8A) und 64 (9A) nicht exakt ausgerichtet sind, die Teile 60A2 und 60C2 nicht zu einer Geraden ausgerichtet sein und sie können verschoben oder geneigt sein, auch wenn die Teile 60A2 und 60C2 in den lithografischen Masken als ein durchgehender geradliniger Streifen mit einer gleichbleibenden Breite definiert sind. Ebenso können die angrenzenden Teile 60A1 und 60C1 nicht zu einer Geraden ausgerichtet sein, und sie können verschoben oder geneigt sein.
  • Dann wird das Fotoresist entwickelt, und die belichteten Teile 60A und 60C, die die doppelt belichteten Bereiche 60D umfassen, werden entfernt, und die unbelichteten Teile 60B bleiben bestehen. Die resultierende Struktur ist in 10 gezeigt. In einem nachfolgenden Schritt, der in 11 gezeigt ist, wird das Fotoresist 60 als eine Ätzmaske zum Ätzen der darunter liegenden IMD-Schicht 54 verwendet, wodurch Gräben 68 in der IMD-Schicht 54 entstehen. Dann wird das Fotoresist 60 entfernt, wie in 12 gezeigt ist.
  • In 13 werden leitende Leitungen 70 und Durchkontaktierungen 72 in der IMD-Schicht 54 hergestellt. Bei einigen Ausführungsformen umfassen die Metallleitungen 70 und die Durchkontaktierungen 72 Diffusionssperrschichten 74 und ein kupferhaltiges Material 76 über den Diffusionssperrschichten 74. Die Diffusionssperrschichten 74 können Titan, Titannidrid, Tantal, Tantalnitrid oder dergleichen umfassen. Die leitenden Leitungen 70 werden nachstehend als Metallleitungen 70 bezeichnet. Die Herstellung der Metallleitungen 70 und der Durchkontaktierungen 72 kann die folgenden Schritte umfassen: Herstellen einer Schutz-Diffusionssperrschicht 74, Ausbilden des kupferhaltigen Materials 76 (zum Beispiel durch Schichtabscheidung) und anschließend Durchführen einer Planarisierung, wie etwa CMP, um überschüssige Teile der Sperrschicht 74 und des kupferhaltigen Materials 76 zu entfernen.
  • 14 zeigt die Herstellung von weiteren IMD-Schichten, Metallleitungen und Durchkontaktierungen über der IMD-Schicht 54. Zum Beispiel sind eine obere Metallschicht und die entsprechenden darunter liegenden Durchkontaktierungen gezeigt. Die obere Metallschicht umfasst Metallleitungen 78 und Durchkontaktierungen 80, die in einer IMD-Schicht 82 hergestellt werden. Die Herstellungsverfahren und Materialien für die Metallleitungen 78, die Durchkontaktierungen 80 und die IMD-Schicht 80 sind denen für die Herstellung der Metallleitungen 70, der Durchkontaktierungen 72 bzw. der IMD-Schicht 54 ähnlich und werden hier nicht wiederholt. Zwischen der IMD-Schicht 54 und der IMD-Schicht 82 kann sich eine Vielzahl von Metallschichten befinden.
  • Der Dichtungsring 84 besteht aus den Metallleitungen und den Durchkontaktierungen, die durch alle dielektrischen Schichten, die die IMD-Schichten 30 und 82 umfassen, und alle Schichten dazwischen verlaufen. Somit bildet der Dichtungsring 84 einen Vollmetallring. Anders ausgedrückt, umfasst der Dichtungsring 84 einen Teil in jeder der Metallleitungs-Ebenen und der Durchkontaktierungs-Ebenen, wobei jeder Teil einen Vollring bildet. Somit erstreckt sich der Dichtungsring 84 durch alle dielektrischen Low-k-Schichten, und er kann verhindern, dass Feuchte in die Teile der dielektrischen Low-k-Schichten eindringt, die von dem Dichtungsring 84 umgeben sind.
  • Wie in 15 gezeigt ist, wird eine Passivierungsschicht 86 über einer Verbindungsstruktur 16 hergestellt. Der entsprechende Schritt ist als Schritt 414 in dem Prozessablauf angegeben, der in 22 gezeigt ist. Die Passivierungsschicht 86 hat einen k-Wert, der größer als 3,8 ist, und wird unter Verwendung eines dielektrischen Nicht-Low-k-Materials hergestellt. Bei einigen Ausführungsformen der vorliegenden Erfindung ist die Passivierungsschicht 86 eine zusammengesetzte Schicht, die eine Siliciumoxidschicht (nicht dargestellt) und eine Siliciumnitridschicht (nicht dargestellt) über der Siliciumoxidschicht umfasst. Die Passivierungsschicht 86 kann auch aus anderen nichtporösen dielektrischen Materialien hergestellt werden, wie etwa undotiertem Silicatglas (USG), Siliciumoxidnitrid und/oder dergleichen.
  • Die Passivierungsschicht 86 wird strukturiert, und Metall-Kontaktstellen 88 werden so hergestellt, dass sie die Passivierungsschicht 86 queren, um eine Verbindung mit den Metallleitungen 78 herzustellen. Der entsprechende Schritt ist ebenfalls als Schritt 414 in dem Prozessablauf angegeben, der in 22 gezeigt ist. Die Metall-Kontaktstellen 88 können Aluminium-Kontaktstellen oder Aluminium-Kupfer-Kontaktstellen sein und werden daher nachstehend alternativ als Aluminium-Kontaktstellen 88 bezeichnet, aber es können auch andere metallische Materialien verwendet werden. Die Metall-Kontaktstellen 88 können zum Beispiel einen Aluminiumgehalt in dem Bereich von etwa 99,5 Atom-% bis etwa 99,9 Atom-% und einen Kupfergehalt in dem Bereich von etwa 0,1 Atom-% bis etwa 0,5 Atom-% haben.
  • 16 zeigt die Herstellung einer Passivierungsschicht 90 und leitender Säulen 92. Der entsprechende Schritt ist als Schritt 416 in dem Prozessablauf angegeben, der in 22 gezeigt ist. Die Passivierungsschicht 90 kann aus ähnlichen Materialien wie die Passivierungsschicht 86 hergestellt werden. Einige Teile der Passivierungsschicht 90 bedecken die Randteile der Metall-Kontaktstellen 88, und die mittleren Teile der Metall-Kontaktstellen 88 werden durch die Öffnungen in der Passivierungsschicht 86 freigelegt. Die leitenden Säulen 92 werden so hergestellt, dass sie in die Öffnungen in der Passivierungsschicht 86 hinein reichen, und sie werden mit den Metall-Kontaktstellen 88 elektrisch verbunden.
  • Die 17 bis 19 zeigen den rückseitigen Prozess, der auf der Rückseite des Wafers 2 durchgeführt wird. In 17 wird ein rückseitiges Schleifen an dem Wafer 2 durchgeführt, um die Durchkontaktierungen 21 freizulegen, wobei die Teile des Substrats 20 unter den Unterseiten der Durchkontaktierungen 21 durch das Schleifen entfernt werden. Dann werden, wie in 18 gezeigt ist, Umverteilungsleitungen (redistribution lines, RDLs) 94 hergestellt, wobei die RDLs 94 aus Kupfer, Aluminium, Nickel oder dergleichen hergestellt werden können. Die RDLs 94 werden mit den Durchkontaktierungen 21 und den darüber liegenden Metallleitungen 46 elektrisch verbunden. Für die Herstellung der RDLs 94 kann auch die Stitching-Technologie verwendet werden, die in den 2A bis 4 dargestellt ist. Der entsprechende Schritt ist als Schritt 418 in dem Prozessablauf angegeben, der in 22 gezeigt ist. Bei einigen Ausführungsformen umfasst die Herstellung der RDLs 94 das Herstellen einer Schutz-Seed-Schicht (wie etwa einer Titanschicht und einer Kupferschicht auf der Titanschicht), das Herstellen eines strukturierten Fotoresists (nicht dargestellt) und das Abscheiden der RDLs 94 in den Öffnungen in dem strukturierten Fotoresist. Das Fotoresist kann durch Doppelbelichtung belichtet werden, sodass sich die RDLs 94 von dem Bereich 100 bis in den Bereich 200 erstrecken können.
  • 19 zeigt die Herstellung von elektrischen Verbindern 98, die Lötbereiche, Metall-Kontaktstellen, Metallsäulen oder dergleichen sein können. Der entsprechende Schritt ist als Schritt 420 in dem Prozessablauf angegeben, der in 22 gezeigt ist. Bei einigen Ausführungsformen wird ein CoWoS-Prozess (CoWoS: chip on wafer on substrate; Chip auf Wafer auf Substrat) durchgeführt. In einem beispielhaften CoWoS-Prozess werden mehrere identische Bauelement-Chips (nicht dargestellt) auf die Metallsäulen 92 in dem Wafer 2 gebondet, wobei jeder der Bauelement-Chips auf einen der Chips 4 gebondet wird. Der Wafer 2 wird dann in eine Vielzahl von Chips 4 zersägt, wobei das Sägen an Ritzgräben 6 durchgeführt wird, wobei auf jeden der Chips 4 ein Bauelement-Chip (nicht dargestellt) gebondet ist. Der entsprechende Schritt ist als Schritt 422 in dem Prozessablauf angegeben, der in 22 gezeigt ist. Die resultierenden vereinzelten Chips 4 können dann auf Gehäusesubstrate (nicht dargestellt) gebondet werden, um CoWoS-Gehäuse herzustellen.
  • Die 20 und 21 zeigen schematisch die Draufsichten einiger Strukturen in dem Chip 4 gemäß einigen beispielhaften Ausführungsformen. Der Dichtungsring 84 bildet einen Vollring nahe den Rändern des resultierenden Chips 4. Der Chip 4 hat eine Fläche, die größer als die Fläche eines beispielhaften Retikelfelds ist und bei einigen beispielhaften Ausführungsformen 26 mm x 33 mm betragen kann. Daher kann der resultierende Chip 4 eine Fläche von bis zu 52 mm x 33 mm haben, wenn ein Retikelfeld von 26 mm x 33 mm verwendet wird. Der Dichtungsring 84 hat Teile in der Stitching-Zone 300 und weitere Teile in den übrigen Bereichen 100 und 200, die nicht die Stitching-Zone 300 überlappen. Die Metallleitungen 46, 70 und 78 reichen ebenfalls in den Bereich 300 hinein und weiter in die Bereiche 100 und 200. Daher werden die Metallleitungen 46, 70 und 78 und die RDLs 94 (18) verwendet, um die Metallstrukturen miteinander zu verbinden, die mittels verschiedener lithografischer Masken hergestellt werden.
  • 20 zeigt die Verbreiterung der Metallstrukturen in der Stitching-Zone 300. Bei einigen Ausführungsformen ist die Breite W2' der Teile der Metallleitungen (wie etwa der Metallleitung 78) in der Stitching-Zone 300 auf Grund der Doppelbelichtung größer als die Breiten W 1' der Teile derselben Metallleitungen in den Bereichen 100 und 200. Die Differenz (W2 - W1) kann größer als etwa 400 nm sein und kann bei einigen beispielhaften Ausführungsformen in dem Bereich von etwa 400 nm bis etwa 12.000 nm liegen. Auch der Dichtungsring 84 hat dieses Verhalten.
  • 21 zeigt die Fehljustierung der Strukturen, die unter Verwendung von zwei lithografischen Masken hergestellt werden. Zum Beispiel kann die Metallleitung 78 einen Teil 78A in dem Bereich 100, einen Teil 78B in dem Bereich 200, der die Stitching-Zone 300 nicht überlappt, und einen Teil 78C in der Stitching-Zone 300 haben. Die Teile 78A und 78B sind nicht zu der gleichen Geraden ausgerichtet. Außerdem ist der Teil 78C breiter als die Teile 78A und 78B. Der Dichtungsring 84 kann das gleiche Verhalten haben, wenn eine Fehljustierung auftritt.
  • 23 zeigt eine Schnittansicht einer CoWoS-Struktur gemäß einigen Ausführungsformen, die Chips 102 umfasst, die durch Flipflop-Bonden auf den Chip 4 gebondet sind. Die Chips 102 können Bauelement-Chips sein, die aktive Bauelemente (wie etwa Transistoren und/oder Dioden) umfassen. Der Chip 4 kann ein Interposer ohne aktive Bauelemente sein. Die Bauelement-Chips 102 umfassen Halbleitersubstrate 104 und Dichtungsringe 106. Bei einigen Ausführungsformen wird der Chip 4 unter Verwendung des Stitching-Verfahrens hergestellt, und er hat daher Stitching-Zonen 300, wie in 20 oder 21 gezeigt ist. Die Bauelement-Chips 102 werden nicht durch Stitching hergestellt. Daher können die vier Seiten der Dichtungsringe 106 jeweils die gleiche Breite haben, und es ist keine Stitching-Zone zu erkennen. Die Bauelement-Chips 102 können in ein Verkappungsmaterial 110 eingekapselt werden, das eine Formmasse oder eine Formunterfüllung sein kann. Der Interposer 4 wird dann auf einem Gehäusesubstrat 108 hergestellt, das ein Mehrschichtsubstrat oder ein zusammengesetztes Substrat sein kann. Zum Bonden werden Lötbereiche 112, 114 und 116 verwendet.
  • Die Ausführungsformen der vorliegenden Erfindung haben einige Vorzüge. Durch Stitching werden die leitenden Strukturen, die von den beiden lithografischen Masken definiert werden, zusammengeheftet, und somit kann der resultierende Chip größer als die maximale Größe sein, die von dem Retikelfeld definiert wird.
  • Die Erfindung wird durch den Hauptanspruch und den nebengeordneten Patentanspruch definiert. Die abhängigen Patentansprüche geben weitere Ausführungsformen der Erfindung wieder.

Claims (12)

  1. Verfahren zur Bearbeitung eines Wafers, der einen ersten Bereich (100), einen zweiten Bereich (200) und eine Stitching-Zone (300), in der sich der erste Bereich (100) und der zweite Bereich (200) überlappen, aufweist, wobei die Stitching-Zone (300) ein Streifen mit gleichbleibender Breite ist und wobei der erste Bereich (100) und der zweite Bereich (200) in einer ersten Richtung relativ zueinander angeordnet sind, das Verfahren aufweisend die folgenden Schritte: Durchführen (404) einer ersten Belichtung an einem Fotoresist (32; 60) unter Verwendung einer ersten lithografischen Maske (34; 62), die über dem ersten Bereich (100) platziert wird, wobei die erste lithografische Maske (34; 62) einen ersten Teil des Fotoresists (32; 60) bedeckt und der erste Teil des Fotoresists (32; 60) einen ersten Streifenteil (32A; 60A) aufweist, der bei der ersten Belichtung belichtet wird und sich im Wesentlichen parallel zu der ersten Richtung bis zu einem Rand der Stitching-Zone (300) erstreckt, der innerhalb des zweiten Bereichs (200) liegt; Durchführen (406) einer zweiten Belichtung an dem Fotoresist (32; 60) unter Verwendung einer zweiten lithografischen Maske (40; 64), die über dem zweiten Bereich (200) platziert wird, wobei die zweite lithografische Maske (40; 64) einen zweiten Teil des Fotoresists (32; 60) bedeckt und der zweite Teil des Fotoresists (32; 60) einen zweiten Streifenteil (32C; 60C) aufweist, der bei der zweiten Belichtung belichtet wird und sich im Wesentlichen parallel zu der ersten Richtung bis zu einem Rand der Stitching-Zone (300) erstreckt, der innerhalb des ersten Bereichs liegt, wobei der erste Streifenteil (32A; 60A) und der zweite Streifenteil (32C; 60C) einen überlappenden Teil (32D, 60D) in der Stitching-Zone (300) haben, der doppelt belichtet wird, und wobei eine Gesamtbreite der überlappenden ersten und zweiten Streifenteile größer ist als die Breite des ersten Streifenteils und die Breite des zweiten Streifenteils; Entwickeln (408) des Fotoresists (32; 60), um den ersten Streifenteil (32A; 60A) und den zweiten Streifenteil (32C; 60C) zu entfernen; Ätzen (410) einer dielektrischen Schicht (30), die sich unter dem Fotoresist (32; 60) befindet, um einen Graben (44; 68) herzustellen, wobei sich der Graben (44, 68) durchgehend über die Stitching-Zone (300) von dem ersten Bereich (100) zu dem zweiten Bereich (200) erstreckt; und Füllen (412) des Grabens (44; 68) mit einer leitenden Struktur (46; 70), wobei sich die leitende Struktur (46, 70) durchgehend über die Stitching-Zone (300) von dem ersten Bereich (100) zu dem zweiten Bereich (200) erstreckt.
  2. Verfahren nach Anspruch 1, wobei beim Belichten des ersten Teils des Fotoresists (32; 60) ein Teil des zweiten Teils des Fotoresists (32; 60) nicht belichtet wird und beim Belichten des zweiten Teils des Fotoresists (32; 60) ein Teil des ersten Teils des Fotoresists (32; 60) nicht belichtet wird.
  3. Verfahren nach Anspruch 1 oder 2, wobei die leitende Struktur (46; 70) eine Metallleitung umfasst, die für eine elektrische Verbindung verwendet wird.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei die leitende Struktur (46; 70) einen Vollmetallring umfasst und der Vollmetallring ein Teil eines Dichtungsrings ist.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei die dielektrische Schicht (30) ein dielektrisches Low-k-Material umfasst.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Füllen des Grabens (44; 68) mit der leitenden Struktur (46; 70) ein Teil eines Dual-Damascene-Prozesses ist.
  7. Verfahren nach einem der Ansprüche 1 bis 5, wobei das Füllen des Grabens (44; 68) mit der leitenden Struktur (46; 70) ein Teil eines Single-Damascene-Prozesses ist.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei der überlappende Teil (32D, 60D) eine Länge in der ersten Richtung aufweist, die größer als die Gesamtbreite der sich überlappenden ersten und zweiten Streifenteile ist.
  9. Verfahren mit den folgenden Schritten: Herstellen einer dielektrischen Schicht (30), die einen ersten Bereich (100), einen zweiten Bereich (200) und eine Stitching-Zone (300), in der sich der erste Bereich (100) und der zweite Bereich (200) überlappen, aufweist, wobei die Stitching-Zone (300) ein Streifen mit gleichbleibender Breite ist und wobei der erste Bereich (100) und der zweite Bereich (200) in einer ersten Richtung relativ zueinander angeordnet sind; Herstellen (402) eines Fotoresists (32; 60) über der dielektrischen Schicht (30), wobei das Fotoresist (32; 60) einen ersten Teil, einen zweiten Teil und einen dritten Teil aufweist, die den ersten Bereich (100), den zweiten Bereich (200) und die Stitching-Zone (300) der dielektrischen Schicht (30) überlappen; Durchführen (404) einer ersten Belichtung an dem ersten Teil und dem dritten Teil des Fotoresists (32; 60) mit einer ersten lithografischen Maske (34; 62), während der zweite Teil des Fotoresists (32; 60) nicht belichtet wird, wobei ein erster Streifenteil belichtet wird, der im Wesentlichen parallel zu der ersten Richtung verläuft und den dritten Teil des Fotoresists (32; 60) durchquert; Durchführen (406) einer zweiten Belichtung an dem zweiten Teil und dem dritten Teil des Fotoresists (32; 60) mit einer zweiten lithografischen Maske (40; 64), während der erste Teil des Fotoresists (32; 60) nicht belichtet wird, wobei ein zweiter Streifenteil belichtet wird, der im Wesentlichen parallel zu der ersten Richtung verläuft und den dritten Teil des Fotoresists (32; 60) durchquert, und wobei der erste Streifenteil und der zweite Streifenteil in dem dritten Teil des Fotoresists überlappen, sodass eine Gesamtbreite der überlappenden ersten und zweiten Streifenteile größer ist als die Breite des ersten Streifenteils und die Breite des zweiten Streifenteils; Entwickeln (408) des Fotoresists (32; 60), um ein strukturiertes Fotoresist (32; 60) herzustellen; Ätzen (410) der dielektrischen Schicht (30) unter Verwendung des strukturierten Fotoresists (32; 60) als eine Ätzmaske, wobei ein Graben (44; 68) so hergestellt wird, dass er durchgehend in den ersten Bereich (110), den zweiten Bereich (200) und die Stitching-Zone (300) der dielektrischen Schicht (30) hinein reicht; und Füllen (412) des Grabens (44; 68) mit einem leitenden Material (46: 70), um eine leitende Struktur herzustellen, wobei sich die leitende Struktur (46, 70) durchgehend über die Stitching-Zone (300) von dem ersten Bereich (100) zu dem zweiten Bereich (200) erstreckt.
  10. Verfahren nach Anspruch 9, wobei die leitende Struktur (46; 70) eine Metallleitung umfasst.
  11. Verfahren nach Anspruch 9 oder 10, wobei die leitende Struktur (46; 70) einen Metallleitungsring und einen Durchkontaktierungsring umfasst, der sich unter dem Metallleitungsring befindet und mit diesem verbunden ist.
  12. Verfahren nach einem der Ansprüche 9 bis 11, wobei der erste und der zweite Streifenteil in dem dritten Teil des Fotoresists über eine Länge hinweg überlappen, die größer als die Gesamtbreite der sich überlappenden ersten und zweiten Streifenteile ist.
DE102016101777.9A 2016-01-26 2016-02-02 Verfahren zur Bearbeitung eines Wafers und Verfahren Active DE102016101777B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/006,838 US9741669B2 (en) 2016-01-26 2016-01-26 Forming large chips through stitching
US15/006,838 2016-01-26

Publications (2)

Publication Number Publication Date
DE102016101777A1 DE102016101777A1 (de) 2017-07-27
DE102016101777B4 true DE102016101777B4 (de) 2023-06-15

Family

ID=59295795

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016101777.9A Active DE102016101777B4 (de) 2016-01-26 2016-02-02 Verfahren zur Bearbeitung eines Wafers und Verfahren

Country Status (4)

Country Link
US (4) US9741669B2 (de)
CN (1) CN106997153B (de)
DE (1) DE102016101777B4 (de)
TW (1) TWI638444B (de)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102018103061A1 (de) * 2017-08-31 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Maskenanrdnung und Verfahren zum Herstellen eines Chip-Package
US11107680B2 (en) * 2017-08-31 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Mask assembly and method for fabricating a chip package
US11101140B2 (en) 2017-11-10 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10510676B2 (en) * 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for aligned stitching
DE102019118612B4 (de) 2018-09-28 2024-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Lithographieprozess für halbleiterpackaging und daraus entstehende strukturen
US11158600B2 (en) * 2018-09-28 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process for semiconductor packaging and structures resulting therefrom
CN110471259B (zh) * 2019-06-19 2021-12-14 上海华力微电子有限公司 芯片拼接方法
US10978404B2 (en) 2019-08-22 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for fabricating semiconductor structure
KR20210047062A (ko) 2019-10-21 2021-04-29 삼성전자주식회사 인터포저 및 그 제조방법
US11735515B2 (en) * 2020-06-30 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for low-cost, high-bandwidth monolithic system integration beyond reticle limit
US11996371B2 (en) * 2021-02-12 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Chiplet interposer
US20230145953A1 (en) * 2021-11-08 2023-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Reduction of cracks in passivation layer
WO2023200676A1 (en) * 2022-04-12 2023-10-19 Applied Materials, Inc. Lithography stitching

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5702868A (en) 1993-04-13 1997-12-30 Astarix Inc. High resolution mask programmable via selected by low resolution photomasking
US6150840A (en) 1997-04-09 2000-11-21 Altera Corporation Programmable reticle stitching
US20040150070A1 (en) 2003-02-03 2004-08-05 Nec Electronics Corporation Semiconductor device and method for manufacturing the same
US20080251923A1 (en) 2007-04-10 2008-10-16 Chien-Jung Wang Seal ring structures with reduced moisture-induced reliability degradation

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4109944B2 (ja) * 2002-09-20 2008-07-02 キヤノン株式会社 固体撮像装置の製造方法
KR100925984B1 (ko) * 2004-10-26 2009-11-10 엘지디스플레이 주식회사 액정표시장치 제조방법
US7564115B2 (en) 2007-05-16 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tapered through-silicon via structure
US7973413B2 (en) 2007-08-24 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via for semiconductor device
US8227902B2 (en) 2007-11-26 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structures for preventing cross-talk between through-silicon vias and integrated circuits
US7843064B2 (en) 2007-12-21 2010-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and process for the formation of TSVs
US8334582B2 (en) * 2008-06-26 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Protective seal ring for preventing die-saw induced stress
US8278152B2 (en) 2008-09-08 2012-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding process for CMOS image sensor
US7825024B2 (en) 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US8158456B2 (en) 2008-12-05 2012-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming stacked dies
US8183578B2 (en) 2010-03-02 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Double flip-chip LED package components
US8183579B2 (en) 2010-03-02 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. LED flip-chip package structure with dummy bumps
US8426961B2 (en) 2010-06-25 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded 3D interposer structure
US8581418B2 (en) 2010-07-21 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-die stacking using bumps with different sizes
US8105875B1 (en) 2010-10-14 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Approach for bonding dies onto interposers
TWI467673B (zh) * 2011-06-09 2015-01-01 Chunghwa Picture Tubes Ltd 配線裝置與顯示器
US8803316B2 (en) 2011-12-06 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. TSV structures and methods for forming the same
US8803292B2 (en) 2012-04-27 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias and methods for forming the same
US9443783B2 (en) 2012-06-27 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC stacking device and method of manufacture
US8802504B1 (en) 2013-03-14 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US9299649B2 (en) 2013-02-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US8993380B2 (en) 2013-03-08 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D IC package
CN103412468B (zh) * 2013-08-27 2015-03-04 中国电子科技集团公司第四十四研究所 光刻大尺寸ccd芯片拼接曝光方法
JP2017055033A (ja) * 2015-09-11 2017-03-16 株式会社東芝 半導体装置、半導体チップ及び半導体装置の製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5702868A (en) 1993-04-13 1997-12-30 Astarix Inc. High resolution mask programmable via selected by low resolution photomasking
US6150840A (en) 1997-04-09 2000-11-21 Altera Corporation Programmable reticle stitching
US20040150070A1 (en) 2003-02-03 2004-08-05 Nec Electronics Corporation Semiconductor device and method for manufacturing the same
US20080251923A1 (en) 2007-04-10 2008-10-16 Chien-Jung Wang Seal ring structures with reduced moisture-induced reliability degradation

Also Published As

Publication number Publication date
TW201737458A (zh) 2017-10-16
CN106997153B (zh) 2019-09-24
US11444038B2 (en) 2022-09-13
US20170213798A1 (en) 2017-07-27
US20200111755A1 (en) 2020-04-09
US9741669B2 (en) 2017-08-22
TWI638444B (zh) 2018-10-11
US20170373022A1 (en) 2017-12-28
CN106997153A (zh) 2017-08-01
US20220359433A1 (en) 2022-11-10
DE102016101777A1 (de) 2017-07-27
US10515906B2 (en) 2019-12-24

Similar Documents

Publication Publication Date Title
DE102016101777B4 (de) Verfahren zur Bearbeitung eines Wafers und Verfahren
DE102016100270B4 (de) Bondstrukturen und verfahren zu ihrer herstellung
DE102016100011B4 (de) Ein Chip-Package
DE102019117763B4 (de) Seitenwandschutz für metallkontakthügel
DE102018124695A1 (de) Integrieren von Passivvorrichtungen in Package-Strukturen
DE102019116993A1 (de) Opufferspeicherdesign für package-integration
DE102018102719A1 (de) Ausbilden von Metallbonds mit Aussparungen
DE102016115000B4 (de) Dreidimensionale integrierte Schaltungs-(3DIC)-Vorrichtung und Verfahren zu deren Herstellung und ein Verfahren zum Bonden von Wafern mittels Hybrid-Bonden
DE102017117815A1 (de) Halbleitergehäuse und herstellungsverfahren
DE102020111391A1 (de) Rückseitenkondensatortechniken
DE102016100012B4 (de) Verfahren zur herstellung von bondstrukturen
DE102018117689A1 (de) Unterstützen von Info-Packages zum Reduzieren von Durchbiegung
DE102019118362A1 (de) Strukturieren einer polymerschicht zum reduzieren von spannung
DE102021103804A1 (de) Passive Struktur auf SoIC
DE102021110267A1 (de) Deep-Partition-Leistungsabgabe mit Tiefgrabenkondensator
DE102019125790A1 (de) Integriertes schaltkreis-package und verfahren
DE102021112653A1 (de) Halbleiter-Package und Verfahren zur Herstellung eines Halbleiter-Packages
DE102020132089B4 (de) Passivierungsstruktur mit erhöhter dicke für metallpads und verfahren zu ihrer herstellung
DE102018108932A1 (de) Info-Struktur und Verfahren zu deren Ausbildung
DE102020135142A1 (de) Tsv-struktur mit mehreren belägen und verfahren zu deren herstellung
DE102018123499A1 (de) Prozesssteuerung für Package-Herstellung
DE102022100834A1 (de) Gestapelte dummy-strukturen, die tsvs umgeben, und deren herstellungsverfahren
DE102020119947B4 (de) Struktur und verfahren zum bilden eines integrierten mim-kondensators mit hoher dichte
DE102022108359A1 (de) Halbleiterbauelemente und deren herstellungsverfahren
DE102021108156A1 (de) Halbleiter-package und verfahren zur bildung derselben

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final