DE102023100773A1 - Integriertes schaltungs-package und verfahren - Google Patents

Integriertes schaltungs-package und verfahren Download PDF

Info

Publication number
DE102023100773A1
DE102023100773A1 DE102023100773.4A DE102023100773A DE102023100773A1 DE 102023100773 A1 DE102023100773 A1 DE 102023100773A1 DE 102023100773 A DE102023100773 A DE 102023100773A DE 102023100773 A1 DE102023100773 A1 DE 102023100773A1
Authority
DE
Germany
Prior art keywords
interposer
metallization
integrated circuit
insulating material
redistribution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102023100773.4A
Other languages
English (en)
Inventor
Hsien-Pin Hu
Shang-Yun Hou
Shih-Wen Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102023100773A1 publication Critical patent/DE102023100773A1/de
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06579TAB carriers; beam leads

Abstract

Ein Vorrichtungs-Package weist einen Interposer auf. Der Interposer weist auf: ein Halbleitersubstrat; erste Durchkontaktierungen, die sich durch das Halbleitersubstrat erstrecken; eine Interconnect-Struktur, aufweisend: eine erste Metallisierungsstruktur in einem anorganischen Isoliermaterial; und einen Passivierungsfilm über der ersten Metallisierungsstruktur; und eine erste Umverteilungsstruktur über dem Passivierungsfilm. Die erste Umverteilungsstruktur weist eine zweite Metallisierungsstruktur in einem organischen Isoliermaterial auf. Das Vorrichtungs-Package weist ferner einen integrierten Schaltungs-Die über dem Interposer und an diesem befestigt; und ein erstes Verkapselungsmaterial um den integrierten Schaltungs-Die herum auf.

Description

  • PRIORITÄT
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung Nr. 63/268 175 , eingereicht am 17. Februar 2022, die hiermit durch Bezugnahme aufgenommen wird.
  • HINTERGRUND
  • Die Halbleiterbranche hat aufgrund fortlaufender Verbesserungen der Integrationsdichte einer Vielzahl von elektronischen Komponenten (z. B. Transistoren, Dioden, Widerständen, Kondensatoren usw.) ein schnelles Wachstum erlebt. Die Verbesserung der Integrationsdichte stammte größtenteils von einer wiederholten Verkleinerung der minimalen Merkmalsgröße, wodurch mehr Komponenten in einer gegebenen Fläche integriert werden konnten. Mit der wachsenden Nachfrage nach immer kleineren elektronischen Vorrichtungen ist ein Bedarf an kleineren und kreativeren Verpackungstechniken für Halbleiter-Dies entstanden. Ein Beispiel für solche Verpackungssysteme ist die Package-on-Package-Technologie (PoP-Technologie). In einer PoP-Vorrichtung wird ein oberes Halbleiter-Package auf einem unteren Halbleiter-Package gestapelt, was für ein hohes Maß an Integration und Komponentendichte sorgt. Die PoP-Technologie ermöglicht im Allgemeinen die Herstellung von Halbleitervorrichtungen mit verbesserter Funktionalität und geringem Platzbedarf auf einer Leiterplatte (PCB).
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Zeichnungen gelesen wird. Man beachte, dass gemäß dem üblichen Vorgehen in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert sein.
    • Die 1 bis 6 zeigen Querschnittsansichten der Herstellung eines Interposer gemäß einigen Ausführungsformen.
    • Die 7 bis 11 zeigen Querschnittsansichten der Herstellung eines Interposer gemäß einigen Ausführungsformen.
    • 12 zeigt eine Querschnittsansicht eines Interposer gemäß einigen Ausführungsformen.
    • Die 13 bis 18 zeigen Querschnittsansichten der Herstellung eines Halbleiter-Package mit einem Interposer gemäß einigen Ausführungsformen.
    • Die 19 bis 25 zeigen Querschnittsansichten der Herstellung eines Halbleiter-Package mit einem Interposer gemäß einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Merkmale der Erfindung zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Beispielsweise kann das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet sein können, so dass das erste und das zweite Merkmal nicht in direktem Kontakt stehen müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und erzwingt an sich keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Weiter können räumlich relative Begriffe, wie „unten“, „unter“, „unterer“, „über“, „oberer“ und ähnliche, hier der Einfachheit der Beschreibung halber verwendet werden, um die Beziehung eines Elements oder Merkmals mit einem oder mehreren anderen Elementen oder Merkmalen zu beschreiben, wie sie in den Figuren gezeigt sind. Die räumlich relativen Begriffe sollen verschiedene Ausrichtungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Ausrichtung umfassen. Die Vorrichtung kann anders (um 90 Grad gedreht oder in einer anderen Ausrichtung) ausgerichtet sein und die räumlich relativen Begriffe, die hier verwendet werden, können auch dahingehend interpretiert werden.
  • Gemäß einigen Ausführungsformen können Halbleitervorrichtungen miteinander gebondet werden, um ein Chip-auf-Wafer-auf-Substrat-Package (CoWoS™-Package) mit mehreren Dies herzustellen, die an einen Interposer gebondet sind. Der Interposer kann Metallisierungsschichten aufweisen, die in strukturierten Photoresistmasken plattiert werden, die nachfolgend durch organische Isolierschichten ersetzt werden. Optional kann der Interposer ferner zusätzliche Metallisierungsschichten aufweisen, die in anorganischen Isoliermaterialien durch Damascene-Prozesse ausgebildet werden. Die Verwendung von Metallisierungsschichten in organischen Isolierschichten sorgt für eine verbesserte Signalintegrität und/oder Leistungsintegrität bei hohen Betriebsfrequenzen (z. B. höher als 20 GHz). Ferner können Ausführungsformen mit Metallisierungsschichten, die sowohl in organischen als auch in anorganischen Isoliermaterialien ausgebildet werden, für verbesserte Flexibilität in Bezug auf Verarbeitung und Verpackungs-Design sorgen. Obwohl Ausführungsformen hierin in einem bestimmten Kontext beschrieben sind, nämlich einem CoWoS-Verpackungsschema, können Ausführungsformen auch für andere Verpackungsschemata verwendet werden.
  • Die 1 bis 6 sind Querschnittsansichten von Zwischenschritten eines Verfahrens zum Ausbilden eines Interposer 200 (siehe 6) gemäß einigen Ausführungsformen. Der Interposer kann Metallisierungsstrukturen in organischen Isolierschichten aufweisen, die für eine verbesserte Signalintegrität/Leistungsintegrität bei hohen Betriebsfrequenzen sorgen.
  • Unter Bezugnahme auf 1 ist ein Interposer 200 in einer Zwischenstufe der Verarbeitung gezeigt. Der Interposer 200 kann als Teil eines größeren Wafers ausgebildet werden. Der Interposer 200 kann gemäß geeigneten Herstellungsprozessen zum Ausbilden von integrierten Schaltungen in dem Interposer 200 verarbeitet sein. Beispielsweise kann der Interposer 200 ein Halbleitersubstrat 202 wie z. B. Silizium, dotiert oder undotiert, oder eine aktive Schicht eines Halbleiter-auf-Isolator-Substrats (SOI-Substrats) aufweisen. Das Halbleitersubstrat 202 kann andere Halbleitermaterialien wie Germanium; einen Verbindungshalbleiter wie beispielsweise Siliziumkarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter wie beispielsweise SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon enthalten. Es können auch andere Substrate wie Mehrschicht- oder Gradientsubstrate verwendet werden.
  • Aktive und/oder passive Vorrichtungen wie Transistoren, Dioden, Kondensatoren, Widerstände, Induktoren usw. können in und/oder auf dem Halbleitersubstrat 202 ausgebildet sein. Beispielsweise kann der Interposer 200 einen integrierten Tiefgrabenkondensator (iCAP) 204A aufweisen, der Abschnitte, die auf einer oberen Fläche des Halbleitersubstrats 202 angeordnet sind, sowie Abschnitte aufweist, die sich in das Halbleitersubstrat 202 erstrecken. Andere Arten von Kondensatoren wie beispielsweise Tiefgrabenkondensatoren (DTC) oder dergleichen sind ebenfalls möglich. In einigen Ausführungsformen ist der Interposer 200 frei von jeglichen aktiven Vorrichtungen und nur passive Vorrichtungen sind in und/oder auf dem Halbleitersubstrat 202 ausgebildet. In weiteren Ausführungsformen kann der Interposer 200 frei von sowohl aktiven als auch passiven Vorrichtungen sein.
  • Die Vorrichtungen können durch eine Interconnect-Struktur 206 verbunden sein, die zum Beispiel Metallisierungsstrukturen 206A in einer oder mehreren dielektrischen Schichten 206B (auch als Isoliermaterialschichten 206B bezeichnet) auf dem Halbleitersubstrat 202 aufweist. Die dielektrischen Schichten 206B können aus anorganischen Materialien ausgebildet sein, die durch CVD-Prozesse abgeschieden und unter Verwendung von Damascene-Prozessen (z. B. Einzel-Damascene-Prozessen, Dual-Damascene-Prozessen oder dergleichen) strukturiert werden. Als Beispiel für einen Damascene-Prozess kann eine dielektrische Schicht 206B abgeschieden werden, und Öffnungen können in der dielektrischen Schicht 206B (z. B. durch Photolithographie und/oder Ätzen) strukturiert werden. Nachfolgend werden die Öffnungen in der dielektrischen Schicht 206B mit einem leitfähigen Material gefüllt, und überschüssiges leitfähiges Material kann durch einen Planarisierungsprozess (z. B. chemisch-mechanisches Polieren (CMP) oder dergleichen) entfernt werden, so dass eine Metallisierungsstruktur 206A ausgebildet wird. Die Interconnect-Strukturen 206 verbinden die Vorrichtungen auf dem Substrat 202 elektrisch, so dass eine oder mehrere integrierte Schaltungen ausgebildet sind. In einigen Ausführungsformen können zusätzliche passive Vorrichtungen 204B (z. B. Kondensatoren, Widerstände, Induktoren usw.) in der Interconnect-Struktur 206 ausgebildet sein. Beispielsweise kann die Interconnect-Struktur 206 einen Metall-Isolator-Metall-Kondensator (MIM-Kondensator) oder dergleichen aufweisen. Obwohl 1 die Interconnect-Struktur 206 mit zwei Schichten von Metallisierungsstrukturen 206A zeigt, ziehen Ausführungsformen in Betracht, dass die Interconnect-Struktur 206 eine beliebige Anzahl von Metallisierungsstrukturschichten aufweist, etwa zwischen einer Schicht und fünf Schichten von Metallisierungsstrukturen 206A.
  • Der Interposer 200 weist ferner Durchkontaktierungen 201 auf, die elektrisch mit den Metallisierungsstrukturen 206A in der Interconnect-Struktur 206 verbunden sein können. Die Durchkontaktierungen 201 können ein leitfähiges Material (z. B. Kupfer oder dergleichen) enthalten und können sich von einer Metallisierungsstruktur 206A in das Substrat 202 erstrecken. Eine oder mehrere isolierende Sperrschichten 203 können um zumindest Teile der Durchkontaktierungen 201 herum in dem Substrat 202 ausgebildet sein. Die isolierenden Sperrschichten 203 können zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder dergleichen enthalten und können verwendet werden, um die Durchkontaktierungen 201 physisch und elektrisch voneinander und vom Substrat 202 zu isolieren. In nachfolgenden Verarbeitungsschritten kann das Substrat 202 ausgedünnt werden, so dass die Durchkontaktierungen 201 freigelegt werden (siehe 15). Nach dem Ausdünnen sorgen die Durchkontaktierungen 201 für eine elektrische Verbindung von einer Rückseite des Substrats 202 zu einer Vorderseite des Substrats 202. In verschiedenen Ausführungsformen kann die Rückseite des Substrats 202 eine Seite des Substrats 202 entgegengesetzt zu den Vorrichtungen und der Interconnect-Struktur 206 bezeichnen, während die Vorderseite des Substrats 202 eine Seite des Substrats 202 bezeichnen kann, auf der die Vorrichtungen und die Interconnect-Struktur 206 angeordnet sind.
  • In einer Ausführungsform weist der Interposer 200 ferner Kontaktpads 208 auf, die ein Herstellen von Verbindungen mit der Interconnect-Struktur 206 und den Vorrichtungen auf dem Substrat 202 ermöglichen. Die Kontaktpads 208 können Kupfer, Aluminium (z. B. 28K-Aluminium) oder ein anderes leitfähiges Material enthalten. Die Kontaktpads 208 sind elektrisch mit den Metallisierungsstrukturen 206A der Interconnect-Struktur 206 verbunden. Ein oder mehrere Passivierungsfilme können auf der Interconnect-Struktur 206 und den Kontaktpads 208 angeordnet sein. Die Interconnect-Struktur 206 kann beispielsweise Passivierungsfilme 210 und 212 aufweisen. Die Passivierungsfilme 210 und 212 können jeweils ein anorganisches Material enthalten, etwa Siliziumoxid, Siliziumoxynitrid, Siliziumnitrid oder dergleichen. In einigen Ausführungsformen können die Materialien der Passivierungsfilme 210 und 212 sich gleichen oder voneinander unterscheiden. Ferner können die Materialien der Passivierungsfilme 210 und 212 den Materialien der dielektrischen Schichten 206B gleichen oder sich von ihnen unterscheiden. In einigen Ausführungsformen erstrecken sich die Kontaktpads 208 über Ränder des Passivierungsfilms 210 und bedecken diese, und der Passivierungsfilm 212 erstreckt sich über Ränder der Kontaktpads 208 und bedeckt diese.
  • Der Interposer 200 kann als Teil eines größeren Wafers ausgebildet sein (z. B. mit einem weiteren Interposer 200 verbunden sein). In einigen Ausführungsformen können die Interposer 200 nach dem Packaging voneinander getrennt werden. Beispielsweise kann der Interposer 200 verpackt werden, während er noch als Teil eines Wafers verbunden ist. In einigen Ausführungsformen kann ein Chipsonden-Test (CP-Test) auf jeden der Interposer 200 (z. B. über die Kontaktpads 208) angewendet werden. Der CP-Test prüft die elektrische Funktionalität des Interposer 200, und Dies, die die CP-Tests bestehen, werden als bekannt gute Dies (KGDs) bezeichnet. Interposer 200, die die CP-Tests nicht bestehen, werden verworfen oder repariert. Auf diese Weise werden KGDs zum Verpacken geliefert, was Ausschuss und Kosten des Verpackens eines fehlerhaften Dies verringert.
  • In 2 werden leitfähige Verbinder 214 auf den Kontaktpads 208 ausgebildet. Die leitfähigen Verbinder 214 können ein Metall wie Kupfer, Titan, Wolfram, Aluminium oder dergleichen enthalten. Als Beispiel zum Ausbilden der leitfähigen Verbinder 214 kann eine Keimschicht (nicht getrennt gezeigt) auf freiliegenden Oberflächen der Passivierungsschicht 212, Seitenwänden der Passivierungsschicht 210 und oberen Flächen der Kontaktpads 208 abgeschieden werden. In einigen Ausführungsformen ist die Keimschicht eine Metallschicht, die aus einer einzelnen Schicht oder einer Verbundschicht bestehen kann, die mehrere Teilschichten aufweist, die aus verschiedenen Materialien ausgebildet sind. In einigen Ausführungsformen weist die Keimschicht eine Titanschicht und eine Kupferschicht über der Titanschicht auf. Die Keimschicht kann beispielsweise unter Verwendung von CVD, PVD oder dergleichen ausgebildet werden. Ein Photoresist (nicht gezeigt) wird auf der Keimschicht ausgebildet und strukturiert. Der Photoresist kann durch Rotationsbeschichtung oder dergleichen ausgebildet werden und zum Strukturieren Licht ausgesetzt werden. Die Struktur des Photoresist entspricht den leitfähigen Verbindern 214. Die Strukturierung bildet eine oder mehrere Öffnungen so durch den Photoresist aus, dass die Keimschicht freigelegt wird. Ein leitfähiges Material wird durch Plattieren, beispielsweise Elektroplattieren oder stromloses Plattieren oder dergleichen, in den Öffnungen des Photoresist und auf den freigelegten Abschnitten der Keimschicht ausgebildet. Dann wird der Photoresist durch einen geeigneten Veraschungs- oder Ablöseprozess entfernt, beispielsweise unter Verwendung eines Sauerstoffplasmas oder dergleichen. Überschüssige Abschnitte der Keimschicht (z. B. Abschnitte, die nicht von dem leitfähigen Material bedeckt sind) können durch einen Ätzprozess entfernt werden, und verbleibende Abschnitte der Keimschicht und des leitfähigen Materials entsprechen den leitfähigen Verbindern 214.
  • In 3 wird ein Isoliermaterial 216 über den leitfähigen Verbindern 214 abgeschieden. In einigen Ausführungsformen ist das Isoliermaterial 216 aus einem organischen Material wie etwa einem Polymer ausgebildet, das aus einem lichtempfindlichen Material wie PBO, Polyimid, BCB oder dergleichen bestehen kann, das unter Verwendung einer Lithographiemaske strukturiert werden kann. In einigen Ausführungsformen hat das Isoliermaterial 216 eine relativ niedrige Dielektrizitätskonstante und einen relativ niedrigen Verlustfaktor. Beispielsweise kann eine Dielektrizitätskonstante des Isoliermaterials 216 niedriger als etwa 3,5 sein, und ein Verlustfaktor des Isoliermaterials 216 kann niedriger als 0,03 sein. Das Isoliermaterial 216 kann einen niedrigeren Verlustfaktor als ein Material der dielektrischen Schichten 206B aufweisen. Das Isoliermaterial 216 kann durch Rotationsbeschichtung, Laminierung, CVD oder dergleichen oder eine Kombination davon ausgebildet werden. Das Isoliermaterial 216 kann die leitfähigen Verbinder 214 bedecken, so dass eine obere Fläche des Isoliermaterials 216 über den oberen Flächen der leitfähigen Verbinder 214 liegt.
  • In 4 wird das Isoliermaterial 216 dann strukturiert, um Öffnungen auszubilden, die Abschnitte der leitfähigen Verbinder 214 freilegen. Das Strukturieren kann durch einen geeigneten Prozess erreicht werden, wie beispielsweise durch Aussetzen des Isoliermaterials 216 mit Licht, wenn das Isoliermaterial 216 ein lichtempfindliches Material ist, oder durch Ätzen unter Verwendung beispielsweise eines anisotropen Ätzens. Wenn das Isoliermaterial 216 ein lichtempfindliches Material ist, kann das Isoliermaterial 216 nach der Belichtung entwickelt werden.
  • Dann wird die Metallisierungsstruktur 218 ausgebildet. Die Metallisierungsstruktur 218 weist Abschnitte auf der Hauptoberfläche des Isoliermaterials 216 auf, die sich entlang dieser erstrecken. Die Metallisierungsstruktur 218 weist ferner Abschnitte auf, die sich so durch das Isoliermaterial 216 erstrecken, dass sie mit den leitfähigen Verbindern 214 physisch und elektrisch verbunden sind. Die Metallisierungsstruktur 218 kann mit einem anderen Prozess als den Damascene-Prozessen ausgebildet werden, die zum Ausbilden der Metallisierungsstrukturen 206A in der Interconnect-Struktur 206 verwendet wurden. Als Beispiel zum Ausbilden der Metallisierungsstruktur 218 wird eine Keimschicht über dem Isoliermaterial 216 und in den Öffnungen ausgebildet, die sich durch das Isoliermaterial 216 erstrecken. In einigen Ausführungsformen ist die Keimschicht eine Metallschicht, die aus einer einzelnen Schicht oder einer Verbundschicht bestehen kann, die mehrere Teilschichten aufweist, die aus verschiedenen Materialien ausgebildet sind. In einigen Ausführungsformen weist die Keimschicht eine Titanschicht und eine Kupferschicht über der Titanschicht auf. Die Keimschicht kann beispielsweise unter Verwendung von PVD oder dergleichen ausgebildet werden. Ein Photoresist wird dann auf der Keimschicht ausgebildet und strukturiert. Der Photoresist kann durch Rotationsbeschichtung oder dergleichen ausgebildet werden und zum Strukturieren Licht ausgesetzt werden. Die Struktur des Photoresist entspricht der Metallisierungsstruktur 218. Das Strukturieren bildet Öffnungen durch den Photoresist aus, die die Keimschicht freilegen. Ein leitfähiges Material wird dann in den Öffnungen des Photoresist und auf den freiliegenden Abschnitten der Keimschicht ausgebildet. Das leitfähige Material kann durch Plattieren ausgebildet werden, beispielsweise Elektroplattieren oder stromloses Plattieren oder dergleichen. Das leitfähige Material kann ein Metall wie Kupfer, Titan, Wolfram, Aluminium oder dergleichen enthalten. Die Kombination des leitfähigen Materials und der darunter liegenden Abschnitte der Keimschicht bildet die Metallisierungsstruktur 218. Der Photoresist und die Abschnitte der Keimschicht, auf denen das leitfähige Material nicht ausgebildet ist, werden entfernt. Der Photoresist kann durch ein geeignetes Veraschungs- oder Abziehverfahren entfernt werden, beispielsweise unter Verwendung eines Sauerstoffplasmas oder dergleichen. Nachdem der Photoresist entfernt ist, werden freiliegende Abschnitte der Keimschicht entfernt, beispielsweise unter Verwendung eines geeigneten Ätzprozesses, beispielsweise durch Nass- oder Trockenätzen.
  • Das Isoliermaterial 220 wird auf der Metallisierungsstruktur 218 und dem Isoliermaterial 216 abgeschieden. Das Isoliermaterial 220 kann auf ähnliche Weise wie das Isoliermaterial 216 ausgebildet werden und kann aus einem ähnlichen Material wie das Isoliermaterial 216 ausgebildet sein. Beispielsweise kann das Isoliermaterial 220 ein organisches Material enthalten, das für eine bessere Signalintegrität und Leistungsintegrität in dem Interposer 200 sorgt.
  • In 5 werden zusätzliche Metallisierungsschichten so über der Metallisierungsstruktur 218 ausgebildet, dass eine Umverteilungsstruktur 240 ausgebildet wird. Die Umverteilungsstruktur 240 weist organische Isoliermaterialschichten 216, 220, 224, 228, 232 und 236; die leitfähigen Verbinder 214; und Metallisierungsstrukturen 218, 222, 226, 230 und 234 auf. Die Metallisierungsstrukturen können auch als Umverteilungsschichten oder Umverteilungsleitungen bezeichnet werden. Jede der Metallisierungsstrukturschichten 222, 226, 230 und 234 kann durch einen im Wesentlichen ähnlichen Prozess und aus einem im Wesentlichen ähnlichen Material wie die oben beschriebene Metallisierungsstruktur 218 ausgebildet werden, und jede der organischen Isoliermaterialschichten 224, 228, 232 und 236 kann durch einen im Wesentlichen ähnlichen Prozess und aus einem im Wesentlichen ähnlichen Material wie das oben beschriebene Isoliermaterial 216 ausgebildet werden. Die Metallisierungsstrukturen 218, 222, 226, 230 und 234 bilden gemeinsam funktionale Schaltungen, die beispielsweise für Signal- und/oder Leistungs-Routing zu den darunter liegenden Metallisierungsstrukturen 206A, Vorrichtungen 204 und Durchkontaktierungen 201 sorgen. Beispielsweise kann jedes der Isoliermaterialien 224, 228, 232 und 236 einen relativ niedrigen Verlustfaktor aufweisen (z. B. niedriger als den der dielektrischen Schichten 206B), was ermöglicht, dass die Umverteilungsstruktur 240 auch bei relativ hohen Betriebsfrequenzen für eine gute Signal- und Leistungsintegrität in dem Interposer 200 sorgt.
  • In 6 werden UBMs 242 für eine externe Verbindung mit der Umverteilungsstruktur 240 ausgebildet. Die UBMs 242 weisen Höckerabschnitte auf und erstrecken sich entlang der Hauptoberfläche des Isoliermaterials 236 und haben Durchkontaktierungsabschnitte, die sich so durch das Isoliermaterial 236 erstrecken, dass sie mit der Metallisierungsstruktur 234 physisch und elektrisch verbunden sind. Als Ergebnis sind die UBMs 242 elektrisch mit den Metallisierungsstrukturen 206A und den Durchkontaktierungen 201 verbunden. Die UBMs 242 können aus demselben Material wie die Metallisierungsstruktur 218 ausgebildet sein. In einigen Ausführungsformen haben die UBMs 242 eine andere Größe als die Metallisierungsstrukturen 218, 222, 226, 230 und 234. Somit ist ein Interposer 200 mit relativ hoher Signalintegrität und Leistungsintegrität ausgebildet.
  • Die 7 bis 11 zeigen Querschnittsansichten von Zwischenschritten zum Ausbilden eines Interposer 200' gemäß einigen Ausführungsformen. Der Interposer 200' kann dem Interposer 200 ähneln, wobei gleiche Bezugszeichen gleiche Elemente bezeichnen, die durch gleiche Prozesse ausgebildet werden. Der Interposer 200' kann jedoch frei von jeglichen Kontaktpads 208 sein, die die Metallisierungsstrukturen der Umverteilungsstruktur 240 mit den Metallisierungsstrukturen der Interconnect-Struktur 206 verbinden (siehe 11).
  • Insbesondere sind unter Bezugnahme auf 7 keine Kontaktpads 208 über den Metallisierungsstrukturen 206A der Interconnect-Struktur 206 ausgebildet. Vielmehr sind die Passivierungsfilme 210 und 212 so strukturiert, dass sie Öffnungen 250 aufweisen, die eine oberste Metallisierungsstruktur 206A der Interconnect-Struktur 206 freilegen. Die Passivierungsfilme 210 und 212 können zum Beispiel unter Verwendung einer Kombination aus Lithographie und Ätzen strukturiert sein.
  • Als Nächstes wird unter Bezugnahme auf 8 das Isoliermaterial 216 über einer oberen Fläche des Passivierungsfilms 212 und in den Öffnungen 250 abgeschieden. Infolgedessen kann sich das Isoliermaterial 216 durch die Passivierungsfilme 210 und 212 zu einer obersten Metallisierungsstruktur 206A der Interconnect-Struktur 206 erstrecken. In einigen Ausführungsformen ist das Isoliermaterial 216 aus einem organischen Material wie etwa einem Polymer ausgebildet, das aus einem lichtempfindlichen Material wie PBO, Polyimid, BCB oder dergleichen bestehen kann, das unter Verwendung einer Lithographiemaske strukturiert werden kann. In einigen Ausführungsformen hat das Isoliermaterial 216 eine relativ niedrige Dielektrizitätskonstante und einen relativ niedrigen Verlustfaktor. Beispielsweise kann eine Dielektrizitätskonstante des Isoliermaterials 216 niedriger als etwa 3,5 sein, und ein Verlustfaktor des Isoliermaterials 216 kann niedriger als etwa 0,03 sein. Das Isoliermaterial 216 kann einen niedrigeren Verlustfaktor als ein Material der dielektrischen Schichten 206B aufweisen. Das Isoliermaterial 216 kann durch Rotationsbeschichtung, Laminierung, CVD oder dergleichen oder eine Kombination davon ausgebildet werden.
  • In 9 wird das Isoliermaterial 216 dann strukturiert, um Öffnungen 252 auszubilden, die Teile der obersten Metallisierungsstruktur 206A der Interconnect-Struktur 206 freilegen. Das Strukturieren kann durch einen geeigneten Prozess erreicht werden, wie beispielsweise durch Aussetzen des Isoliermaterials 216 mit Licht, wenn das Isoliermaterial 216 ein lichtempfindliches Material ist, oder durch Ätzen unter Verwendung beispielsweise eines anisotropen Ätzens. Wenn das Isoliermaterial 216 ein lichtempfindliches Material ist, kann das Isoliermaterial 216 nach der Belichtung entwickelt werden.
  • In 10 wird dann die Metallisierungsstruktur 218 ausgebildet. Die Metallisierungsstruktur 218 weist Abschnitte auf der Hauptoberfläche des Isoliermaterials 216 auf, die sich entlang dieser erstrecken. Die Metallisierungsstruktur 218 weist ferner Abschnitte auf, die sich so durch das Isoliermaterial 216 erstrecken, dass sie mit der obersten Metallisierungsstruktur 206A der Interconnect-Struktur 206 physisch und elektrisch verbunden sind. Als Beispiel zum Ausbilden der Metallisierungsstruktur 218 wird eine Keimschicht über dem Isoliermaterial 216 und in den Öffnungen ausgebildet, die sich durch das Isoliermaterial 216 erstrecken. In einigen Ausführungsformen ist die Keimschicht eine Metallschicht, die aus einer einzelnen Schicht oder einer Verbundschicht bestehen kann, die mehrere Teilschichten aufweist, die aus verschiedenen Materialien ausgebildet sind. In einigen Ausführungsformen weist die Keimschicht eine Titanschicht und eine Kupferschicht über der Titanschicht auf. Die Keimschicht kann beispielsweise unter Verwendung von PVD oder dergleichen ausgebildet werden. Ein Photoresist wird dann auf der Keimschicht ausgebildet und strukturiert. Der Photoresist kann durch Rotationsbeschichtung oder dergleichen ausgebildet werden und zum Strukturieren Licht ausgesetzt werden. Die Struktur des Photoresist entspricht der Metallisierungsstruktur 218. Das Strukturieren bildet Öffnungen durch den Photoresist aus, die die Keimschicht freilegen. Ein leitfähiges Material wird dann in den Öffnungen des Photoresist und auf den freiliegenden Abschnitten der Keimschicht ausgebildet. Das leitfähige Material kann durch Plattieren ausgebildet werden, beispielsweise Elektroplattieren oder stromloses Plattieren oder dergleichen. Das leitfähige Material kann ein Metall wie Kupfer, Titan, Wolfram, Aluminium oder dergleichen enthalten. Die Kombination des leitfähigen Materials und der darunter liegenden Abschnitte der Keimschicht bildet die Metallisierungsstruktur 218. Der Photoresist und die Abschnitte der Keimschicht, auf denen das leitfähige Material nicht ausgebildet ist, werden entfernt. Der Photoresist kann durch ein geeignetes Veraschungs- oder Abziehverfahren entfernt werden, beispielsweise unter Verwendung eines Sauerstoffplasmas oder dergleichen. Nachdem der Photoresist entfernt ist, werden freiliegende Abschnitte der Keimschicht entfernt, beispielsweise unter Verwendung eines geeigneten Ätzprozesses, beispielsweise durch Nass- oder Trockenätzen.
  • In 11 werden zusätzliche Metallisierungsschichten so über der Metallisierungsstruktur 218 ausgebildet, dass eine Umverteilungsstruktur 240 in dem Interposer 200' ausgebildet wird. Die Umverteilungsstruktur 240 weist organische Isoliermaterialschichten 216, 220, 224, 228, 232 und 236 und Metallisierungsstrukturen 218, 222, 226, 230 und 234 auf. Die Metallisierungsstrukturen können auch als Umverteilungsschichten oder Umverteilungsleitungen bezeichnet werden. Jede der Metallisierungsstrukturschichten 222, 226, 230 und 234 kann durch einen im Wesentlichen ähnlichen Prozess und aus einem im Wesentlichen ähnlichen Material wie die oben beschriebene Metallisierungsstruktur 218 ausgebildet werden, und jede der organischen Isoliermaterialschichten 224, 228, 232 und 236 kann durch einen im Wesentlichen ähnlichen Prozess und aus einem im Wesentlichen ähnlichen Material wie das oben beschriebene Isoliermaterial 216 ausgebildet werden. Die Metallisierungsstrukturen 218, 222, 226, 230 und 234 bilden gemeinsam funktionale Schaltungen, die beispielsweise für Signal- und/oder Leistungs-Routing zu den darunter liegenden Metallisierungsstrukturen 206A, Vorrichtungen 204 und Durchkontaktierungen 201 sorgen. Beispielsweise kann jedes der Isoliermaterialien 224, 228, 232 und 236 einen relativ niedrigen Verlustfaktor aufweisen (z. B. niedriger als den der dielektrischen Schichten 206B), was ermöglicht, dass die Umverteilungsstruktur 240 auch bei relativ hohen Betriebsfrequenzen für eine gute Signal- und Leistungsintegrität in dem Interposer 200 sorgt. In dem Interposer 200' sind die Metallisierungsstrukturen 218, 222, 226, 230 und 234 der Umverteilungsstruktur 240 ohne irgendwelche dazwischenliegenden Kontaktpads oder leitfähigen Verbinder direkt mit den Metallisierungsstrukturen 206A der Interconnect-Struktur 206 verbunden.
  • Die Umverteilungsstruktur 240 ist als Beispiel mit fünf Schichten von Metallisierungsstrukturen in dem Interposer 200' gezeigt. In der Umverteilungsstruktur 240 können mehr oder weniger Schichten aus Isoliermaterialschichten und Metallisierungsstrukturschichten ausgebildet werden. Wenn weniger Isoliermaterialschichten und Metallisierungsstrukturschichten ausgebildet werden sollen, können hier beschriebene Schritte und Prozesse weggelassen werden. Wenn mehr Isoliermaterialschichten und Metallisierungsstrukturschichten ausgebildet werden sollen, können hier beschriebene Schritte und Prozesse wiederholt werden.
  • 12 zeigt eine Querschnittsansicht eines Interposer 200" gemäß einigen Ausführungsformen. Der Interposer 200" kann dem Interposer 200 ähneln, wobei gleiche Bezugszeichen gleiche Elemente bezeichnen, die durch gleiche Prozesse ausgebildet werden. Wie gezeigt, verwendet der Interposer 200" die Interconnect-Struktur 206 nicht. Beispielsweise kann der Interposer 200" frei von irgendwelchen Metallisierungsstrukturen sein, die durch einen Damascene-Prozess ausgebildet sind, und kann ferner frei von irgendwelchen Isolierschichten sein, die aus anorganischen Materialien ausgebildet sind. Vielmehr kann die Umverteilungsstruktur 240 in dem Interposer 200" direkt auf dem Substrat 202 ausgebildet sein, so dass die unterste Metallisierungsstruktur 218 der Umverteilungsstruktur 240 direkt mit den Durchkontaktierungen 201 in dem Substrat 202 verbunden sein kann. Die Umverteilungsstruktur 240 weist organische Isoliermaterialschichten 216, 220, 224, 228, 232 und 236 und Metallisierungsstrukturen 218, 222, 226, 230 und 234 auf. Die Verwendung von organischen Isoliermaterialien sorgt bei relativ hohen Betriebsfrequenzen für gute Signalintegrität und Leistungsintegrität. Wie in 12 gezeigt, ist die Verwendung der Interconnect-Struktur 206 (die z. B. die Passivierungsfilme 210 und 212, die dielektrischen Schichten 206B und die Metallisierungsstrukturen 206A aufweist) optional.
  • Die Umverteilungsstruktur 240 ist als Beispiel mit fünf Schichten von Metallisierungsstrukturen in dem Interposer 200" gezeigt. In der Umverteilungsstruktur 240 können mehr oder weniger Schichten aus Isoliermaterialschichten und Metallisierungsstrukturschichten ausgebildet werden. Wenn weniger Isoliermaterialschichten und Metallisierungsstrukturschichten ausgebildet werden sollen, können hier beschriebene Schritte und Prozesse weggelassen werden. Wenn mehr Isoliermaterialschichten und Metallisierungsstrukturschichten ausgebildet werden sollen, können hier beschriebene Schritte und Prozesse wiederholt werden.
  • Die 13 bis 18 zeigen Querschnittsansichten zum Anwenden weiterer Verarbeitungsschritte zum Verpacken des Interposer 200 gemäß einigen Ausführungsformen. Obwohl der Interposer 200 gezeigt ist, versteht es sich, dass die Prozessschritte der 13 bis 18 auch angewendet werden können, um den Interposer 200' von 11 und/oder den Interposer 200" von 12 zu verpacken.
  • In 13 sind integrierte Schaltungs-Dies 100 (z. B. ein erster integrierter Schaltungs-Die 100A und mehrere zweite integrierte Schaltungs-Dies 100B) an dem Interposer 200 angebracht. Die integrierten Schaltungs-Dies 100 können dem Interposer 200 in 1 ähneln, wobei die integrierten Schaltungs-Dies 100 aktive Vorrichtungen aufweisen, die durch eine Interconnect-Struktur miteinander so verbunden sind, dass sie funktionale Schaltungen bilden. In der gezeigten Ausführungsform sind mehrere integrierte Schaltungs-Dies 100 nebeneinander angeordnet, beispielsweise der erste integrierte Schaltungs-Die 100A und die zweiten integrierten Schaltungs-Dies 100B, wobei der erste integrierte Schaltungs-Die 100A zwischen den zweiten integrierten Schaltungs-Dies 100B liegt. In einigen Ausführungsformen besteht der erste integrierte Schaltungs-Die 100A aus einer Logikvorrichtung, etwa einer CPU, GPU oder dergleichen, und die zweiten integrierten Schaltungs-Dies 100B bestehen aus Speichervorrichtungen, etwa DRAM-Dies, HMC-Modulen, HBM-Modulen oder dergleichen. In einigen Ausführungsformen besteht der erste integrierte Schaltungs-Die 100A aus derselben Vorrichtungsart (z. B. SoCs) wie die zweiten integrierten Schaltungs-Dies 100B. Die integrierten Schaltungs-Dies 100 können an einer Vorderseite des Interposer 200 angebracht sein, so dass die Interconnect-Struktur 206 und die Umverteilungsstruktur 240 jeweils zwischen dem Halbleitersubstrat 202 und den Dies 100 liegen.
  • In der gezeigten Ausführungsform sind die integrierten Schaltungs-Dies 100 an dem Interposer 200 mit Lotverbindungen angebracht, etwa leitfähigen Verbindern 102 auf den UBMs 242 des Interposer 200. Die integrierten Schaltungs-Dies 100 können auf der Interconnect-Struktur 240 z. B. unter Verwendung eines Bestückungswerkzeugs platziert werden. Die leitfähigen Verbinder 102 können aus einem leitfähigen Material ausgebildet sein, das aufschmelzbar ist, beispielsweise Lot, Kupfer, Aluminium, Gold, Nickel, Silber, Palladium, Zinn, dergleichen oder einer Kombination davon. In einigen Ausführungsformen werden die leitfähigen Verbinder 102 ausgebildet, indem zunächst eine Lotschicht durch Verfahren wie Aufdampfen, Elektroplattieren, Drucken, Lottransfer, Kugelplatzierung oder dergleichen ausgebildet wird. Wenn eine Lotschicht auf der Struktur ausgebildet ist, kann ein Aufschmelzen durchgeführt werden, um die leitfähigen Verbinder 102 in gewünschte Höckerformen zu formen. Das Anbringen der integrierter Schaltungs-Dies 100 an dem Interposer 200 kann ein Platzieren der integrierter Schaltungs-Dies 100 auf dem Interposer 200 und ein Aufschmelzen der leitfähigen Verbinder 102 umfassen. Die leitfähigen Verbinder 102 bilden Verbindungen zwischen den UBMs 242 des Interposer 200 und den leitfähigen Verbindern 102 der integrierten Schaltungs-Dies 100, wodurch der Interposer 200 elektrisch mit den integrierten Schaltungs-Dies 100 verbunden wird.
  • In 14 kann eine Unterfüllung 302 um die leitfähigen Verbinder 102 herum und zwischen dem Interposer 200 und den integrierten Schaltungs-Dies 100 ausgebildet werden. Die Unterfüllung 302 kann Spannungen verringern und die Verbindungen schützen, die aus dem Aufschmelzen der leitfähigen Verbinder 102 entstanden sind. Die Unterfüllung 302 kann aus einem Unterfüllungsmaterial wie etwa einer Formmasse, Epoxid oder dergleichen ausgebildet sein. Die Unterfüllung 302 kann durch einen Kapillarflussprozess ausgebildet werden, nachdem die integrierten Schaltungs-Dies 100 an dem Interposer 200 angebracht wurden, oder kann durch ein geeignetes Abscheidungsverfahren ausgebildet werden, bevor die integrierten Schaltungs-Dies 100 an dem Interposer 200 angebracht werden. Die Unterfüllung 302 kann in flüssiger oder halbflüssiger Form aufgebracht und anschließend gehärtet werden.
  • In weiteren Ausführungsformen (nicht getrennt gezeigt) werden die integrierten Schaltungs-Dies 100 mit Direktbonds an dem Interposer 200 angebracht. Zum Beispiel können Schmelzbonden, Dielektrikum-Dielektrikum-Direktbonden, Metall-Metall-Direktbonden, Kombinationen davon oder dergleichen verwendet werden, um ohne Verwendung von Klebstoff oder Lot entsprechende dielektrische Schichten und/oder Die-Verbinder der integrierten Schaltungs-Dies 100 und des Interposer 200 direktzubonden. Die Unterfüllung 302 kann weggelassen werden, wenn Direktbonden verwendet wird. Ferner könnte eine Mischung von Bondtechniken verwendet werden, z. B. könnten einige integrierte Schaltungs-Dies 100 an dem Interposer 200 durch Lotverbindungen angebracht werden, und andere integrierte Schaltungs-Dies 100 könnten durch Direktbonds an dem Interposer 200 angebracht werden.
  • Ferner wird in 14 ein Verkapselungsmaterial 304 auf und um die integrierten Schaltungs-Dies 100 herum ausgebildet. Nach dem Ausbilden kapselt das Verkapselungsmaterial 304 die integrierten Schaltungs-Dies 100 und die Unterfüllung 302 (falls vorhanden) oder die leitfähigen Verbinder 102 ein. Das Verkapselungsmaterial 304 kann aus einer Formmasse, einem Epoxidharz oder dergleichen bestehen. Das Verkapselungsmaterial 304 kann durch Formpressen, Spritzpressen oder dergleichen abgeschieden werden und wird über dem Interposer 200 derart ausgebildet, dass die integrierten Schaltungs-Dies 100 vergraben bzw. bedeckt sind. Das Verkapselungsmaterial 304 kann in flüssiger oder halbflüssiger Form abgeschieden und anschließend gehärtet werden. Das Verkapselungsmaterial 304 kann so ausgedünnt werden, dass die integrierten Schaltungs-Dies 100 freigelegt werden. Der Ausdünnungsprozess kann aus einem Schleifprozess, einem chemischmechanischen Polieren (CMP), einem Rückätzen, Kombinationen davon oder dergleichen bestehen. Nach dem Ausdünnungsprozess sind die oberen Flächen der integrierten Schaltungs-Dies 100 und des Verkapselungsmaterials 304 (innerhalb von Prozessschwankungen) koplanar, so dass sie auf gleicher Höhe zueinander liegen. Das Ausdünnen wird durchgeführt, bis eine gewünschte Menge der integrierten Schaltungs-Dies 100 und/oder des Verkapselungsmaterials 304 entfernt wurde.
  • In 15 wird eine Rückseite des Substrats 202 so ausgedünnt, dass die Durchkontaktierungen 201 freigelegt werden. Das Freilegen der Durchkontaktierungen 201 kann durch einen Ausdünnungsprozess wie einen Schleifprozess, ein chemisch-mechanisches Polieren (CMP), ein Rückätzen, Kombinationen davon oder dergleichen erreicht werden. In einigen Ausführungsformen (nicht getrennt gezeigt) umfasst der Ausdünnungsprozess zum Freilegen der Durchkontaktierungen 201 ein CMP, und die Durchkontaktierungen 201 ragen an der Rückseite des Interposer 200 als Ergebnis einer Einwölbung (bzw. Dishing) hervor, die während des CMP auftritt. In solchen Ausführungsformen kann optional eine Isolierschicht (nicht getrennt gezeigt) auf der hinteren Fläche des Substrats 202 ausgebildet werden, die die vorstehenden Abschnitte der Durchkontaktierungen 201 umgibt. Die Isolierschicht kann aus einem siliziumhaltigen Isolator wie Siliziumnitrid, Siliziumoxid, Siliziumoxynitrid oder dergleichen ausgebildet sein und kann durch ein geeignetes Abscheidungsverfahren wie Rotationsbeschichtung, CVD, plasmaunterstützte CVD (PECVD), CVD im hochdichten Plasma (HDP-CVD) oder dergleichen ausgebildet werden. Nachdem das Substrat 202 ausgedünnt wurde, sind die freigelegten Oberflächen der Durchkontaktierungen 201 und der Isolierschicht (falls vorhanden) oder des Substrats 202 (innerhalb von Prozessschwankungen) koplanar, so dass sie auf gleicher Höhe liegen, und sind an der Rückseite des Interposer 200 freigelegt.
  • In 16 werden eine rückseitige Umverteilungsstruktur 306 und UBMs 308 auf den freiliegenden Oberflächen der Durchkontaktierungen 201 und des Substrats 202 ausgebildet. Die Umverteilungsstruktur 306 kann aus ähnlichen Materialien und durch ähnliche Prozesse wie die oben beschriebene Umverteilungsstruktur 240 ausgebildet werden. Beispielsweise kann die Umverteilungsstruktur 306 eine oder mehrere Metallisierungsschichten in organischen Isoliermaterialien aufweisen. Ferner können die UBMs 308 aus ähnlichen Materialien und durch ähnliche Prozesse wie die oben beschriebenen UBMs 242 ausgebildet werden.
  • Leitfähige Verbinder 310 werden auf den UBMs 308 ausgebildet. Die leitfähigen Verbinder 310 können aus Ball-Grid-Array-Verbindern (BGA-Verbindern), Lotkugeln, Metallsäulen, Flip-Chip-Verbindungshöckern (C4-Höckern), durch ENEPIG-Technik (stromloses Nickel-stromloses Palladium-Goldimmersion-Technik) ausgebildeten Höckern oder dergleichen bestehen. Die leitfähigen Verbinder 310 können ein leitfähiges Material wie Lot, Kupfer, Aluminium, Gold, Nickel, Silber, Palladium, Zinn, dergleichen oder eine Kombination davon enthalten. In einigen Ausführungsformen werden die leitfähigen Verbinder 310 ausgebildet, indem anfänglich eine Lotschicht durch Gasphasenabscheidung, Elektroplattieren, Drucken, Lotübertragung, Kugelplatzierung oder dergleichen ausgebildet wird. Nachdem eine Lotschicht auf der Struktur ausgebildet ist, kann ein Aufschmelzen durchgeführt werden, um das Material in die gewünschte Höckerform zu formen. In einer weiteren Ausführungsform weisen die leitfähigen Verbinder 310 Metallsäulen (etwa Kupfersäulen) auf, die durch Sputtern, Drucken, Elektroplattieren, stromloses Plattieren, CVD oder dergleichen ausgebildet werden. Die Metallsäulen können lotfrei sein und im Wesentlichen vertikale Seitenwände aufweisen. In einigen Ausführungsformen wird eine Metallkappenschicht auf der Oberseite der Metallsäulen ausgebildet. Die Metallkappenschicht kann Nickel, Zinn, Zinn-Blei, Gold, Silber, Palladium, Indium, Nickel-Palladium-Gold, Nickel-Gold oder eine Kombination davon enthalten und kann durch einen Plattierungsprozess ausgebildet werden.
  • In 17 wird als nächstes ein Vereinzelungsprozess durchgeführt, indem entlang Ritzlinienbereichen geschnitten wird. Der Vereinzelungsprozess kann Sägen, Schneiden oder dergleichen umfassen. Beispielsweise kann der Vereinzelungsprozess ein Sägen des Verkapselungsmaterials 304, der Umverteilungsstruktur 240, der Interconnect-Struktur 206 und des Substrats 202 umfassen. Der Vereinzelungsprozess vereinzelt jedes Package 300 von benachbarten Packages 300. Der Vereinzelungsprozess bildet aus den vereinzelten Abschnitten des Interposer-Wafers Interposer 200 aus. Als Ergebnis des Vereinzelungsprozesses sind die äußeren Seitenwände des Interposer 200 (mit der Interconnect-Struktur 206, den Passivierungsschichten 210/212 und der Umverteilungsstruktur 240) und des Verkapselungsmaterials 304 (innerhalb von Prozessschwankungen) seitlich bündig. Ferner kann das Verkapselungsmaterial 304 in einer Draufsicht die integrierten Schaltungs-Dies 100 vollständig umgeben.
  • In 18 wird das Package 300 an einem Package-Substrat 400 befestigt, wodurch die Ausbildung des integrierten Schaltungs-Package abgeschlossen ist. Es sind eine Einzel-Package-Komponente 300, ein Einzel-Package-Substrat 400 und ein einziges integriertes Schaltungs-Package gezeigt. Es versteht sich, dass mehrere Package-Komponenten gleichzeitig verarbeitet werden können, um mehrere integrierte Schaltungs-Packages auszubilden.
  • Das Package 300 ist unter Verwendung der leitfähigen Verbinder 310 an einem Package-Substrat 400 befestigt. In einigen Ausführungsformen weist das Package-Substrat 400 einen Substratkern auf, der aus einem Halbleitermaterial wie Silizium, Germanium, Diamant oder dergleichen hergestellt sein kann. Alternativ können auch Verbundmaterialien wie Siliziumgermanium, Siliziumkarbid, Galliumarsen, Indiumarsenid, Indiumphosphid, Siliziumgermaniumkarbid, Galliumarsenphosphid, Galliumindiumphosphid, Kombinationen davon oder dergleichen verwendet werden. Weiter kann der Substratkern aus einem SOI-Substrat bestehen. Im Allgemeinen weist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial wie epitaktischem Silizium, Germanium, Siliziumgermanium, SOI, SGOI oder Kombinationen davon auf. In einer weiteren Ausführungsform besteht der Substratkern aus einem isolierenden Kern, etwa einem glasfaserverstärkten Harzkern. Ein Beispiel für ein Kernmaterial ist ein Glasfaserharz wie FR4. Alternativen für das Kernmaterial sind unter anderem Bismaleimid-Triazin-Harz (BT-Harz) oder alternativ andere Leiterplattenmaterialien (PCB-Materialien) oder -filme. Für den Substratkern können Aufbaufilme wie Ajinomoto-Aufbaufilm (ABF) oder andere Laminate verwendet werden.
  • Der Substratkern kann aktive und passive Vorrichtungen (nicht getrennt gezeigt) aufweisen. Vorrichtungen wie Transistoren, Kondensatoren, Widerstände, Kombinationen davon und dergleichen können verwendet werden, um die strukturellen und funktionellen Anforderungen des Designs für das System zu erfüllen. Die Vorrichtungen können unter Verwendung beliebiger geeigneter Verfahren hergestellt werden.
  • Der Substratkern kann auch Metallisierungsschichten und Durchkontaktierungen und Bondpads 402 über den Metallisierungsschichten und Durchkontaktierungen aufweisen. Die Metallisierungsschichten können über den aktiven und passiven Vorrichtungen ausgebildet sein und so ausgelegt sein, dass sie die verschiedenen Vorrichtungen verbinden, so dass funktionale Schaltungen ausgebildet sind. Die Metallisierungsschichten können aus abwechselnden Schichten aus dielektrischem Material (z. B. Low-k-Dielektrikum) und leitfähigem Material (z. B. Kupfer) ausgebildet sein, wobei Durchkontaktierungen die Schichten aus leitfähigem Material verbinden, und können durch einen beliebigen geeigneten Prozess (etwa Abscheidung, Damascene oder dergleichen) ausgebildet werden. In einigen Ausführungsformen ist der Substratkern im Wesentlichen frei von aktiven und passiven Vorrichtungen.
  • Die leitfähigen Verbinder 310 werden aufgeschmolzen, um die UBMs 308 an den Bondpads 402 zu befestigen. Die leitfähigen Verbinder 310 verbinden den Interposer 200, etwa die Metallisierungsstrukturen der Umverteilungsstruktur 240 und die Metallisierungsstrukturen der Interconnect-Struktur 206, mit dem Package-Substrat 400, etwa den Metallisierungsschichten des Substratkerns. Somit ist das Package-Substrat 400 elektrisch mit den integrierten Schaltungs-Dies 100 verbunden. In einigen Ausführungsformen können passive Vorrichtungen (z. B. oberflächenmontierte Vorrichtungen (SMDs), nicht getrennt gezeigt) an dem Interposer 200 angebracht werden (z. B. an die UBMs 308 gebondet werden), bevor er an dem Package-Substrat 400 montiert wird. In solchen Ausführungsformen können die passiven Vorrichtungen mit einer gleichen Oberfläche des Package 300 wie die leitfähigen Verbinder 310 gebondet werden. In einigen Ausführungsformen können passive Vorrichtungen (z. B. SMDs, nicht getrennt gezeigt) an dem Package-Substrat 400 angebracht werden, z. B. an den Bondpads 402.
  • In einigen Ausführungsformen wird eine Unterfüllung (nicht getrennt gezeigt) zwischen dem Package 300 und dem Package-Substrat 400 ausgebildet, die die leitfähigen Verbinder 310 umgibt. Die Unterfüllung kann durch einen Kapillarflussprozess ausgebildet werden, nachdem das Package 300 angebracht wurde, oder kann durch ein beliebiges geeignetes Abscheidungsverfahren ausgebildet werden, bevor die Package-Komponente 300 angebracht wird. Die Unterfüllung kann aus einem durchgehenden Material bestehen, das sich von dem Package-Substrat 400 zu der Umverteilungsstruktur 306 erstreckt.
  • Die 13 bis 18 zeigen Herstellungsschritte zum Verpacken des Interposer 200, während er Teil eines Wafers ist und bevor ein Vereinzelungsprozess an dem Interposer 200 durchgeführt wird. Die 19 bis 25 zeigen Querschnittsansichten verschiedener Zwischenschritte zum Anwenden weiterer Verarbeitungsschritte zum Verpacken des Interposer 200 gemäß einigen Ausführungsformen. In den 19 bis 25 wird der Interposer 200 verpackt, nachdem er vereinzelt und von anderen Interposern in einem Wafer getrennt wurde. Obwohl der Interposer 200 gezeigt ist, versteht es sich, dass die Prozessschritte der 19 bis 25 auch angewendet werden können, um den Interposer 200' von 11 und/oder den Interposer 200" von 12 zu verpacken.
  • In 19 ist ein Trägersubstrat 500 vorgesehen, und eine Trennschicht 502 ist auf dem Trägersubstrat 500 ausgebildet. Das Trägersubstrat 500 kann aus einem Glasträgersubstrat, einem Keramikträgersubstrat oder dergleichen bestehen. Das Trägersubstrat 500 kann aus einem Wafer bestehen, so dass mehrere Packages gleichzeitig auf dem Trägersubstrat 500 ausgebildet werden können.
  • Die Trennschicht 502 kann aus einem Material auf Polymerbasis ausgebildet sein, das zusammen mit dem Trägersubstrat 500 von den darüberliegenden Strukturen entfernt werden kann, die in nachfolgenden Schritten ausgebildet werden. In einigen Ausführungsformen ist die Trennschicht 502 ein thermisches Trennmaterial auf Epoxidbasis, das seine Hafteigenschaft verliert, wenn es erwärmt wird, beispielsweise eine Licht-Wärme-Umwandlungs-Trennbeschichtung (LTHC-Trennbeschichtung). In weiteren Ausführungsformen kann die Trennschicht 502 ein Ultraviolettklebstoff (UV-Klebstoff) sein, der seine Klebeeigenschaft verliert, wenn er UV-Licht ausgesetzt wird. Die Trennschicht 502 kann als Flüssigkeit abgegeben und ausgehärtet werden, kann aus einem Laminatfilm bestehen, der auf das Trägersubstrat 500 laminiert wird, oder dergleichen. Die Oberseite der Trennschicht 502 kann eingeebnet sein und einen hohen Grad an Planarität aufweisen.
  • Durchkontaktierungen 504 sind auf dem Trägersubstrat 500 ausgebildet. Als Beispiel zum Ausbilden der Durchkontaktierungen 504 wird eine Keimschicht (nicht gezeigt) über dem Trägersubstrat 500 und auf der Trennschicht 502 ausgebildet. In einigen Ausführungsformen ist die Keimschicht eine Metallschicht, die aus einer einzelnen Schicht oder einer Verbundschicht bestehen kann, die mehrere Teilschichten aufweist, die aus verschiedenen Materialien ausgebildet sind. In einer bestimmten Ausführungsform weist die Keimschicht eine Titanschicht und eine Kupferschicht über der Titanschicht auf. Die Keimschicht kann beispielsweise unter Verwendung von PVD oder dergleichen ausgebildet werden. Auf der Keimschicht wird ein Photoresist ausgebildet und strukturiert. Der Photoresist kann durch Rotationsbeschichtung oder dergleichen ausgebildet werden und zum Strukturieren Licht ausgesetzt werden. Die Struktur des Photoresist entspricht den leitfähigen Durchkontaktierungen. Das Strukturieren bildet Öffnungen durch den Photoresist aus, die die Keimschicht freilegen. In den Öffnungen des Photoresist und auf den freiliegenden Abschnitten der Keimschicht wird ein leitfähiges Material ausgebildet. Das leitfähige Material kann durch Plattieren ausgebildet werden, beispielsweise Elektroplattieren oder stromloses Plattieren oder dergleichen. Das leitfähige Material kann ein Metall wie Kupfer, Titan, Wolfram, Aluminium oder dergleichen enthalten. Der Photoresist und die Abschnitte der Keimschicht, auf denen das leitfähige Material nicht ausgebildet ist, werden entfernt. Der Photoresist kann durch ein geeignetes Veraschungs- oder Abziehverfahren entfernt werden, beispielsweise unter Verwendung eines Sauerstoffplasmas oder dergleichen. Nachdem der Photoresist entfernt ist, werden freiliegende Abschnitte der Keimschicht entfernt, beispielsweise unter Verwendung eines geeigneten Ätzprozesses, beispielsweise durch Nass- oder Trockenätzen. Die verbleibenden Abschnitte der Keimschicht und des leitfähigen Materials bilden die Durchkontaktierungen 504.
  • In 20 werden vereinzelte Interposer 200 (mit 200A und 200B bezeichnet) mit einem Klebstoff (nicht getrennt gezeigt) an die Trennschicht 502 geklebt. Jeder der Interposer 200 kann vereinzelt sein, und ein Planarisierungsprozess (z. B. ein CMP-Prozess, ein Rückätzprozess und/oder dergleichen) kann so auf eine Rückseite der Interposer 200 angewendet werden, dass die Durchkontaktierungen 201 freigelegt werden, bevor die Interposer 200 an dem Trägersubstrat 500 angebracht werden. Eine gewünschte Art und Menge der Interposer 200 werden an jedem Bereich des Trägersubstrats 500 angeklebt. In der gezeigten Ausführungsform werden mehrere Interposer 200 benachbart zu einander angeklebt, etwa die Interposer 200A und die Interposer 200B. Die Interposer 200A können Umverteilungsstrukturen 240 mit organischen Isolierschichten (z. B. wie oben in den 1 bis 12 beschrieben) aufweisen, während die Interposer 200B frei von Umverteilungsstrukturen mit organischen Isolierschichten sein können. Stattdessen können die Interposer 200B nur die Interconnect-Struktur 206 aufweisen, und alle Metallisierungsstrukturen in dem Interposer 200B können durch Damascene-Prozesse ausgebildet sein. In einigen Ausführungsformen können die Interposer 200A als Dies mit lokalem Silizium-Interconnect (LSI-Dies) bezeichnet werden, und die Interposer 200B können als Dies mit lokalem Umverteilungsschicht-Interconnect (LRI-Dies) bezeichnet werden. Die Interposer 200A und 200B können unterschiedliche Größen (z. B. unterschiedliche Höhen und/oder Flächen) oder dieselbe Größe (z. B. dieselbe Höhe und/oder Fläche) aufweisen.
  • In 21 wird ein Verkapselungsmaterial 506 auf und um die verschiedenen Komponenten herum ausgebildet. Nach der Ausbildung kapselt das Verkapselungsmaterial 506 die Durchkontaktierungen 504 und die Interposer 200 ein. Das Verkapselungsmaterial 506 kann aus einer Formmasse, einem Epoxidharz oder dergleichen bestehen. Das Verkapselungsmaterial 506 kann durch Formpressen, Spritzpressen oder dergleichen abgeschieden werden und kann über dem Trägersubstrat 500 so ausgebildet werden, dass die Durchkontaktierungen 504 und/oder die Interposer 200 vergraben bzw. bedeckt sind. Das Verkapselungsmaterial 506 wird ferner in Lückenbereichen zwischen den Interposern 200 ausgebildet. Das Verkapselungsmaterial 506 kann in flüssiger oder halbflüssiger Form abgeschieden und anschließend gehärtet werden.
  • Das Verkapselungsmaterial 506 kann anfänglich so abgeschieden werden, dass es die Interposer 200 und die Durchkontaktierungen 504 vergräbt. An dem Verkapselungsmaterial 506 wird ein Planarisierungsprozess so durchgeführt, dass die Durchkontaktierungen 504, die UBMs 242 der Interposer 200A und die leitfähigen Verbinder 214 der Interposer 200B freigelegt werden. Die oberen Flächen der Durchkontaktierungen 504, der UBMs 242, der leitfähigen Verbinder 214 und des Verkapselungsmaterials 506 sind nach dem Planarisierungsprozess innerhalb von Prozessschwankungen im Wesentlichen koplanar. Der Planarisierungsprozess kann beispielsweise aus einem CMP, einem Schleifprozess oder dergleichen bestehen.
  • In 22 wird eine Umverteilungsstruktur 508 über den Interposern 200, den Durchkontaktierungen 504 und dem Verkapselungsmaterial 506 ausgebildet, und UBMs 510 können über der Umverteilungsstruktur 508 ausgebildet werden. Die Umverteilungsstruktur 508 kann aus ähnlichen Materialien und durch ähnliche Prozesse wie die oben beschriebene Umverteilungsstruktur 240 ausgebildet werden. Beispielsweise kann die Umverteilungsstruktur 508 eine oder mehrere Metallisierungsschichten in organischen Isoliermaterialien aufweisen. Ferner können die UBMs 510 aus ähnlichen Materialien und durch ähnliche Prozesse wie die oben beschriebenen UBMs 242 ausgebildet werden. In einigen Ausführungsformen können die UBMs 510 jeweils eine einheitliche Größe haben. In weiteren Ausführungsformen (wie gezeigt) können die UBMs 510 unterschiedliche Größen aufweisen, um die Platzierung verschiedener Arten von Dies und/oder Die-Stapeln über den UBMs 510 zu ermöglichen.
  • In 23 werden integrierte Schaltungs-Dies 100 (z. B. ein erster integrierter Schaltungs-Die 100A und mehrere zweite integrierte Schaltungs-Dies 100B) an der Umverteilungsstruktur 508 angebracht. Die integrierten Schaltungs-Dies 100 können dem Interposer 200 in 1 ähneln, wobei die integrierten Schaltungs-Dies 100 aktive Vorrichtungen aufweisen, die durch eine Interconnect-Struktur miteinander so verbunden sind, dass sie funktionale Schaltungen bilden. In der gezeigten Ausführungsform sind mehrere integrierte Schaltungs-Dies 100 nebeneinander angeordnet, beispielsweise der erste integrierte Schaltungs-Die 100A und die zweiten integrierten Schaltungs-Dies 100B, wobei der erste integrierte Schaltungs-Die 100A zwischen den zweiten integrierten Schaltungs-Dies 100B liegt. In einigen Ausführungsformen besteht der erste integrierte Schaltungs-Die 100A aus einer Logikvorrichtung, etwa einer CPU, GPU oder dergleichen, und die zweiten integrierten Schaltungs-Dies 100B bestehen aus Speichervorrichtungen, etwa DRAM-Dies, HMC-Modulen, HBM-Modulen oder dergleichen. In einigen Ausführungsformen besteht der erste integrierte Schaltungs-Die 100A aus derselben Vorrichtungsart (z. B. SoCs) wie die zweiten integrierten Schaltungs-Dies 100B. In der gezeigten Ausführungsform werden die integrierten Schaltungs-Dies 100 an der Umverteilungsstruktur 508 mit Lotverbindungen wie beispielsweise leitfähigen Verbindern 102 in ähnlicher Weise wie oben beschrieben auf den UBMs 510 befestigt. Somit kann die Umverteilungsstruktur 508 die integrierten Schaltungs-Dies 100 elektrisch mit den Interposern 200 und den Durchkontaktierungen 504 verbinden. In weiteren Ausführungsformen können die integrierten Schaltungs-Dies 100 unter Verwendung eines anderen Bondverfahrens an der Umverteilungsstruktur 508 befestigt werden. Eine Unterfüllung 302 kann um die leitfähigen Verbinder 102 herum ausgebildet werden, und ein Verkapselungsmaterial 304 wird auf den integrierten Schaltungs-Dies 100 und um sie herum wie oben beschrieben ausgebildet.
  • In 24 wird ein Trägersubstrat-Entbonden durchgeführt, um das Trägersubstrat 500 von den Interposern 200 und dem Verkapselungsmaterial 506 zu lösen (bzw. zu „entbonden“). Gemäß einigen Ausführungsformen umfasst das Entbonden ein Projizieren eines Lichts wie eines Laserlichts oder eines UV-Lichts auf die Trennschicht 502, so dass sich die Trennschicht 502 unter der Wärme des Lichts zersetzt und das Trägersubstrat 500 entfernt werden kann. Die Struktur wird dann umgedreht und auf einem Band (nicht gezeigt) angeordnet. Nachdem das Trägersubstrat 500 entfernt ist, werden eine rückseitige Umverteilungsstruktur 306 und UBMs 308 auf den freiliegenden Oberflächen der Interposer 200 und der Durchkontaktierungen 504 ausgebildet. Die Umverteilungsstruktur 306 kann aus ähnlichen Materialien und durch ähnliche Prozesse wie die oben beschriebene Umverteilungsstruktur 240 ausgebildet werden. Beispielsweise kann die Umverteilungsstruktur 306 eine oder mehrere Metallisierungsschichten in organischen Isoliermaterialien aufweisen. Ferner können die UBMs 308 aus ähnlichen Materialien und durch ähnliche Prozesse wie die oben beschriebenen UBMs 242 ausgebildet werden. Die Durchkontaktierungen 504 stellen Zwischenverbindungen zwischen den Umverteilungsstrukturen 306 und 508 her.
  • In 25 wird ein Vereinzelungsprozess angewendet, um einzelne Packages 550 von anderen Packages zu trennen, die auf dem Trägersubstrat 500 ausgebildet wurden. Der Vereinzelungsprozess kann Sägen, Schneiden oder dergleichen umfassen. Beispielsweise kann der Vereinzelungsprozess ein Sägen des Verkapselungsmaterials 304, der Umverteilungsstruktur 508, des Verkapselungsmaterials 506 und der Umverteilungsstruktur 306 umfassen. Der Vereinzelungsprozess vereinzelt jedes Package 550 von benachbarten Packages 550. Als Ergebnis des Vereinzelungsprozesses sind die äußeren Seitenwände des Verkapselungsmaterials 304, der Umverteilungsstrukturen 508 und 306 und des Verkapselungsmaterials 506 (innerhalb von Prozessschwankungen) seitlich bündig. Das Package 550 wird dann auf ähnliche Weise wie oben beschrieben an einem Package-Substrat 400 befestigt, wodurch die Ausbildung des integrierten Schaltungs-Package abgeschlossen ist.
  • Gemäß einigen Ausführungsformen können Halbleitervorrichtungen miteinander gebondet werden, um ein Package herzustellen, das mehrere Dies aufweist, die mit einem Interposer gebondet sind. Der Interposer kann Metallisierungsschichten aufweisen, die in strukturierten Photoresistmasken plattiert werden, die nachfolgend durch organische Isolierschichten ersetzt werden. Optional kann der Interposer ferner zusätzliche Metallisierungsschichten aufweisen, die in anorganischen Isoliermaterialien durch Damascene-Prozesse ausgebildet werden. Die Verwendung von Metallisierungsschichten in organischen Isolierschichten sorgt für eine verbesserte Signalintegrität und/oder Leistungsintegrität bei hohen Betriebsfrequenzen (z. B. höher als 20 GHz). Ferner können Ausführungsformen mit Metallisierungsschichten, die sowohl in organischen als auch in anorganischen Isoliermaterialien ausgebildet werden, für verbesserte Flexibilität in Bezug auf Verarbeitung und Verpackungs-Design sorgen.
  • In einigen Ausführungsformen weist ein Vorrichtungs-Package einen Interposer auf, aufweisend: ein Halbleitersubstrat; erste Durchkontaktierungen, die sich durch das Halbleitersubstrat erstrecken, wobei die Durchkontaktierung von einer Vorderseite des Halbleitersubstrats vorsteht; eine Interconnect-Struktur über der Vorderseite des Halbleitersubstrats. Die Interconnect-Struktur weist auf: eine erste Metallisierungsstruktur in einem anorganischen Isoliermaterial; und einen Passivierungsfilm über der ersten Metallisierungsstruktur. Der Interposer weist ferner eine erste Umverteilungsstruktur über dem Passivierungsfilm auf, wobei die erste Umverteilungsstruktur eine zweite Metallisierungsstruktur in einem organischen Isoliermaterial aufweist, wobei die erste Umverteilungsstruktur mit der Interconnect-Struktur und dem Halbleitersubstrat bündig ist. Das Vorrichtungs-Package weist ferner einen integrierten Schaltungs-Die über dem Interposer und an diesem befestigt; und ein erstes Verkapselungsmaterial um den integrierten Schaltungs-Die herum auf. Optional weist die Interconnect-Struktur in einigen Ausführungsformen ferner ein Kontaktpad in dem Passivierungsfilm auf, wobei die zweite Metallisierungsstruktur elektrisch mit dem Kontaktpad verbunden ist, und wobei das Kontaktpad aus einem anderen Material als die erste Metallisierungsstruktur hergestellt ist. Optional ist das Kontaktpad in einigen Ausführungsformen aus Aluminium hergestellt. Optional erstreckt sich in einigen Ausführungsformen die zweite Metallisierungsstruktur durch den Passivierungsfilm der ersten Metallisierungsstruktur. Optional erstreckt sich in einigen Ausführungsformen das organische Isoliermaterial durch den Passivierungsfilm. Optional sind in einigen Ausführungsformen der Interposer und das erste Verkapselungsmaterial bündig. Optional weist das Package in einigen Ausführungsformen ferner ein zweites Verkapselungsmaterial um den Interposer herum; und eine zweite Umverteilungsstruktur über dem Interposer und dem zweiten Verkapselungsmaterial auf, wobei die zweite Umverteilungsstruktur den integrierten Schaltungs-Die elektrisch mit dem Interposer verbindet. Optional weist das Package in einigen Ausführungsformen ferner zweite Durchkontaktierungen auf, die sich durch das zweite Verkapselungsmaterial erstrecken. Optional weist das Package in einigen Ausführungsformen ferner eine dritte Umverteilungsstruktur auf einer dem integrierten Schaltungs-Die entgegengesetzten Seite auf. Optional ist der Interposer in einigen Ausführungsformen frei von jeglichen aktiven Vorrichtungen.
  • In einigen Ausführungsformen weist ein Vorrichtungs-Package einen Interposer auf, der frei von jeglichen aktiven Vorrichtungen ist, der Interposer aufweisend: ein Halbleitersubstrat; eine Interconnect-Struktur, die eine erste Metallisierungsstruktur in einem ersten Isoliermaterial aufweist; und eine erste Umverteilungsstruktur, die eine zweite Metallisierungsstruktur in einem zweiten Isoliermaterial aufweist, wobei das zweite Isoliermaterial einen geringeren Verlustfaktor als das erste Isoliermaterial aufweist. Das Vorrichtungs-Package weist ferner einen integrierten Schaltungs-Die, der elektrisch mit dem Interposer verbunden ist, wobei sich die Interconnect-Struktur und die erste Umverteilungsstruktur jeweils zwischen dem integrierten Schaltungs-Die und dem Halbleitersubstrat befinden; ein erstes Verkapselungsmaterial um den integrierten Schaltungs-Die herum, wobei das erste Verkapselungsmaterial den integrierten Schaltungs-Die in einer Draufsicht vollständig umgibt, wobei äußere Seitenwände des ersten Verkapselungsmaterials mit äußeren Seitenwänden des Interposer bündig sind; und eine zweite Umverteilungsstruktur auf einer dem integrierten Schaltungs-Die entgegengesetzten Seite des Interposer auf. Optional ist in einigen Ausführungsformen das erste Isoliermaterial ein anorganisches Material, und wobei das zweite Isoliermaterial ein organisches Material ist. Optional ist in einigen Ausführungsformen die erste Metallisierungsstruktur durch ein Aluminium-Kontaktpad elektrisch mit der zweiten Metallisierungsstruktur verbunden. Optional steht in einigen Ausführungsformen die erste Metallisierungsstruktur in physischem Kontakt mit der zweiten Metallisierungsstruktur.
  • In einigen Ausführungsformen umfasst ein Verfahren ein Ausbilden einer Interconnect-Struktur auf einem Substrat, wobei die Interconnect-Struktur eine erste Metallisierungsstruktur aufweist, die durch einen Damascene-Prozess ausgebildet wird; Ausbilden einer Umverteilungsstruktur auf der Interconnect-Struktur, wobei die Umverteilungsstruktur eine zweite Metallisierungsstruktur aufweist, die durch eine andere Art von Prozess als die erste Metallisierungsstruktur ausgebildet wird; Bonden eines integrierten Schaltungs-Die über der Umverteilungsstruktur; Verkapseln des integrierten Schaltungs-Die in einem Verkapselungsmaterial; und Durchführen eines Vereinzelungsprozesses. Das Durchführen des Vereinzelungsprozesses umfasst ein Vereinzeln durch die Interconnect-Struktur, die Umverteilungsstruktur und das Verkapselungsmaterial. Optional umfasst der Damascene-Prozess in einigen Ausführungsformen: Strukturieren einer Öffnung in einer anorganischen dielektrischen Schicht; und Plattieren der ersten Metallisierungsstruktur in der Öffnung. Optional umfasst das Ausbilden der zweiten Metallisierungsstruktur in einigen Ausführungsformen: Abscheiden einer Keimschicht über der Interconnect-Struktur; Strukturieren einer Öffnung in einem Photoresist über der Keimschicht; Plattieren der zweiten Metallisierungsstruktur in der Öffnung; Entfernen des Photoresist; und Abscheiden eines organischen Isoliermaterials um die zweite Metallisierungsstruktur herum. Optional umfasst das Verfahren in einigen Ausführungsformen ferner nach dem Bonden des integrierten Schaltungs-Die ein Durchführen eines Vereinzelungsprozesses an der Interconnect-Struktur, der Umverteilungsstruktur und dem Substrat. Optional umfasst das Verfahren in einigen Ausführungsformen ferner vor dem Bonden des integrierten Schaltungs-Die ein Durchführen eines Vereinzelungsprozesses an der Interconnect-Struktur, der Umverteilungsstruktur und dem Substrat. Optional umfasst das Verfahren in einigen Ausführungsformen ferner ein Ausbilden eines Aluminium-Kontaktpads über der ersten Metallisierungsstruktur, wobei das Aluminium-Kontaktpad die erste Metallisierungsstruktur elektrisch mit der zweiten Metallisierungsstruktur verbindet.
  • Das Vorangehende beschreibt Merkmale von verschiedenen Ausführungsformen, so dass Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen können. Fachleute sollten anerkennen, dass sie die vorliegende Offenbarung leicht als Basis verwenden können, um weitere Prozesse und Strukturen zu entwerfen oder zu modifizieren, um die gleichen Ziele zu erreichen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu realisieren. Fachleute sollten auch erkennen, dass solche äquivalenten Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Modifikationen hier vornehmen können, ohne vom Geist und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63268175 [0001]

Claims (20)

  1. Vorrichtungs-Package, aufweisend: einen Interposer, aufweisend: ein Halbleitersubstrat; erste Durchkontaktierungen, die sich durch das Halbleitersubstrat erstrecken, wobei die Durchkontaktierungen von einer Vorderseite des Halbleitersubstrats vorstehen; eine Interconnect-Struktur über der Vorderseite des Halbleitersubstrats, die Interconnect-Struktur aufweisend: eine erste Metallisierungsstruktur in einem anorganischen Isoliermaterial; und einen Passivierungsfilm über der ersten Metallisierungsstruktur; und eine erste Umverteilungsstruktur über dem Passivierungsfilm, wobei die erste Umverteilungsstruktur eine zweite Metallisierungsstruktur in einem organischen Isoliermaterial aufweist, wobei die erste Umverteilungsstruktur mit der Interconnect-Struktur und dem Halbleitersubstrat bündig ist; einen integrierten Schaltungs-Die über dem Interposer und an diesem befestigt; und ein erstes Verkapselungsmaterial um den integrierten Schaltungs-Die herum.
  2. Vorrichtungs-Package nach Anspruch 1, wobei die Interconnect-Struktur ferner ein Kontaktpad in dem Passivierungsfilm aufweist, wobei die zweite Metallisierungsstruktur elektrisch mit dem Kontaktpad verbunden ist, und wobei das Kontaktpad aus einem anderen Material als die erste Metallisierungsstruktur hergestellt ist.
  3. Vorrichtungs-Package nach Anspruch 2, wobei das Kontaktpad aus Aluminium hergestellt ist.
  4. Vorrichtungs-Package nach einem der vorangehenden Ansprüche, wobei sich die zweite Metallisierungsstruktur durch den Passivierungsfilm der ersten Metallisierungsstruktur erstreckt.
  5. Vorrichtungs-Package nach Anspruch 4, wobei sich das organische Isoliermaterial durch den Passivierungsfilm erstreckt.
  6. Vorrichtungs-Package nach einem der vorangehenden Ansprüche, wobei der Interposer und das erste Verkapselungsmaterial bündig sind.
  7. Vorrichtungs-Package nach einem der vorhergehenden Ansprüche, ferner aufweisend: ein zweites Verkapselungsmaterial um den Interposer herum; und eine zweite Umverteilungsstruktur über dem Interposer und dem zweiten Verkapselungsmaterial, wobei die zweite Umverteilungsstruktur den integrierten Schaltungs-Die elektrisch mit dem Interposer verbindet.
  8. Vorrichtungs-Package nach Anspruch 7, ferner aufweisend zweite Durchkontaktierungen, die sich durch das zweite Verkapselungsmaterial erstrecken.
  9. Vorrichtungs-Package nach einem der vorangehenden Ansprüche, ferner aufweisend eine dritte Umverteilungsstruktur auf einer dem integrierten Schaltungs-Die entgegengesetzten Seite.
  10. Vorrichtungs-Package nach einem der vorangehenden Ansprüche, wobei der Interposer frei von jeglichen aktiven Vorrichtungen ist.
  11. Vorrichtungs-Package, aufweisend: einen Interposer, der frei von jeglichen aktiven Vorrichtungen ist, der Interposer aufweisend: ein Halbleitersubstrat; eine Interconnect-Struktur, die eine erste Metallisierungsstruktur in einem ersten Isoliermaterial aufweist; und eine erste Umverteilungsstruktur, die eine zweite Metallisierungsstruktur in einem zweiten Isoliermaterial aufweist, wobei das zweite Isoliermaterial einen geringeren Verlustfaktor als das erste Isoliermaterial aufweist; einen integrierten Schaltungs-Die, der elektrisch mit dem Interposer verbunden ist, wobei sich die Interconnect-Struktur und die erste Umverteilungsstruktur jeweils zwischen dem integrierten Schaltungs-Die und dem Halbleitersubstrat befinden; ein erstes Verkapselungsmaterial um den integrierten Schaltungs-Die herum, wobei das erste Verkapselungsmaterial den integrierten Schaltungs-Die in einer Draufsicht vollständig umgibt, wobei äußere Seitenwände des ersten Verkapselungsmaterials mit äußeren Seitenwänden des Interposer bündig sind; und eine zweite Umverteilungsstruktur auf einer dem integrierten Schaltungs-Die entgegengesetzten Seite des Interposer.
  12. Vorrichtungs-Package nach Anspruch 11, wobei das erste Isoliermaterial ein anorganisches Material ist, und wobei das zweite Isoliermaterial ein organisches Material ist.
  13. Vorrichtungs-Package nach Anspruch 11 oder 12, wobei die erste Metallisierungsstruktur durch ein Aluminium-Kontaktpad elektrisch mit der zweiten Metallisierungsstruktur verbunden ist.
  14. Vorrichtungs-Package nach einem der Ansprüche 11 bis 13, wobei die erste Metallisierungsstruktur in physischem Kontakt mit der zweiten Metallisierungsstruktur steht.
  15. Verfahren, umfassend: Ausbilden einer Interconnect-Struktur auf einem Substrat, wobei die Interconnect-Struktur eine erste Metallisierungsstruktur aufweist, die durch einen Damascene-Prozess ausgebildet wird; Ausbilden einer Umverteilungsstruktur auf der Interconnect-Struktur, wobei die Umverteilungsstruktur eine zweite Metallisierungsstruktur aufweist, die durch eine andere Art von Prozess als die erste Metallisierungsstruktur ausgebildet wird; Bonden eines integrierten Schaltungs-Die über der Umverteilungsstruktur; Verkapseln des integrierten Schaltungs-Die in einem Verkapselungsmaterial; und Durchführen eines Vereinzelungsprozesses, wobei das Durchführen des Vereinzelungsprozesses ein Vereinzeln durch die Interconnect-Struktur, die Umverteilungsstruktur und das Verkapselungsmaterial umfasst.
  16. Verfahren nach Anspruch 15, wobei der Damascene-Prozess umfasst: Strukturieren einer Öffnung in einer anorganischen dielektrischen Schicht; und Plattieren der ersten Metallisierungsstruktur in der Öffnung.
  17. Verfahren nach Anspruch 15 oder 16, wobei das Ausbilden der zweiten Metallisierungsstruktur umfasst: Abscheiden einer Keimschicht über der Interconnect-Struktur; Strukturieren einer Öffnung in einem Photoresist über der Keimschicht; Plattieren der zweiten Metallisierungsstruktur in der Öffnung; Entfernen des Photoresist; und Abscheiden eines organischen Isoliermaterials um die zweite Metallisierungsstruktur herum.
  18. Verfahren nach Anspruch 17, ferner umfassend nach dem Bonden des integrierten Schaltungs-Die ein Durchführen eines Vereinzelungsprozesses an der Interconnect-Struktur, der Umverteilungsstruktur und dem Substrat.
  19. Verfahren nach einem der Ansprüche 15 bis 18, ferner umfassend vor dem Bonden des integrierten Schaltungs-Die ein Durchführen eines Vereinzelungsprozesses an der Interconnect-Struktur, der Umverteilungsstruktur und dem Substrat.
  20. Verfahren nach einem der Ansprüche 15 bis 19, ferner umfassend ein Ausbilden eines Aluminium-Kontaktpads über der ersten Metallisierungsstruktur, wobei das Aluminium-Kontaktpad die erste Metallisierungsstruktur elektrisch mit der zweiten Metallisierungsstruktur verbindet.
DE102023100773.4A 2022-02-17 2023-01-13 Integriertes schaltungs-package und verfahren Pending DE102023100773A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202263268175P 2022-02-17 2022-02-17
US63/268,175 2022-02-17
US17/837,686 US20230260896A1 (en) 2022-02-17 2022-06-10 Integrated circuit package and method
US17/837,686 2022-06-10

Publications (1)

Publication Number Publication Date
DE102023100773A1 true DE102023100773A1 (de) 2023-08-17

Family

ID=86625378

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102023100773.4A Pending DE102023100773A1 (de) 2022-02-17 2023-01-13 Integriertes schaltungs-package und verfahren

Country Status (5)

Country Link
US (1) US20230260896A1 (de)
KR (1) KR20230124459A (de)
CN (1) CN116247030A (de)
DE (1) DE102023100773A1 (de)
TW (1) TW202335202A (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220352123A1 (en) * 2021-05-03 2022-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture

Also Published As

Publication number Publication date
CN116247030A (zh) 2023-06-09
KR20230124459A (ko) 2023-08-25
TW202335202A (zh) 2023-09-01
US20230260896A1 (en) 2023-08-17

Similar Documents

Publication Publication Date Title
DE102017117815B4 (de) Struktur eines Halbleitergehäuses und Herstellungsverfahren
DE102019109690B4 (de) Halbleiterstrukturen und Verfahren zu deren Herstellung
DE102020101431B4 (de) Halbleitervorrichtung und Herstellungsverfahren
DE102019117762B4 (de) Integriertes schaltungspackage und verfahren
DE102019103729B4 (de) Halbleiter-package und verfahren
DE102018112657A1 (de) Halbleitergehäuse und verfahren zu seinem herstellen
DE102018130035B4 (de) Package und verfahren
DE102020104147B4 (de) Halbleiter-bauelemente und verfahren zu deren herstellung
DE102019116376B4 (de) Package mit integrierter Schaltung und Verfahren zu seinem Bilden
DE102021102836A1 (de) Integriertes schaltungspackage und verfahren
DE102021113639B3 (de) Integriertes schaltungs-package und verfahren zum bilden desselben
DE102020113988B4 (de) Integrierter-schaltkreis-package und verfahren
DE102021100133A1 (de) Integriertes schaltungspackage und verfahren
DE102019114984B4 (de) Package für integrierte schaltungen und verfahren
DE102017123326B4 (de) Halbleiter-Packages und Verfahren zu deren Herstellung
DE102020108481B4 (de) Halbleiter-Die-Package und Herstellungsverfahren
DE102023100773A1 (de) Integriertes schaltungs-package und verfahren
DE102021102227A1 (de) Wärmeableitung bei Halbleiter-Packages und Verfahren zum Ausbilden derselben
DE102021107792A1 (de) Halbleiter-packages und verfahren
DE102021103541A1 (de) Ic-package und verfahren
DE102020131125A1 (de) Halbleiterpaket und Verfahren zum Herstellen desselben
DE102018102086A1 (de) Halbleiter-packages und verfahren zu deren herstellung
DE102021117899B3 (de) Verfahren zur herstellung eines integrierten schaltungs-packages
DE102017102534B4 (de) Umverteilungsschichten in Halbleiter-Packages und Verfahren zu deren Herstellung
DE102020116106B4 (de) Halbleitervorrichtungen und herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed