DE102009000625B4 - Verfahren zur Herstellung einer Halbleiterkomponente und eines Halbleiterbauelements sowie zugehöriger Zweifach-Damascene-Prozess - Google Patents

Verfahren zur Herstellung einer Halbleiterkomponente und eines Halbleiterbauelements sowie zugehöriger Zweifach-Damascene-Prozess Download PDF

Info

Publication number
DE102009000625B4
DE102009000625B4 DE102009000625.7A DE102009000625A DE102009000625B4 DE 102009000625 B4 DE102009000625 B4 DE 102009000625B4 DE 102009000625 A DE102009000625 A DE 102009000625A DE 102009000625 B4 DE102009000625 B4 DE 102009000625B4
Authority
DE
Germany
Prior art keywords
layer
metal
insulating layer
sacrificial material
sacrificial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102009000625.7A
Other languages
English (en)
Other versions
DE102009000625A1 (de
Inventor
Erdem Kaltalioglu
Philipp Riess
Hermann Wendt
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of DE102009000625A1 publication Critical patent/DE102009000625A1/de
Application granted granted Critical
Publication of DE102009000625B4 publication Critical patent/DE102009000625B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren zur Herstellung einer Halbleiterkomponente, mit den folgenden Schritten: Abscheiden einer isolierenden Schicht (40) über einer Metallebene (20); Abscheiden einer Hartmaskenschicht (50) über der isolierenden Schicht (40); Abscheiden einer Opfermaterialschicht (60) über der Hartmaskenschicht (50); Bilden von Gräben (75; 76) für Metallleitungen in der isolierenden Schicht (40) durch Strukturieren der Opfermaterialschicht (60), der Hartmaskenschicht (50) und der isolierenden Schicht (40); Abscheiden eines Dummy-Füllmaterials (105) in die Metallleitungsgräben, wobei das Dummy-Füllmaterial eine Überfüllungsschicht über der Oberfläche der Opfermaterialschicht (60) bildet; Verwenden einer Durchkontaktierungsmaske (140), um einen Teil einer oberen Oberfläche des Dummy-Füllmaterials (105) freizulegen; Bilden von Durchkontaktierungsöffnungen (77) durch Entfernen des freigelegten Teils des Dummy-Füllmaterials (105) und der darunterliegenden isolierenden Schicht (40), wobei die Opfermaterialschicht (60) die darunterliegende Hartmaskenschicht (50) schützt; Entfernen des Dummy-Füllmaterials (105) aus den Metallleitungsgräben (76); und Füllen der Durchkontaktierungsöffnungen (77) und der Metallleitungsgräben (76) mit einem leitfähigen Material (160) zum Ausbilden von Durchkontaktierungen (151) und Metallleitungen (158, 159), wobei eine obere Oberfläche der Durchkontaktierungen (151) und eine untere Oberfläche der Metallleitungen (158, 159) dieselbe Breite aufweisen.

Description

  • Die vorliegende Erfindung betrifft ein Verfahren zur Herstellung einer Halbleiterkomponente und eines Halbleiterbauelements und insbesondere Zweifach-Damascene-Prozesse.
  • Halbleiterbauelemente werden in vielen Elektronik- und anderen Anwendungen verwendet. Halbleiterbauelemente umfassen integrierte Schaltungen, die auf Halbleiterwafern durch Abscheiden vieler Arten von Dünnfilmen aus Material über den Halbleiterwafern und Strukturieren der Dünnfilme aus Material zur Bildung der integrierten Schaltungen gebildet werden.
  • Die obersten Schichten von Halbleiterbauelementen sind gewöhnlich Metallisierungsschichten. Die Herstellung von Halbleiterbauelementen wird in der Regel nach zwei Phasen klassifiziert, dem FEOL (front end of line) und dem BEOL (back end of line). Das BEOL wird in der Regel als der Punkt des Herstellungsprozesses betrachtet, an dem Metallisierungsschichten gebildet werden, und das FEOL wird als die Herstellungsprozesse vor der Bildung von Metallisierungsschichten umfassend betrachtet.
  • Obwohl bestimmte integrierte Schaltungen eine einzige oberste Schicht der Metallisierung aufweisen, umfassen andere integrierte Schaltungen Mehrebenen-Zwischenverbindungen, wobei zwei oder mehr Metallisierungsschichten über einem Halbleiterwafer oder Arbeitsstück gebildet werden. Jede leitfähige Leitungsschicht umfasst in der Regel mehrere leitfähige Leitungen, die durch ein isolierendes Material, das auch als Dielektrikum zwischen Ebenen (ILD) bezeichnet wird, voneinander getrennt werden. Die leitfähigen Leitungen in angrenzenden horizontalen Metallisierungsschichten können durch zwischen den leitfähigen Leitungen gebildete Durchkontaktierungen an vorbestimmten Stellen vertikal verbunden werden.
  • Eines der Probleme in der Halbleitertechnologie erfordert die Entwicklung von Technologien, die Prozessschwankungen minimieren. Daher wird eine gegebene Technologie im Hinblick auf Prozessbeschränkungen optimiert. Zum Beispiel werden Metallleitungen normalerweise in der Nähe von oder über Durchkontaktierungen breiter strukturiert, um Ausrichtungsfehler zu minimieren. Solche Justierungen in dem Prozess erfolgen jedoch gewöhnlich auf Kosten anderer Dinge. Zum Beispiel führen breitere Metallleitungen zu einer Verringerung des Abstands zwischen den Metallleitungen und können zu unerwünschten Effekten wie etwa Ausbeute- oder Leistungsfähigkeitsverlusten führen.
  • Aus der US 2006/0216926 A1 ist ein Verfahren zur Herstellung einer Halbleiterkomponente bekannt, wobei eine isolierende Schicht über einer Metallebene abgeschieden wird, eine Opfermaterialschicht über der isolierenden Schicht abgeschieden wird und Gräben für Metallleitungen in der isolierenden Schicht durch Strukturieren der Opfermaterialschicht und der isolierenden Schicht ausgebildet werden. Ferner ist ein Abscheiden eines Dummy-Füllmaterials in die Metallleitungsgräben sowie das Verwenden einer Durchkontaktierungsmaske, um einen Teil einer oberen Oberfläche des Dummy-Füllmaterials freizulegen, bekannt. Nach dem Entfernen des Dummy-Füllmaterials aus den Metallleitungsgräben werden die Durchkontaktierungsöffnungen und Metallleitungsgräben mit einem leitfähigen Material aufgefüllt.
  • Die Druckschrift US 7 323 357 B2 offenbart ein Verfahren zur Herstellung einer PCM-Speicherzelle (Phase Change Memory).
  • Aus der Druckschrift US 6 627 557 B2 ist ein Dual-Damascene-Verfahren bekannt, wobei dessen grundsätzliche Realisierungsmöglichkeiten und Problembereiche beschrieben sind, d.h. zuerst die Kontaktlöcher und anschließend die Verdrahtungsnuten oder zuerst die Verdrahtungsnuten und anschließend die Kontaktlöcher ausbilden.
  • Aus der Druckschrift US 2006/0077702 A1 ist ferner eine Halbleiter-Speicherzelle bekannt, welche eine Zwischenverbindungsstruktur mit mehreren Metallisierungsebenen darstellt.
  • Der Erfindung liegt die Aufgabe zugrunde, Verfahren zur Herstellung einer Halbleiterkomponente oder eines Halbleiterbauelements sowie einen zugehörigen Zweifach-Damascene-Prozess zu schaffen, wodurch eine erhöhte Ausbeute bei weiterhin verringerten Kosten ermöglicht ist.
  • Erfindungsgemäß wird diese Aufgabe durch die Maßnahmen der unabhängigen Patentansprüche 1, 12, 17 und 19 gelöst.
  • In den Unteransprüchen sind weitere vorteilhafte Ausgestaltungen der Erfindung gekennzeichnet.
  • Es werden somit kosteneffektive Arten der Bildung einer BEOL-Metallisierung ohne signifikante Zunahme von Kosten oder Ausbeute-, Leistungsfähigkeits- und Zuverlässigkeitsverlusten geschaffen.
  • Für ein vollständigeres Verständnis der vorliegenden Erfindung und ihrer Vorteile wird nun auf die folgenden Beschreibungen in Verbindung mit den beigefügten Zeichnungen Bezug genommen. Es zeigen:
  • 1, die 1a1e umfasst, selbstausgerichtete Durchkontaktierungen und Metallleitungen, die gemäß Ausführungsformen der Erfindung hergestellt werden, wobei 1a eine Querschnittsansicht, 1b eine Draufsicht der Querkontaktierungen und der Metallleitungen, 1c eine vergrößerte Draufsicht der Durchkontaktierungen und der Metallleitungen, 1d eine Querschnittsansicht und 1e eine Querschnitts-Seitenansicht gemäß Ausführungsformen der Erfindung darstellen;
  • 2, die 2a2v umfasst, ein Verfahren zur Herstellung einer Metallebene und einer Durchkontaktierungsebene in verschiedenen Herstellungsphasen gemäß Ausführungsformen der Erfindung, wobei 2a, 2c, 2e, 2g, 2i, 2k, 2m, 2o, 2q, 2s und 2u Querschnittsansichten der Zwischenverbindungsstruktur und 2b, 2d, 2f, 2h, 2j, 2l, 2n, 2p, 2r, 2t und 2v Draufsichten einer Metallisierungsschicht gemäß Ausführungsformen der Erfindung darstellen;
  • 3 ein Flussdiagramm zur Bildung einer Metall- und einer Durchkontaktierungsebene wie in 2 dargestellt, gemäß Ausführungsformen der Erfindung;
  • 4, die die 4a4f umfasst, Querschnittsansichten einer Metallisierungsschicht bei einem Verfahren zur Herstellung einer Ebene Metall (n + 1) und einer Ebene Durchkontaktierung (n) in verschiedenen Verarbeitungsphasen gemäß Ausführungsformen der Erfindung;
  • 5 ein Flussdiagramm zur Bildung einer in 4 dargestellten Ebene von Metall und Durchkontaktierung (n) gemäß Ausführungsformen der Erfindung;
  • 6, die 6a6c umfasst, eine nicht erfindungsgemäße Anwendung des Verfahrens;
  • 7, die 7a und 7b umfasst, eine Anwendung des Verfahrens unter Verwendung von Durchkontaktierungsmasken, die Leitungen umfassen, gemäß einer Ausführungsform der Erfindung; und
  • 8 eine Draufsicht einer Metallebene, wobei 8a die Draufsicht einer unter Verwendung von Ausführungsformen der Erfindung hergestellten Metallebene und 8b eine mit herkömmlichen Prozessen hergestellte Metallebene darstellt.
  • Entsprechende Bezugszahlen und Symbole in den verschiedenen Figuren beziehen sich im Allgemeinen auf entsprechende Teile, wenn es nicht anders angemerkt wird. Die Figuren sind so gezeichnet, dass die relevanten Aspekte der Ausführungsformen deutlich dargestellt werden, und sind nicht unbedingt maßstabsgetreu.
  • Die vorliegende Erfindung wird mit Bezug auf bevorzugte Ausführungsformen in einem spezifischen Kontext beschrieben, nämlich als Struktur und Verfahren zur Bildung einer Zwischenverbindungs-Metallisierung unter Verwendung von Damascene-Prozessen.
  • Bei herkömmlichen Zweifach-Damascene-Prozessen wird zuerst ein Durchkontaktierungsgraben geätzt, gefolgt von einer Ätzung zur Bildung von Gräben, die Metallleitungen bilden. Eine Schlüsseleinschränkung bei diesem Prozess ist folglich die Möglichkeit die Metallleitungs-Grabenätzung mit der Durchkontaktierungs-Grabenätzung zu überlagern. Dies ist jedoch ein schwieriges Problem, bei dem etwaige Wafer- sowie Maskenausrichtungsfehler minimiert werden müssen. In der Praxis wird daher diese Fehlausrichtung zwischen den Metallleitungsgräben und den Durchkontaktierungsgräben minimiert, indem die Metallleitungen insbesondere über den Durchkontaktierungen breiter als die Durchkontaktierungen geätzt werden. Diese breitere Metallleitung über den Durchkontaktierungen führt jedoch zu anderen Problemen. Zum Beispiel verringert die größere Metallbreite den Abstand zwischen benachbarten oder angrenzenden Metallleitungen. Wenn insbesondere dieser Abstand bis auf eine Distanz von weniger als der Entwurfsregelabstand abnimmt, lassen sich signifikante nachteilige Auswirkungen beobachten. Zum Beispiel kann der reduzierte Abstand zwischen Metallleitungen die Prozessreserve während der Herstellung verringern und zu einer geringeren Prozessausbeute führen. Die vergrößerte Metallbreite kann außerdem die Leistungsfähigkeit reduzieren (vergrößerte Zwischenverbindungskopplung) und auch zu vergrößerten Zuverlässigkeitsproblemen während Produktprüfung (z.B. Dielektrikum-Durchschlag wie etwa TDDB) und des Betriebs führen.
  • Bei verschiedenen Ausführungsformen vermeidet die Erfindung die durch Fehlausrichtung zwischen Metallleitungen und Durchkontaktierungen entstehenden Probleme. Bei verschiedenen Ausführungsformen überwindet die vorliegende Erfindung diese Einschränkungen durch Bildung des Grabens für Durchkontaktierung nach der Bildung des Grabens für Metallleitungen. Der Graben für Durchkontaktierung wird nur in Regionen geätzt, bei denen ein Metallleitungsgraben darüber liegt. Ferner erzielt die Erfindung bei verschiedenen Ausführungsformen dies durch Verwendung einer Opfermaterialschicht, die gegenüber der Durchkontaktierungsätzung widerstandsfähig ist und andere Regionen der Strukturen vor Ätzung schützt.
  • Eine Strukturausführungsform der Erfindung wird zunächst unter Verwendung von 1 beschrieben. Unter Verwendung von 2 und 4 und der Flussdiagramme von 3 und 5 werden Ausführungsformen der Herstellungsverfahren beschrieben. Eine nicht erfindungsgemäße Anwendung zur Minimierung der Fehlausrichtung in Metall- und Durchkontaktierungsebenen wird unter Verwendung von 6 besprochen. In 7 ist eine Ausführungsform der Erfindung dargestellt, die eine bei der Herstellung der Durchkontaktierungen und Metallleitungen verwendete Durchkontaktierungsmaske zeigt. 8 vergleicht die unter Verwendung von Ausführungsformen der vorliegenden Erfindung hergestellte Metallisierung mit einer unter Verwendung herkömmlicher Verarbeitung hergestellten Metallisierung.
  • Eine Ausführungsform der Erfindung ist in 1 dargestellt, die 1a1e umfasst. 1e zeigt einen Querschnitt eines Halbleiterchips mit mehreren Ebenen aus Metall und Durchkontaktierungsebenen, die über einem Substrat 1 angeordnet sind. Das Substrat 1 umfasst die aktiven Bauelemente, die die aktiven Schaltkreise des Halbleiterchips bilden. Die aktiven Schaltkreise enthalten die aktiven Bauelementeregionen und umfassen notwendige Transistoren, Widerstände, Kondensatoren, Induktivitäten oder andere zur Bildung von integrierten Schaltungen verwendete Komponenten. Zum Beispiel können aktive Bereiche, die Transistoren (z.B. CMOS-Transistoren) umfassen, durch Isolationsregionen (z.B. Flachgrabenisolation) voneinander getrennt werden.
  • Als Nächstes wird über den aktiven Bauelementregionen eine Metallisierung gebildet, um die aktiven Bauelemente elektrisch zu kontaktieren und miteinander zu verbinden. Die Metallisierung und aktiven Bauelementregionen bilden zusammen eine vollständige funktionsfähige integrierte Schaltung. Anders ausgedrückt, können die elektrischen Funktionen des Chips durch die miteinander verbundenen aktiven Schaltkreise ausgeführt werden.
  • 1a zeigt die mit Metallebenen M1 bis Mt und entsprechenden Durchkontaktierungsebenen V1 bis Vt gebildete Metallisierung. Die Metallebenen M1 bis Mt verbinden die verschiedenen aktiven Bauelemente auf dem Chip, während die Durchkontaktierungsebenen V1 bis Vt die verschiedenen Metallebenen verbinden. Bei Logikbauelementen kann die Metallisierung viele Schichten, z.B. neun oder mehr, aus Kupfer oder alternativ aus anderen Metallen umfassen. In Speicherbauelementen wie etwa DRAMs kann die Anzahl der Metallebenen kleiner sein und das Material kann Aluminium sein. Die Zwischenverbindungsstruktur wird in der Regel mit einer zusätzlichen Passivierungsschicht 9 und einer geeigneten Struktur zur Bildung von Verbindungen zur Kapselung überdeckt.
  • 1b und 1c zeigen eine Draufsicht im Querschnitt einer Metallebene Mn+1. 1c zeigt eine vergrößerte Ansicht der Metallleitungen der Region 13 in 1b. Jede Metallebene umfasst Metallleitungen, die in eine Zwischendielektrikum-Schicht eingebettet sind. Zum Beispiel umfasst die Metallebene Mn+1 eine zweite und dritte Metallleitung 158 und 159, die in eine zweite Zwischendielektrikum-Schicht 40 eingebettet sind. Die zweite und dritte Metallleitung 158 und 159 umfassen ein Metall 160. Unter der zweiten Zwischendielektrikum-Schicht 40 in einer unteren Metallebene Mn ist eine erste Metallleitung 20 angeordnet.
  • Wie in 1b und 1c dargestellt, umfassen die Metallleitungen (zum Beispiel die zweite und dritte Metallleitung 158 und 159) eine obere kritische Abmessung (Breite), die über die Metallebene konstant ist. Sogar in Regionen, die über Durchkontaktierungen liegen (in 1b und 1c liegen Durchkontaktierungen über der ersten Metallleitung 20, da sie mit der ersten Metallleitung 20 verbunden sind), ist die obere kritische Abmessung (Breite) der Metallleitung (CDMV) etwa dieselbe wie die obere kritische Abmessung (Breite) der Metallleitung in Regionen ohne darunter liegende Durchkontaktierungen (CDM).
  • 1d und 1e zeigen vertikale Querschnittsansichten der Zwischenverbindungsstruktur von 1c. 1d und 1e zeigen einen vergrößerten Querschnitt der Zwischenverbindungsstruktur über dem Substrat 1 und zeigen daher eine unter der Metallebene Mn+1 angeordnete Metallebene Mn. Die Metallebenen Mn und Mn+1 werden durch eine dazwischen liegende Durchkontaktierungsebene Vn verbunden. Die vertikalen Querschnittsansichten von 1d und 1e zeigen die zweite und dritte Metallleitung 158 und 159, die das Metall 160 umfassen. Die erste Metallleitung 20 ist in einer ersten Zwischendielektrikum-Schicht 10 zwischen Ebenen angeordnet. Die dritte Metallleitung 159 ist durch eine Durchkontaktierung 151 mit der ersten Metallleitung 20 verbunden. Die Durchkontaktierung 151 umfasst auch das Metall 160. Zwischen der ersten und zweiten Zwischendielektrikum-Schicht 10 und 40 ist eine Ätzstoppschicht 30 angeordnet.
  • Mit Bezug auf 1e ist die kritische Abmessung der Durchkontaktierungen (z.B. der obersten Durchkontaktierung CD) CDV etwa dieselbe wie die obere kritische Abmessung (Breite) der Metallleitung über den Durchkontaktierungen (CDMV).
  • Unter Verwendung von 2 und des Flussdiagramms von 3 wird nun ein Verfahren zur Herstellung der Struktur gemäß einer Ausführungsform der Erfindung beschrieben. 2 und 3 zeigen die Bildung einer Metallebene (Mn+1) und einer Durchkontaktierungsebene (Vn) unter Verwendung eines Zweifach-Damascene-Prozesses in einer Ausführungsform der Erfindung. 2a, 2c, 2e, 2g, 2i, 2k, 2m, 2o, 2q, 2s und 2u zeigen Querschnittsansichten der Zwischenverbindungsstruktur und 2b, 2d, 2f, 2h, 2j, 2l, 2n, 2p, 2r, 2t und 2v zeigen Draufsichten der Zwischenverbindungsstruktur während des Herstellungsprozesses.
  • Zunächst mit Bezug auf 2a und 2b wird nach der Bildung der ersten Metallleitung 20 und des ersten Zwischendielektrikums 10 eine Ätzstoppdeckschicht 30 abgeschieden. Bei der Ätzstoppdeckschicht 30 handelt es sich vorzugsweise um ein Material, das SiCHN umfasst, wie etwa nBLOKTM, obwohl bei anderen Ausführungsformen andere Nitride oder andere geeignete Materialien verwendet werden können. Beispiele für die Ätzstoppdeckschicht 30 sind unter anderen Materialien wie etwa Siliziumnitrid (SiN), Siliziumoxynitrid (SiON), Siliziumcarbid (SiC) oder Siliziumcarbonitrid (SiCN).
  • Über der Ätzstoppdeckschicht 30 wird eine zweite Zwischendielektrikum-Schicht 40 abgeschieden. Bei verschiedenen Ausführungsformen umfasst die zweite Zwischendielektrikum-Schicht 40 isolierende Materialien, die in der Regel bei der Halbleiterherstellung für Schichten des Zwischendielektrikums (ILD) verwendet werden. Die zweite Zwischendielektrikum-Schicht 40 umfasst vorzugsweise ein dielektrisches low-k-Material, wie etwa ein Material, das aus der Gruppe ausgewählt wird, die Folgendes umfasst: Siliziumdioxid (SiO2), fluoriertes Silikatglas (FSG), kohlenstoffdotiertes Glas (wie etwa Black DiamondTM), CoralTM, AuroraTM), Organosilikatglas (OSG), wasserstoffdotiertes Glas, poröses kohlenstoffdotiertes Glas, poröses Siliziumdioxid, Polymer-Dielektrika (z.B. FLARETM, SILKTM), F-dotierter amorpher Kohlenstoff, Polymer-Dielektrika auf Silikonbasis wie etwa Wasserstoffsilsesquioxan (HSQ) und Methylsilsesquioxan (MSQ). Bei bestimmten Ausführungsformen umfasst die zweite Zwischendielektrikum-Schicht 40 ultra-low-k-Materialen, wie etwa poröses Silikatglas, Xerogel, Aerogel, nanogeclustertes Silika (NCS), poröses Organosilikatglas, poröse Organika. Die zweite Zwischendielektrikum-Schicht 40 kann entweder ein Aufschleudermaterial sein oder durch Techniken wie etwa CVD abgeschieden werden. Als Alternative kann die zweite Zwischendielektrikum-Schicht 40 jedoch auch andere Materialien umfassen.
  • Über der zweiten Zwischendielektrikum-Schicht 40 wird eine erste Hartmaskenschicht 50 abgeschieden. Die erste Hartmaskenschicht 50 umfasst SiO2, wie etwa Tetraethyloxysilan (TEOS), Siliziumcarbid (SiC) oder kohlenstoffdotiertes Glas, obwohl bei verschiedenen Ausführungsformen andere Materialien verwendet werden können. Über der ersten Hartmaskenschicht 50 wird eine Opfermaterialschicht 60 abgeschieden. Die Opfermaterialschicht 60 umfasst vorzugsweise TiN oder TaN. Bei bestimmten Ausführungsformen umfasst die Opfermaterialschicht 60 ein Metall (z.B. Ru, Hf, Ti, Ta, Ti, La, V, Nb, Pr, Dy, Sr, Gd, Mo); Metalllegierungen (z.B. TiW); oder Nitride (z.B. TiN, TaN, HfN, TaSiN, TiWN, NbN, MoN, TiAlN, MoSiN, HfSiN, TiSiN oder Kombinationen dieser); Carbonitride (z.B. TiCN, NbCN, HfCN, TaCN); Silizide (z.B. TiSi2, WSi2).
  • Über der Opfermaterialschicht 60 wird eine erste ARC-Schicht 70 abgeschieden. Über der ersten Schicht 70 der Antireflexbeschichtung (ARC) wird ein erstes Photoresist 80 abgeschieden. Zum Belichten des ersten Photoresists 80 wird eine (in 2b gezeigte) Metallleitungsmaske 90 benutzt. Das Photoresist wird als Nächstes zum Beispiel durch Niedertemperaturausheizung entwickelt. Wie in 2c und 2d dargestellt, wird das belichtete erste Photoresist 80 geätzt, um die erste Schicht 70 der Antireflexbeschichtung (ARC) freizulegen.
  • Mit Bezug auf 2e und 2f ätzt als Nächstes ein Anisotropie-RIE-Prozess die erste ARC-Schicht 70, die Opfermaterialschicht 60, die erste Hartmaskenschicht 50 und die zweite dielektrische Schicht 40 zwischen Ebenen. Wie als Nächstes in 2g und 2h dargestellt, werden das erste Photoresist 80 und die erste ARC-Schicht 70 entfernt, um die Gräben 75 zu bilden. Die Gräben 75 bilden die Öffnungen zur Bildung von Metallleitungen. Während der Bildung der Gräben 75 kann ein Teil des ersten Photoresists 80 oder das gesamte erste Photoresists 80 geätzt werden.
  • Als Nächstes wird zum Füllen der Gräben 75 ein Dummy-Füllmaterial 105 verwendet, wodurch die dummy-gefüllten Gräben 100 ausgebildet werden. Das Dummy-Füllmaterial 105 umfasst vorzugsweise ein planarisierendes Aufschleudermaterial wie etwa das von JSR hergestellte NFCTM oder andere untere Antireflexbeschichtungsmaterialien (BARC). Das Dummy-Füllmaterial 105 wird überfüllt, um eine glatte Oberfläche zu bilden. Über dem Dummy-Füllmaterial 105 wird eine zweite Hartmaskenschicht 110 abgeschieden, gefolgt von einer Abscheidung einer zweiten Schicht der Antireflexbeschichtung (ARC) 120. Die zweite Hartmaskenschicht 110 umfasst vorzugsweise eine Niedertemperatur-Oxidschicht. Über der zweiten ARC-Schicht 120 wird ein zweites Photoresist 130 abgeschieden. 2j zeigt auch die darunter liegenden dummy-gefüllten Gräben 100.
  • Als Nächstes wird mit Bezug auf 2k und 2l eine Durchkontaktierungsmaske 140 verwendet, um das zweite Photoresist 130 zu strukturieren. Die Durchkontaktierungsmaske ist vorzugsweise breiter als die darunter liegenden dummy-gefüllten Gräben 100, um Fehlausrichtung zu minimieren. Zum Beispiel ist die Breite der Photoresiststruktur 133 WV größer als die obere Breite des Grabens WM. Bei bestimmten Ausführungsformen ist dies jedoch nicht notwendig.
  • Eine Anisotropieätzung wird verwendet, um die zweite ARC-Schicht 120, die zweite Hartmaskenschicht 110 und das Dummy-Füllmaterial 105 durchzuätzen. Die Anisotropieätzung umfasst vorzugsweise eine reaktive Ionenätzung (RIE). Bei verschiedenen Ausführungsformen werden die zweite ARC-Schicht 120 und die zweite Hartmaskenschicht 110 unter Verwendung einer CF4/CHF3-Chemie geätzt. Wie in 2m dargestellt, wird das Ätzen folglich fortgesetzt, indem das Dummy-Füllmaterial 105 aus den dummy-gefüllten Gräben 100 entfernt wird. Die RIE schreitet unter Verwendung einer Chemie mit CO/N2, Ar/O2 oder O2/CO/N2 voran, um das Dummy-Füllmaterial 105 und die zweite dielektrische Schicht 40 zwischen Ebenen zu ätzen. Die RIE-Chemie kann anders gewählt werden, um das Dummy-Füllmaterial 105 und die zweite dielektrische Schicht 40 zwischen Ebenen zu ätzen. Es können andere geeignete Ätzchemien verwendet werden, um das Dummy-Füllmaterial 105 zu ätzen und die darunter liegende Opfermaterialschicht 60 freizulegen. Die Chemie des RIE-Prozesses wird so gewählt, dass eine niedrige Ätzrate der Opfermaterialschicht 60 sichergestellt wird. Diese niedrige Ätzrate auf der Opfermaterialschicht 60 schützt nicht nur die Opfermaterialschicht 60, sondern auch die Schichten unter ihr. Wenn zum Beispiel die Opfermaterialschicht 60 TiN umfasst, wird eine Plasmaätzchemie mit C4F8 gewählt, um die Ätzung der Opfermaterialschicht 60 zu minimieren. Bei verschiedenen Ausführungsformen ist das Verhältnis der Ätzrate der Opfermaterialschicht 60 zu der Ätzrate der zweiten dielektrischen Schicht 40 zwischen Ebenen kleiner als etwa 1:5 und vorzugsweise kleiner als etwa 1:10. Zum Beispiel wird bei einer Ausführungsform die Ätzchemie so gewählt, dass das Verhältnis der Ätzrate der Opfermaterialschicht 60 zu der Ätzrate der zweiten Zwischendielektrikum-Schicht 40 etwa 1:20 beträgt. Die Draufsicht in 2n zeigt den Rand mit der Opfermaterialschicht 60, die um den Graben herum gebildet wird. Die Anisotropieätzung wird auf der Ätzstoppdeckschicht 30 nach dem Ätzen durch die zweite Zwischendielektrikum-Schicht 40 zwischen Ebenen gestoppt. Es wird angemerkt, dass die Durchkontaktierung nur unter den dummy-gefüllten Gräben 100 geätzt wird, obwohl die Durchkontaktierungsmaske 140 breiter als die obere Breite des Grabens WM ist.
  • Wie in 2o und 2p dargestellt, werden als Nächstes etwaiges übriges zweites Photoresist 130, die zweite ARC-Schicht 120 und die zweite Hartmaskenschicht 110 geätzt und entfernt, um die Opfermaterialschicht 60 freizulegen. Das Dummy-Füllmaterial 105 wird als Nächstes geätzt und entfernt, so dass der Metallleitungsgraben 76 und der Durchkontaktierungsgraben 77 bzw. die Durchkontaktierungsöffnung geöffnet werden. Die Opfermaterialschicht 60 schützt die Ätzung der ersten Hartmaskenschicht 50 während des Ätzprozesses zur Entfernung des Dummy-Füllmaterials 105. Als Nächstes wird die Ätzstoppdeckschicht 30 geätzt, wodurch die erste Metallleitung 20 freigelegt wird. Bei bestimmten Ausführungsformen wird die Ätzstoppdeckschicht 30 mit einer Ätzchemie von CF4/CO oder Ar/CO2/CF4/CH2F2 geätzt.
  • In die Metallleitungs- und Durchkontaktierungsgräben 76 und 77 und über eine obere Oberfläche der Opfermaterialschicht 60 wird durch einen geeigneten Prozess wie etwa PVD, Sputtern, CVD (2q) eine Metalldeckschicht 150 abgeschieden. Die Metalldeckschicht 150 umfasst ein Diffusionsbarrierenmetall wie etwa Titannitrid, Titan, Tantal, Tantalnitrid, Wolframnitrid, Wolframcarbonitrid (WCN), Ruthenium oder andere geeignete leitfähige Nitride oder Oxide. Über der Metalldeckschicht 150 wird ein Metall 160 abgeschieden (2q und 2r). Das Metall 160 wird durch einen elektrisch chemischen Abscheidungsprozess abgeschieden. Das Metall 160 umfasst vorzugsweise Kupfer oder seine Legierungen, obwohl es bei bestimmten Ausführungsformen Aluminium, Gold, Wolfram und Kombinationen dieser oder andere geeignete leitfähige Materialien umfassen kann. Das Metall 160 und die Metalldeckschicht 150 bilden die zweite und dritte Metallleitung 158 und 159 sowie die die erste Metallleitung 20 verbindende Durchkontaktierung 151. Wie in 2s und 2t dargestellt, wird das Metall 160 unter Verwendung eines geeigneten Prozesses wie etwa chemisch-mechanisches Polieren (CMP) planarisiert und poliert. Der CMP-Prozess entfernt auch die Opfermaterialschicht 60 und die erste Hartmaskenschicht 50. Bei verschiedenen Ausführungsformen wird die erste Hartmaskenschicht 50 jedoch nicht vollständig entfernt und wird als Deckschicht für die zweite Zwischendielektrikum-Schicht 40 verwendet. 2u und 2v zeigen auch die gebildete zweite und dritte Metallleitung 158 und 159 und die Durchkontaktierung 151.
  • Unter Verwendung von 4 und des Flussdiagramms von 5 wird eine Ausführungsform zur Herstellung einer Metallebene (Mn+1) und einer Durchkontaktierungsebene (Vn) unter Verwendung eines Zweifach-Damascene-Prozesses gemäß einer Ausführungsform der Erfindung beschrieben.
  • Die Ausführungsform folgt der obigen Beschreibung für 2g und 2h. Wie bei der vorherigen Ausführungsform füllt ein Dummy-Füllmaterial 105 die Gräben zur Bildung der dummy-gefüllten Gräben 100 (4a). Wie als Nächstes in 4b dargestellt, wird die Überfüllung des Dummy-Füllmaterials 105 durch einen RIE-Ätzprozess geätzt und planarisiert, um eine Füllstopfung in den geätzten Gräben 100 zu bilden. Nachfolgende Schritte folgen wie bei den vorherigen Ausführungsformen. Zum Beispiel wird in 4c die erste ARC-Schicht 70 über der Opfermaterialschicht 60 abgeschieden, gefolgt von Abscheidung des ersten Photoresists 80. Das erste Photoresist 80 wird strukturiert (4d), gefolgt von Bildung des Durchkontaktierungsgrabens 77.
  • 6, die 6a6c umfasst, zeigt eine nicht erfindungsgemäße Anwendung des Verfahrens zur Minimierung von Fehlausrichtung zwischen Metallleitungen und Durchkontaktierungsmaskenebenen.
  • Mit Bezug auf 6a wird das Photoresist 80 wie in 2c beschrieben strukturiert. Aufgrund einer Fehlausrichtung zwischen der Durchkontaktierungsmaske 140 und der Metallleitungsmaske 90 ist die Photoresiststruktur 133 jedoch mit dem dummy-gefüllten Graben 100 fehlausgerichtet. Wie in der veranschaulichenden 2m beschrieben durchätzt die Anisotropieätzung zur Bildung des Durchkontaktierungsgrabens 77 nicht die Opfermaterialschicht 60. Folglich schreitet die Ätzung voran, indem die Regionen mit höherer Selektivität (Dummy-Materialschicht 105) wie in 6b dargestellt geätzt werden. Trotz der Fehlausrichtung zwischen der Metallleitungs- und Durchkontaktierungsmaske 90 und 140 kann daher die Fehlausrichtung zwischen den Metallleitungen und Durchkontaktierungen verringert werden (6c).
  • Eine Ausführungsform der Erfindung, die eine bei der Herstellung der Durchkontaktierungen und Metallleitungen verwendete Durchkontaktierungsmaske darstellt, ist in 7 gezeigt, die 7a und 7b umfasst.
  • Der Durchkontaktierungsmaskenentwurf kann im Gegensatz zu einer herkömmlichen Durchkontaktierungsmaske verschiedene Formen umfassen. Der Grund dafür besteht darin, dass trotz der größeren Größe der Durchkontaktierungsmaske die Durchkontaktierungen 151 nur in Regionen geätzt werden, die über der Metallmaske liegen. Wie in 7a dargestellt, werden die Metallleitungsmaske 90 und die Durchkontaktierungsmaske 140 senkrecht ausgerichtet. Die Durchkontaktierungsmaske 140 umfasst ferner einen Streifen und kann bei verschiedenen Ausführungsformen andere Formen umfassen. Die Durchkontaktierungsmaske kann beispielsweise eine Linie, ein Quadrat, einen Kreis oder eine andere geeignete Form umfassen. Bei verschiedenen Ausführungsformen der Erfindung werden die Durchkontaktierungen 151 nur in den Regionen strukturiert, die der Metallleitungsmaske 90 und der Durchkontaktierungsmaske 140 gemeinsam sind. Wie als Nächstes in 7b dargestellt, werden daher die Durchkontaktierungen (z.B. Durchkontaktierung 151) nur über der ersten Metallleitung 20 gebildet und verbinden die erste Metallleitung 20 mit den Metallleitungen in der Metallebene Mn+1.
  • 8 zeigt eine Draufsicht einer Metallebene, wobei 8a die Draufsicht einer unter Verwendung von Ausführungsformen der Erfindung hergestellten Metallebene und 8b eine mit herkömmlichen Prozessen hergestellte Metallebene zeigt.
  • Mit Bezug auf 8a umfassen die Metallleitungen (z.B. die zweite und dritte Metallleitung 158 und 159) eine obere kritische Dimension (Breite), die über die Metallebene konstant ist. Metallleitungen in über Kontaktierungen 151 liegenden Regionen werden mit derselben Breite gedruckt und gebildet. Außerdem umfassen die obere Oberfläche der Durchkontaktierungen 151 und die untere Oberfläche der Metallleitung dieselbe Breite. Bei verschiedenen Ausführungsformen der Erfindung weisen die Durchkontaktierungen 151 daher auf zwei Seiten eine kreisförmige oder Bogenform auf, auf den beiden anderen jedoch eine lineare Form. In mit herkömmlichen Prozessen gebildeten Metallebenen ist die obere Oberfläche der Durchkontaktierung jedoch dort, wo sich die Metallleitung über den Durchkontaktierungen befindet (8b), breiter als die untere Oberfläche der Metallleitung. Außerdem umfassen unter Verwendung herkömmlicher Verarbeitung gebildete Durchkontaktierungen eine kreisförmige oder ovale Form.

Claims (21)

  1. Verfahren zur Herstellung einer Halbleiterkomponente, mit den folgenden Schritten: Abscheiden einer isolierenden Schicht (40) über einer Metallebene (20); Abscheiden einer Hartmaskenschicht (50) über der isolierenden Schicht (40); Abscheiden einer Opfermaterialschicht (60) über der Hartmaskenschicht (50); Bilden von Gräben (75; 76) für Metallleitungen in der isolierenden Schicht (40) durch Strukturieren der Opfermaterialschicht (60), der Hartmaskenschicht (50) und der isolierenden Schicht (40); Abscheiden eines Dummy-Füllmaterials (105) in die Metallleitungsgräben, wobei das Dummy-Füllmaterial eine Überfüllungsschicht über der Oberfläche der Opfermaterialschicht (60) bildet; Verwenden einer Durchkontaktierungsmaske (140), um einen Teil einer oberen Oberfläche des Dummy-Füllmaterials (105) freizulegen; Bilden von Durchkontaktierungsöffnungen (77) durch Entfernen des freigelegten Teils des Dummy-Füllmaterials (105) und der darunterliegenden isolierenden Schicht (40), wobei die Opfermaterialschicht (60) die darunterliegende Hartmaskenschicht (50) schützt; Entfernen des Dummy-Füllmaterials (105) aus den Metallleitungsgräben (76); und Füllen der Durchkontaktierungsöffnungen (77) und der Metallleitungsgräben (76) mit einem leitfähigen Material (160) zum Ausbilden von Durchkontaktierungen (151) und Metallleitungen (158, 159), wobei eine obere Oberfläche der Durchkontaktierungen (151) und eine untere Oberfläche der Metallleitungen (158, 159) dieselbe Breite aufweisen.
  2. Verfahren nach Anspruch 1, ferner mit dem Schritt des Entfernens der Opfermaterialschicht (60).
  3. Verfahren nach Anspruch 1 oder 2, wobei das Bilden der Durchkontaktierungsöffnungen (77) ein Ätzen mit einer Ätzrate umfasst, wobei eine Ätzrate der Opfermaterialschicht (60) kleiner als eine Ätzrate der isolierenden Schicht (40) ist.
  4. Verfahren nach einem der Ansprüche 1 bis 3, wobei das leitfähige Material (160) Kupfer umfasst.
  5. Verfahren nach einem der Ansprüche 1 bis 4, wobei die Opfermaterialschicht (60) ein aus einer folgenden Gruppe ausgewähltes Material umfasst: Metall, Legierungen.
  6. Verfahren nach einem der Ansprüche 1 bis 4, wobei die Opfermaterialschicht (60) TiN oder TaN umfasst.
  7. Verfahren nach einem der Ansprüche 1 bis 4, wobei die Opfermaterialschicht (60) ein aus einer folgenden Gruppe ausgewähltes Element umfasst: Ru, Hf, Ti, Ta, Ti und La.
  8. Verfahren nach einem der Ansprüche 1 bis 4, wobei die Opfermaterialschicht (60) ein aus der folgenden Gruppe ausgewähltes Material umfasst: TiW, HfN, TaSiN, HfSiN und TiSiN.
  9. Verfahren nach einem der Ansprüche 1 bis 4, wobei die Opfermaterialschicht (60) ein aus einer folgenden Gruppe ausgewähltes Material umfasst: TiCN, NbCN, HfCN und TaNC.
  10. Verfahren nach einem der Ansprüche 1 bis 9, wobei die isolierende Schicht (40) SiO2, FSG, low-k- oder poröses low-k-Dielektrikum umfasst.
  11. Verfahren nach einem der Ansprüche 1 bis 10, wobei die Opfermaterialschicht (60) einen unter einem zweiten Opferfilm angeordneten ersten Opferfilm umfasst, wobei der erste Opferfilm ein dielektrisches Material und der zweite Opferfilm ein Metallmaterial umfasst.
  12. Verfahren zur Herstellung einer Halbleiterkomponente, mit den folgenden Schritten: Abscheiden einer isolierenden Schicht (40) über einer Metallebene (20); Abscheiden einer Hartmaskenschicht (50) über der isolierenden Schicht (40); Abscheiden einer Opfermaterialschicht (60) über der Hartmaskenschicht (50); Bilden von Gräben (75) für Metallleitungen in der isolierenden Schicht (40) durch Strukturieren der Opfermaterialschicht (60), der Hartmaskenschicht (50) und der isolierenden Schicht (40); Füllen der Gräben (75) für die Metallleitungen mit einem Dummy-Füllmaterial (105); Bilden einer strukturierten Maskenschicht (110, 120, 130) über dem Dummy-Füllmaterial (105); Verwenden der strukturierten Maskenschicht (110, 120, 130) als Maske zum Ätzen einer Durchkontaktierungsöffnung (77) durch Entfernen des Dummy-Füllmaterials (105) und der darunterliegenden isolierenden Schicht (40), wobei die Opfermaterialschicht (60) die darunterliegende Hartmaskenschicht (50) schützt; Ätzen der strukturierten Maskenschicht (110, 120, 130) und des strukturierten Dummy-Füllmaterials (105), um eine untere Oberfläche der Gräben (76) für die Metallleitungen freizulegen; und Füllen der Durchkontaktierungsöffnung (77) und Metallleitungsgräben (76) mit einem leitfähigen Material 160) zum Ausbilden von Durchkontaktierungen (151) und Metallleitungen (158, 159), wobei eine obere Oberfläche der Durchkontaktierungen (151) und eine untere Oberfläche der Metallleitungen (158, 159) dieselbe Breite aufweisen.
  13. Verfahren nach Anspruch 12, wobei die Opfermaterialschicht (60) TiN oder TaN umfasst.
  14. Verfahren nach Anspruch 12, wobei die Opfermaterialschicht (60) ein aus einer folgenden Gruppe ausgewähltes Material umfasst: V, Nb, Pr, Dy, Sr, Gd und Mo.
  15. Verfahren nach Anspruch 12, wobei die Opfermaterialschicht (60) ein aus einer folgenden Gruppe ausgewähltes Material umfasst: TiWN, NbN, MoN, TiAlN und MoSiN.
  16. Verfahren nach Anspruch 12, wobei die Opfermaterialschicht (60) ein aus einer folgenden Gruppe ausgewähltes Material umfasst: NbCN, TaCN, TiSi2 und WSi2.
  17. Zweifach-Damascene-Prozess, wobei der Prozess Folgendes umfasst: Bilden einer ersten Metallebene (20); Abscheiden einer isolierenden Schicht (40) über der Metallebene (20); Abscheiden einer Hartmaskenschicht (50) über der isolierenden Schicht (40); Bilden von Metallleitungsgräben (75) in der isolierenden Schicht (40) in einer zweiten Metallebene, wobei die zweite Metallebene über der ersten Metallebene angeordnet ist, wobei die Metallleitungsgräben (75) in einem oberen Teil der zweiten Metallebene gebildet werden; Füllen der Metallleitungsgräben (75) mit einem Dummy-Füllmaterial (105); Ausbilden einer Durchkontaktierungsmaske (140), um einen Teil einer oberen Oberfläche des Dummy-Füllmaterials (105) freizulegen; Ätzen einer Durchkontaktierung (77) unter Verwendung der Durchkontaktierungsmaske (140) zum Verbinden der ersten Metallebene mit den Metallleitungsgräben (76) in der zweiten Metallebene, wobei eine Durchkontaktierung nur in einem Teil der Regionen geätzt wird, der unter den Metallleitungsgräben liegt und wobei die Opfermaterialschicht (60) die darunterliegende Hartmaskenschicht (50) schützt; und Füllen der Durchkontaktierungsöffnung (77) und Metallleitungsgräben (76) mit einem leitfähigen Material 160) zum Ausbilden von Durchkontaktierungen (151) und Metallleitungen (158, 159), wobei eine obere Oberfläche der Durchkontaktierungen (151) und eine untere Oberfläche der Metallleitungen (158, 159) dieselbe Breite aufweisen.
  18. Prozess nach Anspruch 17, wobei das Ätzen der Durchkontaktierung ein Ätzen mit einer Ätzrate umfasst, wobei eine Ätzrate der Opfermaterialschicht (60) kleiner als eine Ätzrate der isolierenden Schicht (40) ist.
  19. Verfahren zur Herstellung eines Halbleiterbauelements, mit den folgenden Schritten: Abscheiden einer Hartmaskenschicht (50) über einer isolierenden Schicht (40); Abscheiden einer Opfermaterialschicht (60) über der Hartmaskenschicht (50); Bilden eines Grabens (75) in der isolierenden Schicht (40) durch Ätzen der Opferschicht (60), der Hartmaskenschicht (50) und der isolierenden Schicht (40); Abscheiden eines Dummy-Füllmaterials (105) in dem Graben (75); Ausbilden einer Durchkontaktierungsmaske (140), um einen Teil einer oberen Oberfläche des Dummy-Füllmaterials (105) freizulegen; und Ätzen des Dummy-Füllmaterials (105) und der isolierenden Schicht (40) unter Verwendung der Durchkontaktierungsmaske (140), um eine Öffnung (77) zu bilden, wobei während des Ätzens der isolierenden Schicht (40) eine Ätzrate der Opfermaterialschicht (60) kleiner als eine Ätzrate der isolierenden Schicht (40) ist und wobei die Opfermaterialschicht (60) die darunterliegende Hartmaskenschicht (50) schützt; und Füllen der Öffnung (77) mit einem leitfähigen Material 160) zum Ausbilden von Durchkontaktierungen (151), wobei eine obere Oberfläche der Durchkontaktierungen (151) und eine untere Oberfläche von Metallleitungen (158, 159) dieselbe Breite aufweisen.
  20. Verfahren nach Anspruch 19, wobei das Verhältnis der Ätzrate der Opfermaterialschicht (60) zu der Ätzrate der isolierenden Schicht (40) kleiner als etwa 1:5 ist.
  21. Verfahren nach Anspruch 19 oder 20, wobei das Dummy-Füllmaterial (105) zusätzlich über einer oberen Oberfläche der Opfermaterialschicht (60) abgeschieden wird.
DE102009000625.7A 2008-03-19 2009-02-04 Verfahren zur Herstellung einer Halbleiterkomponente und eines Halbleiterbauelements sowie zugehöriger Zweifach-Damascene-Prozess Active DE102009000625B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/051,644 US8062971B2 (en) 2008-03-19 2008-03-19 Dual damascene process
US12/051,644 2008-03-19

Publications (2)

Publication Number Publication Date
DE102009000625A1 DE102009000625A1 (de) 2009-10-01
DE102009000625B4 true DE102009000625B4 (de) 2018-03-29

Family

ID=41011299

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102009000625.7A Active DE102009000625B4 (de) 2008-03-19 2009-02-04 Verfahren zur Herstellung einer Halbleiterkomponente und eines Halbleiterbauelements sowie zugehöriger Zweifach-Damascene-Prozess

Country Status (2)

Country Link
US (3) US8062971B2 (de)
DE (1) DE102009000625B4 (de)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8017493B2 (en) * 2008-05-12 2011-09-13 Texas Instruments Incorporated Method of planarizing a semiconductor device
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US9799562B2 (en) * 2009-08-21 2017-10-24 Micron Technology, Inc. Vias and conductive routing layers in semiconductor substrates
US8697574B2 (en) * 2009-09-25 2014-04-15 Infineon Technologies Ag Through substrate features in semiconductor substrates
US8907457B2 (en) 2010-02-08 2014-12-09 Micron Technology, Inc. Microelectronic devices with through-substrate interconnects and associated methods of manufacturing
US9330974B2 (en) 2010-10-27 2016-05-03 Infineon Technologies Ag Through level vias and methods of formation thereof
DE102011004323B4 (de) * 2011-02-17 2016-02-25 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement mit selbstjustierten Kontaktelementen und Verfahren zu seiner Herstellung
US8946091B2 (en) * 2011-04-28 2015-02-03 Lam Research Corporation Prevention of line bending and tilting for etch with tri-layer mask
US8592321B2 (en) * 2011-06-08 2013-11-26 United Microelectronics Corp. Method for fabricating an aperture
CN102969270A (zh) * 2011-08-31 2013-03-13 上海华力微电子有限公司 半导体器件及其制作方法
CN102339790A (zh) * 2011-10-29 2012-02-01 上海华力微电子有限公司 半导体器件制作方法
DE112011105805T5 (de) * 2011-11-03 2014-08-28 Intel Corporation Ätzstop-Schichten und Kondensatoren
CN103247601B (zh) * 2012-02-03 2015-11-25 中芯国际集成电路制造(上海)有限公司 铜互连结构及其制造方法
US8916337B2 (en) * 2012-02-22 2014-12-23 International Business Machines Corporation Dual hard mask lithography process
US8881209B2 (en) 2012-10-26 2014-11-04 Mobitv, Inc. Feedback loop content recommendation
US9679803B2 (en) * 2014-01-13 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming different patterns in a semiconductor structure using a single mask
US9887126B2 (en) 2014-08-26 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure of dual damascene structures having via hole and trench
US9362239B2 (en) * 2014-10-21 2016-06-07 Globalfoundries Inc. Vertical breakdown protection layer
US9741605B2 (en) * 2014-12-29 2017-08-22 Globalfoundries Inc. Reducing defects and improving reliability of BEOL metal fill
US9548239B2 (en) 2015-02-02 2017-01-17 United Microelectronics Corp. Method for fabricating contact plug in an interlayer dielectric layer
KR102324826B1 (ko) * 2015-04-02 2021-11-11 삼성전자주식회사 배선 구조물, 배선 구조물 형성 방법 및 반도체 장치의 제조 방법
US9490168B1 (en) 2015-05-13 2016-11-08 International Business Machines Corporation Via formation using sidewall image transfer process to define lateral dimension
US9679850B2 (en) * 2015-10-30 2017-06-13 Taiwan Semiconductor Manufacturing Company Ltd. Method of fabricating semiconductor structure
US9607893B1 (en) 2016-07-06 2017-03-28 Globalfoundries Inc. Method of forming self-aligned metal lines and vias
US10276436B2 (en) 2016-08-05 2019-04-30 International Business Machines Corporation Selective recessing to form a fully aligned via
KR102460076B1 (ko) 2017-08-01 2022-10-28 삼성전자주식회사 반도체 장치
US10522394B2 (en) * 2017-09-25 2019-12-31 Marvell World Trade Ltd. Method of creating aligned vias in ultra-high density integrated circuits
WO2019195422A1 (en) * 2018-04-03 2019-10-10 Tokyo Electron Limited Subtractive interconnect formation using a fully self-aligned scheme
US11107728B2 (en) * 2019-05-22 2021-08-31 International Business Machines Corporation Interconnects with tight pitch and reduced resistance
US11600519B2 (en) * 2019-09-16 2023-03-07 International Business Machines Corporation Skip-via proximity interconnect
CN113013142A (zh) * 2019-12-20 2021-06-22 台湾积体电路制造股份有限公司 集成芯片
US11799001B2 (en) * 2021-03-09 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Back-end-of-line devices
US11923246B2 (en) 2021-09-15 2024-03-05 International Business Machines Corporation Via CD controllable top via structure
US11869808B2 (en) 2021-09-22 2024-01-09 International Business Machines Corporation Top via process with damascene metal

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6627557B2 (en) 2000-03-31 2003-09-30 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20060077702A1 (en) 2004-10-07 2006-04-13 Kabushiki Kaisha Toshiba Semiconductor memory
US20060216926A1 (en) 2003-04-07 2006-09-28 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
US7323357B2 (en) 2005-11-17 2008-01-29 Qimonda Ag Method for manufacturing a resistively switching memory cell and memory device based thereon

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5130229A (en) * 1990-04-26 1992-07-14 International Business Machines Corporation Multi layer thin film wiring process featuring self-alignment of vias
US5841099A (en) * 1994-07-18 1998-11-24 Electro Scientific Industries, Inc. Method employing UV laser pulses of varied energy density to form depthwise self-limiting blind vias in multilayered targets
US6300235B1 (en) * 1997-06-30 2001-10-09 Siemens Aktiengesellschaft Method of forming multi-level coplanar metal/insulator films using dual damascene with sacrificial flowable oxide
US6197685B1 (en) * 1997-07-11 2001-03-06 Matsushita Electronics Corporation Method of producing multilayer wiring device with offset axises of upper and lower plugs
US6054768A (en) * 1997-10-02 2000-04-25 Micron Technology, Inc. Metal fill by treatment of mobility layers
JP4565678B2 (ja) * 1998-05-28 2010-10-20 株式会社ハイニックスセミコンダクター 半導体素子の多層配線構造の製造方法
US6323118B1 (en) * 1998-07-13 2001-11-27 Taiwan Semiconductor For Manufacturing Company Borderless dual damascene contact
US6020255A (en) * 1998-07-13 2000-02-01 Taiwan Semiconductor Manufacturing Company Dual damascene interconnect process with borderless contact
US6461955B1 (en) * 1999-04-29 2002-10-08 Texas Instruments Incorporated Yield improvement of dual damascene fabrication through oxide filling
US6297149B1 (en) * 1999-10-05 2001-10-02 International Business Machines Corporation Methods for forming metal interconnects
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
TW463307B (en) * 2000-06-29 2001-11-11 Mosel Vitelic Inc Manufacturing method of dual damascene structure
JP2002329783A (ja) * 2001-04-27 2002-11-15 Toshiba Corp 配線パターンの自動レイアウト方法、レイアウトパターンの光学補正方法、自動レイアウト方法と光学補正方法に基づいて製造される半導体集積回路、および自動レイアウト光学補正プログラムを記録した記録媒体
US6559048B1 (en) * 2001-05-30 2003-05-06 Lsi Logic Corporation Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
US20070076509A1 (en) * 2002-08-28 2007-04-05 Guobiao Zhang Three-Dimensional Mask-Programmable Read-Only Memory
US6911389B2 (en) * 2002-09-18 2005-06-28 Texas Instruments Incorporated Self aligned vias in dual damascene interconnect, buried mask approach
US6919637B2 (en) * 2002-09-30 2005-07-19 Intel Corporation Interconnect structure for an integrated circuit and method of fabrication
US20040217087A1 (en) * 2003-04-30 2004-11-04 Celii Francis G. Boron trichloride-based plasma etch
US7375033B2 (en) * 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
JP5172069B2 (ja) * 2004-04-27 2013-03-27 富士通セミコンダクター株式会社 半導体装置
US7071097B2 (en) * 2004-07-09 2006-07-04 International Business Machines Corporation Method for improved process latitude by elongated via integration
KR100704470B1 (ko) * 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7081406B2 (en) * 2004-08-10 2006-07-25 Lsi Logic Corporation Interconnect dielectric tuning
US7192868B2 (en) * 2005-02-08 2007-03-20 International Business Machines Corporation Method of obtaining release-standing micro structures and devices by selective etch removal of protective and sacrificial layer using the same
US7138714B2 (en) * 2005-02-11 2006-11-21 International Business Machines Corporation Via barrier layers continuous with metal line barrier layers at notched or dielectric mesa portions in metal lines
DE102005020132B4 (de) * 2005-04-29 2011-01-27 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung selbstjustierter Durchführungen in einer Metallisierungsschicht
JP2007035955A (ja) * 2005-07-27 2007-02-08 Toshiba Corp 半導体装置およびその製造方法
US7651942B2 (en) * 2005-08-15 2010-01-26 Infineon Technologies Ag Metal interconnect structure and method
US7301236B2 (en) * 2005-10-18 2007-11-27 International Business Machines Corporation Increasing electromigration lifetime and current density in IC using vertically upwardly extending dummy via
US20070210453A1 (en) * 2006-03-13 2007-09-13 Texas Instruments Inc. Dummy-fill-structure placement for improved device feature location and access for integrated circuit failure analysis
US8432040B2 (en) * 2006-06-01 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure design for low RC delay and leakage
US7960797B2 (en) * 2006-08-29 2011-06-14 Micron Technology, Inc. Semiconductor devices including fine pitch arrays with staggered contacts
JP2008091638A (ja) * 2006-10-02 2008-04-17 Nec Electronics Corp 電子装置およびその製造方法
US20090200683A1 (en) * 2008-02-13 2009-08-13 International Business Machines Corporation Interconnect structures with partially self aligned vias and methods to produce same
JP2009194196A (ja) * 2008-02-15 2009-08-27 Nec Electronics Corp 半導体装置の製造方法および半導体装置
US9496217B2 (en) * 2009-06-04 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of forming a via
US7786017B1 (en) * 2009-09-17 2010-08-31 International Business Machines Corporation Utilizing inverse reactive ion etching lag in double patterning contact formation
US9018092B2 (en) * 2012-09-27 2015-04-28 Stmicroelectronics, Inc. Encapsulated metal interconnect
US9818690B2 (en) * 2015-10-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnection structure and method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6627557B2 (en) 2000-03-31 2003-09-30 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20060216926A1 (en) 2003-04-07 2006-09-28 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
US20060077702A1 (en) 2004-10-07 2006-04-13 Kabushiki Kaisha Toshiba Semiconductor memory
US7323357B2 (en) 2005-11-17 2008-01-29 Qimonda Ag Method for manufacturing a resistively switching memory cell and memory device based thereon

Also Published As

Publication number Publication date
US20120025382A1 (en) 2012-02-02
US8860225B2 (en) 2014-10-14
US8062971B2 (en) 2011-11-22
US20150084196A1 (en) 2015-03-26
US20090239375A1 (en) 2009-09-24
DE102009000625A1 (de) 2009-10-01

Similar Documents

Publication Publication Date Title
DE102009000625B4 (de) Verfahren zur Herstellung einer Halbleiterkomponente und eines Halbleiterbauelements sowie zugehöriger Zweifach-Damascene-Prozess
DE102013103206B4 (de) Durchkontaktierungsstruktur sowie Verfahren
DE102008053427B4 (de) Durchsubstratverbindungskontakt-Halbleiterkomponenten und Verfahren zur Herstellung dazu
DE102017207873B4 (de) Verfahren zum Bilden eines Luftspalts für eine Halbleitervorrichtung
DE102016114705B4 (de) Ätzstoppschicht für Halbleiter-Bauelemente
DE102015107271B4 (de) Zwei- oder mehrteilige Ätzstoppschicht in integrierten Schaltungen
DE102014117338B4 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
DE102014115955B4 (de) Struktur und Ausbildungsverfahren einer Damascene-Struktur
DE102009012594B4 (de) Durch-Substrat-Via-Halbleiterkomponenten
DE102012111786B4 (de) Hybrid-Verbindungsaufbau und Verfahren zur Herstellung desselben
DE102015106713B4 (de) Struktur und Verfahren zum Ausbilden einer Dual-Damascene-Struktur
DE102016114870A1 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
DE102010037339A1 (de) Durchsubstratmerkmale in Halbleitersubstraten
DE102017124072B4 (de) Zusammenschaltungsstruktur für halbleitervorrichtung und verfahren zu ihrer herstellung
EP1328973A2 (de) Metallkondensator hoher dichte mittels einer doppel-damaszener kupferleitungsverdrahtung hergestellt
DE102015108695B4 (de) Ausbilden von Vias um eine Metallleitung herum
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102016100258B4 (de) Verfahren zur Bildung einer Zwischenschicht für Kupferstrukturierung
US8980745B1 (en) Interconnect structures and methods of forming same
KR102489216B1 (ko) 상호 접속 구조체 및 그 형성 방법
US20180061750A1 (en) Metal silicate spacers for fully aligned vias
DE102013211553A1 (de) Monitorstrukturen und verfahren zu ihrer bildung
DE112004000396T5 (de) Verfahren zur Herstellung einer Halbleiterkomponente mit einer barrierenschichtausgekleideten Öffnung
DE102015119536A1 (de) Kondensatoren mit dielektrischen Barriereschichten und Verfahren zu deren Ausbildung
US10170423B2 (en) Metal cap integration by local alloying

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R016 Response to examination communication
R082 Change of representative

Representative=s name: KINDERMANN, PETER, DIPL.-ING.UNIV., DE

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R082 Change of representative