DE102016114870A1 - Halbleiterstruktur und Verfahren zu deren Herstellung - Google Patents

Halbleiterstruktur und Verfahren zu deren Herstellung Download PDF

Info

Publication number
DE102016114870A1
DE102016114870A1 DE102016114870.9A DE102016114870A DE102016114870A1 DE 102016114870 A1 DE102016114870 A1 DE 102016114870A1 DE 102016114870 A DE102016114870 A DE 102016114870A DE 102016114870 A1 DE102016114870 A1 DE 102016114870A1
Authority
DE
Germany
Prior art keywords
layer
dielectric layer
mtj
electrode
upper electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102016114870.9A
Other languages
English (en)
Other versions
DE102016114870B4 (de
Inventor
Fu-Ting Sung
Chung-Chiang Min
Yuan-Tai Tseng
Chern-Yow Hsu
Shih-Chang Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016114870A1 publication Critical patent/DE102016114870A1/de
Application granted granted Critical
Publication of DE102016114870B4 publication Critical patent/DE102016114870B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Semiconductor Memories (AREA)
  • Hall/Mr Elements (AREA)

Abstract

Die vorliegende Erfindung stellt eine Halbleiterstruktur zur Verfügung, die Folgendes aufweist: eine N-te Metallschicht; eine untere Elektrode über der N-ten Metallschicht; einen magnetischen Tunnelkontakt (MTJ) über der unteren Elektrode; eine obere Elektrode über dem MTJ; und eine (N + M)-te Metallschicht über der N-ten Metallschicht. N und M sind positive ganze Zahlen. Die (N + M)-te Metallschicht umgibt einen Teil einer Seitenwand der oberen Elektrode. Außerdem wird ein Verfahren zur Herstellung der Halbleiterstruktur zur Verfügung gestellt.

Description

  • Prioritätsanspruch und Querverweis
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung mit den Aktenzeichen 62/288.793, die am 29. Januar 2016 eingereicht wurde.
  • Hintergrund der Erfindung
  • Halbleiter werden in integrierten Schaltkreisen für elektronische Anwendungen verwendet, unter anderem Rundfunk- und Fernsehgeräte, Mobiltelefone und Personal Computer. Eine Art der hinlänglich bekannten Halbleiter-Bauelemente sind Halbleiterspeicherbauelemente, wie etwa dynamische Direktzugriffsspeicher (DRAMs) oder Flash-Speicher, die beide Ladungen zum Speichern von Informationen verwenden.
  • Eine neuere Entwicklung bei Halbleiterspeicherbauelementen ist die Spin-Elektronik, die die Halbleiter-Technologie und magnetische Materialien und Bauelemente vereint. Statt der Ladung der Elektronen wird die Spinpolarisierung von Elektronen verwendet, um den Zustand „1” oder „0” anzugeben. Ein solches spinelektronisches Bauelement ist ein Spin-Transfer-Torque(STT)-Bauelement mit magnetischem Tunnelkontakt (magnetic tunneling junction; MTJ).
  • Das MTJ-Bauelement weist eine freie Schicht, eine Tunnelschicht und eine fixierte (pinned) Schicht auf. Die Magnetisierungsrichtung der freien Schicht kann durch Anlegen eines Stroms durch die Tunnelschicht umgekehrt werden, was dazu führt, dass die injizierten polarisierten Elektronen in der freien Schicht so genannte Spin-Torques auf die Magnetisierung der freien Schicht ausüben. Die fixierte Schicht hat eine feste Magnetisierungsrichtung. Wenn ein Strom in der Richtung von der freien Schicht zu der fixierten Schicht fließt, fließen Elektronen in einer umgekehrten Richtung, das heißt, von der fixierten Schicht zu der freien Schicht. Die Elektronen sind auf die gleiche Magnetisierungsrichtung wie die fixierte Schicht polarisiert, nachdem sie die fixierte Schicht durchlaufen haben. Sie fließen durch die Tunnelschicht und dann in die freie Schicht, wo sie sich ansammeln. Schließlich ist die Magnetisierung der freien Schicht parallel zu der der fixierten Schicht, und das MTJ-Bauelement ist in einem niederohmigen Zustand. Die Elektroneninjektion, die von dem Strom verursacht wurde, wird als Hauptinjektion bezeichnet.
  • Wenn ein Strom angelegt wird, der von der fixierten Schicht zu der freien Schicht fließt, fließen die Elektronen in der Richtung von der freien Schicht zu der fixierten Schicht. Die Elektronen, die die gleiche Polarisierung wie die Magnetisierungsrichtung der fixierten Schicht haben, können durch die Tunnelschicht und in die fixierte Schicht fließen. Im umgekehrten Fall werden Elektronen mit einer Polarisierung, die von der Magnetisierung der fixierten Schicht verschieden ist, von der fixierten Schicht reflektiert (blockiert) und sammeln sich in der freien Schicht an. Schließlich wird die Magnetisierung der freien Schicht antiparallel zu der der fixierten Schicht, und das MTJ-Bauelement ist in einem hochohmigen Zustand. Die jeweilige Elektroneninjektion, die von dem Strom verursacht wird, wird als Nebeninjektion bezeichnet.
  • Kurze Beschreibung der Zeichnungen
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
  • 1 ist eine Schnittansicht einer Halbleiterstruktur gemäß einigen Ausführungsformen der vorliegenden Erfindung.
  • 2 ist eine Schnittansicht einer Halbleiterstruktur gemäß einigen Ausführungsformen der vorliegenden Erfindung.
  • Die 3 bis 12A sind Schnittansichten einer Halbleiterstruktur, die in verschiedenen aufeinander folgenden Schritten hergestellt wird, gemäß einigen Ausführungsformen der vorliegenden Erfindung.
  • 12B ist eine Schnittansicht eines vergrößerten Teils der Halbleiterstruktur von 12A gemäß einigen Ausführungsformen der vorliegenden Erfindung.
  • 13A ist eine Schnittansicht einer Halbleiterstruktur, die in nur einem Schritt hergestellt wird, gemäß einigen Ausführungsformen der vorliegenden Erfindung.
  • 13B ist eine Schnittansicht eines vergrößerten Teils der Halbleiterstruktur von 13A gemäß einigen Ausführungsformen der vorliegenden Erfindung.
  • Die 14 und 15A sind Schnittansichten einer Halbleiterstruktur, die in verschiedenen aufeinander folgenden Schritten hergestellt wird, gemäß einigen Ausführungsformen der vorliegenden Erfindung.
  • 15B ist eine Schnittansicht eines vergrößerten Teils der Halbleiterstruktur von 15A gemäß einigen Ausführungsformen der vorliegenden Erfindung.
  • 15C ist eine Draufsicht eines vergrößerten Teils der Halbleiterstruktur von 15B gemäß einigen Ausführungsformen der vorliegenden Erfindung.
  • 16 ist eine Schnittansicht einer Halbleiterstruktur, die in nur einem Schritt hergestellt wird, gemäß einigen Ausführungsformen der vorliegenden Erfindung.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung bereit. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so ausgebildet werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich”, „unter”, „untere(r)”/„unteres”, „darüber befindlich”, „obere(r)”/„oberes” und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Ungeachtet dessen, dass die numerischen Bereiche und Parameter, die den breiten Schutzumfang der Erfindung angeben, Näherungswerte sind, sind die in den speziellen Beispielen genannten Zahlenwerte so genau wie möglich angegeben. Ein Zahlenwert enthält jedoch grundsätzlich bestimmte Fehler, die zwangsläufig aus der Standardabweichung resultieren, die sich in den jeweiligen Prüfmesswerten findet. Außerdem bedeutet der hier verwendete Begriff „etwa” im Allgemeinen innerhalb von 10%, 5%, 1% oder 0,5% eines gegebenen Werts oder Bereichs. Alternativ bedeutet der Begriff „etwa” innerhalb eines annehmbaren Standardfehlers des Mittelwerts, wenn er von einem Fachmann betrachtet wird. Anders als in den Arbeitsbeispielen, oder wenn nicht ausdrücklich anders angegeben, sollten alle numerischen Bereiche, Mengen, Werte und Prozentsätze, wie etwa für Materialmengen, Zeitdauern, Temperaturen, Betriebsbedingungen, Mengenverhältnisse und dergleichen, die hier angegeben sind, in allen Fällen als durch den Begriff „etwa” modifiziert aufgefasst werden. Daher sind, wenn nichts Gegenteiliges angegeben ist, die numerischen Parameter, die in der vorliegenden Erfindung und den beigefügten Ansprüchen angegeben sind, Näherungswerte, die bei Bedarf geändert werden können. Zumindest sollte jeder numerische Parameter in Anbetracht der Anzahl von angegebenen signifikanten Zahlen und durch Anwenden von normalen Rundungsverfahren interpretiert werden. Bereiche können hier so dargestellt sein, dass sie von einem Endpunkt bis zu einem anderen Endpunkt reichen oder zwischen zwei Endpunkten liegen. Alle hier genannten Bereiche schließen die Endpunkte ein, wenn nicht anders angegeben.
  • Die MRAM-Zelle, die in eine CMOS-Struktur eingebettet ist, ist kontinuierlich weiterentwickelt worden. Eine Halbleiterschaltung mit einer eingebetteten MRAM-Zelle weist einen MRAM-Zellen-Bereich und einen Logikbereich auf, der von dem MRAM-Zellen-Bereich getrennt ist. Zum Beispiel kann der MRAM-Zellen-Bereich in der Mitte der Halbleiterschaltung angeordnet sein, während der Logikbereich an der Peripherie der Halbleiterschaltung angeordnet sein kann. Es ist zu beachten, dass die vorstehende Aussage nicht beschränkend sein soll. Weitere Anordnungen des MRAM-Zellen-Bereichs und des Logikbereichs liegen innerhalb des beabsichtigten Schutzumfangs der vorliegenden Erfindung.
  • In dem MRAM-Zellen-Bereich kann eine Transistorstruktur unter der MRAM-Struktur angeordnet werden. Bei einigen Ausführungsformen wird die MRAM-Zelle in eine Metallisierungsschicht eingebettet, die in einem Back-End-of-Line(BEOL)-Prozess hergestellt wird. Zum Beispiel werden die Transistorstrukturen in dem MRAM-Zellen-Bereich und dem Logikbereich in einem gemeinsamen Halbleitersubstrat angeordnet, das in einem Front-End-of-Line(FEOL)-Prozess hergestellt wird, und sie sind bei einigen Ausführungsformen in den beiden vorgenannten Bereichen im Wesentlichen identisch. Die MRAM-Zelle kann an jeder Position in die Metallisierungsschicht eingebettet werden, zum Beispiel zwischen benachbarte Metallleitungsschichten, die horizontal parallel zu einer Oberfläche des Halbleitersubstrats verteilt sind. Der eingebettete MRAM kann zum Beispiel zwischen die 4. Metallleitungsschicht und die 5. Metallleitungsschicht in einem MRAM-Zellen-Bereich angeordnet werden. Horizontal zu dem Logikbereich verschoben, wird die 4. Metallleitungsschicht über eine 4. Metalldurchkontaktierung mit der 5. Metallleitungsschicht verbunden. Mit anderen Worten, unter Berücksichtigung des MRAM-Zellen-Bereichs und des Logikbereichs nimmt der eingebettete MRAM eine Dicke von mindestens einem Teil der 5. Metallleitungsschicht und der 4. Metalldurchkontaktierung ein. Die Nummer, die hier für die Metallleitungsschicht vorgesehen ist, ist nicht beschränkend. Im Allgemeinen dürften Fachleute verstehen, dass der MRAM zwischen einer N-ten Metallleitungsschicht und einer (N + 1)-ten Metallleitungsschicht angeordnet ist, wobei N eine ganze Zahl ist, die größer als oder gleich 1 ist.
  • Der eingebettete MRAM weist einen magnetischen Tunnelkontakt (MTJ) auf, der aus ferromagnetischen Materialien besteht. Eine untere Elektrode und eine obere Elektrode sind mit dem MTJ für die Signal-/Vorspannungs-Übertragung elektrisch verbunden. In Anlehnung an das vorstehende Beispiel ist die untere Elektrode weiterhin mit der N-ten Metallleitungsschicht verbunden, während die obere Elektrode weiterhin mit der (N + 1)-ten Metallleitungsschicht verbunden ist.
  • Eine herkömmliche obere Elektrode eines MRAM wird nicht-selektiv hergestellt. Die obere Elektrode des MRAM ist in Kontakt mit der (N + 1)-ten Metallleitungsschicht. Nachdem die MTJ-Schicht und die obere Elektrodenschicht strukturiert worden sind, wird über dem strukturierten MTJ und der strukturierten oberen Elektrode eine Nitrid-Ätzstoppschicht konform hergestellt. Dann wird ein Zwischenschicht-Dielektrikum (ILD) um den MRAM herum hergestellt. Daran schließt sich eine Rückätzung an, bei der das ILD, die Nitrid-Ätzstoppschicht und ein oberer Teil der oberen Elektrode gleichmäßig entfernt werden, bis sichergestellt ist, dass die obere Elektrode nach dem Rückätzprozess freiliegt. Die vorgenannte Rückätzung ist eine nicht-selektive Rückätzung, bei der das ILD, die Nitrid-Ätzstoppschicht und die obere Elektrode gleichmäßig entfernt werden, sodass im Wesentlichen nivellierte Oberflächen für die drei vorgenannten Materialien zurückbleiben.
  • Während des Prozesses der nicht-selektiven Rückätzung werden CF4-Gas und andere Ätzgase, die aus C, H und F bestehen, beim reaktiven Ionenätzen (RIE) verwendet. Die obere Elektrode wird im Wesentlichen in der Hauptätzphase gedünnt, um die vollständige Freilegung der oberen Elektrode sicherzustellen. In diesem Zusammenhang ist, nachdem die (N + 1)-te Metallleitungsschicht so hergestellt worden ist, dass sie in Kontakt mit der Oberseite der oberen Elektrode ist, der Abstand zwischen der (N + 1)-ten Metallleitung und dem MTJ (nachstehend als „Isolationsabstand” bezeichnet) so klein, dass kein richtiger Trenneffekt entsteht. Wenn zum Beispiel ein MTJ ein einer Draufsicht einen Durchmesser von 1000 Å hat und sein Isolationsabstand kleiner als etwa 200 Ä ist, werden die (N + 1)-te Metallleitung und der MTJ als kurzgeschlossen angesehen, wodurch die Datenspeicherleistung des MRAM beeinträchtigt wird.
  • Andererseits werden nach der Hauptätzung eine nachfolgende Ätzstoppschicht und eine dielektrische Low-k-Schicht zur Vorbereitung der Plattierung der (N + 1)-ten Metallleitung hergestellt. Nach der Herstellung des Grabens für die (N + 1)-te Metallleitung wird mittels fotolithografischen Prozessen eine Überätzung durchgeführt. Die Überätzung wird durchgeführt, um die Kontaktfläche zwischen der oberen Elektrode und der (N + 1)-ten Metallleitung freizulegen, um die beiden ohne Verzicht auf den Trenneffekt elektrisch zu verbinden, der von der oberen Elektrode bereitgestellt wird. Der Abstand zwischen der Oberseite der oberen Elektrode und dem untersten Teil der (N + 1)-ten Metallleitung, der in Kontakt mit der Seitenwand der oberen Elektrode ist (nachstehend als „Aussparungsabstand” bezeichnet), muss so groß sein, dass eine ausreichende Kontaktfläche zur Verringerung des Reihenwiderstands entsteht, aber er muss so klein sein, dass ein geeigneter Isolationsabstand zur Vermeidung eines elektrischen Kurzschlusses zwischen der (N + 1)-ten Metallleitung und dem MTJ bestehen bleibt.
  • Die Verwendung der herkömmlichen nicht-selektiven Rückätzung würde die Gesamtdicke der oberen Elektrode zwangsläufig verringern. Unter diesen Umständen können der Isolationsabstand und der Aussparungsabstand einander ausgleichende Faktoren sein, wobei die Vergrößerung des Isolationsabstands zu Lasten des Aussparungsabstands geht, sodass eine unzureichende Kontaktfläche entsteht, und die Vergrößerung des Aussparungsabstands geht zu Lasten des Isolationsabstands, sodass ein elektrischer Kurzschluss zwischen der (N + 1)-ten Metallleitung und dem MTJ entsteht.
  • Die vorliegende Erfindung stellt eine Halbleiterstruktur mit einem MRAM zur Verfügung. Die Dicke der oberen Elektrode des MRAM wird dadurch aufrechterhalten, dass eine selektive Ätzung verwendet wird, bei der die obere Elektrode sowie das ILD nicht aufgezehrt werden.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung wird eine Halbleiterstruktur mit einer N-ten Metallschicht, einer unteren Elektrode über der N-ten Metallschicht, einem magnetischen Tunnelkontakt (MTJ) über der unteren Elektrode, einer oberen Elektrode über dem MTJ und einer (N + M)-ten Metallschicht über der N-ten Metallschicht bereitgestellt. N und M sind positive ganze Zahlen. Die (N + M)-te Metallschicht umgibt einen Teil einer Seitenwand der oberen Elektrode. Die obere Elektrode weist einen Aussparungsbereich und einen Trennbereich oder Isolationsbereich auf. Der Aussparungsbereich ist von der (N + M)-ten Metallschicht umgeben, während der Trennbereich als ein Bereich definiert ist, der von einer Oberseite des MTJ bis zu einer Unterseite des Aussparungsbereichs reicht und von einer dielektrischen Schicht umgeben ist. Das Verhältnis der Dicke des Aussparungsbereichs zu der Dicke des Trennbereichs ist größer als etwa 0,5.
  • Gemäß einigen Ausführungsformen der vorliegenden Erfindung wird eine Halbleiterstruktur mit einem Logikbereich und einem Speicherbereich zur Verfügung gestellt. Der Speicherbereich weist eine N-te Metallschicht, eine untere Elektrode über der N-ten Metallschicht, einen magnetischen Tunnelkontakt (MTJ) über der unteren Elektrode, eine obere Elektrode über dem MTJ und eine (N + 1)-te Metallschicht über der oberen Elektrode auf. N ist eine positive ganze Zahl. Die Dicke der oberen Elektrode ist größer als etwa 300 Å.
  • Gemäß einigen Ausführungsformen der vorliegenden Erfindung wird ein Herstellungsverfahren für die hier beschriebene Halbleiterstruktur zur Verfügung gestellt.
  • Kommen wir nun zu 1A. 1A ist ein Querschnitt einer Halbleiterstruktur 10 gemäß einigen Ausführungsformen der vorliegenden Erfindung. Die Halbleiterstruktur 10 umfasst eine Transistorstruktur 101 und eine Metallisierungsstruktur 101'. Bei einigen Ausführungsformen kann ein Halbleitersubstrat 100 der Transistorstruktur 101 unter anderem zum Beispiel ein Siliciumsubstrat sein. Bei einer Ausführungsform ist das Substrat 100 ein Halbleitersubstrat, wie etwa ein Siliciumsubstrat, aber es kann auch andere Halbleitermaterialien umfassen, wie etwa Siliciumgermanium, Siliciumcarbid, Galliumarsenid oder dergleichen. Bei der vorliegenden Ausführungsform ist das Halbleitersubstrat 100 ein p-Halbleitersubstrat (p-Substrat) oder ein n-Halbleitersubstrat (n-Substrat), das Silicium aufweist. Alternativ umfasst das Substrat 100 andere elementare Halbleiter, wie etwa Germanium; Verbindungshalbleiter, wie etwa Siliciumcarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; Legierungshalbleiter, wie etwa SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon. Bei einer weiteren Alternative ist das Halbleitersubstrat 100 ein Halbleiter auf Isolator (SOI). Bei weiteren Alternativen kann das Halbleitersubstrat 100 eine dotierte Epitaxialschicht, eine Gradient-Halbleiterschicht und/oder eine Halbleiterschicht über einer anderen Halbleiterschicht eines anderen Leitfähigkeitstyps sein, wie etwa eine Siliciumschicht auf einer Siliciumgermaniumschicht. Das Halbleitersubstrat 100 kann dotierte Bereiche, wie etwa eine p-Wanne, eine n-Wanne oder eine Kombination davon, haben oder auch nicht.
  • Das Halbleitersubstrat 100 weist weiterhin stark dotierte Bereiche, wie etwa Sources 103, und Drains 105 auf, die sich zumindest teilweise in dem Halbleitersubstrat 100 befinden. Ein Gate 107 ist über einer Oberseite des Halbleitersubstrats 100 und zwischen der Source 103 und dem Drain 107 angeordnet. Kontaktstifte 108 sind in einem Zwischenschicht-Dielektrikum (ILD) 109 hergestellt und können mit der Transistorstruktur 101 elektrisch verbunden sein. Bei einigen Ausführungsformen ist das ILD 109 auf dem Halbleitersubstrat 100 hergestellt. Das ILD 109 kann mit verschiedenen Verfahren zum Herstellen dieser Schichten hergestellt werden, z. B. chemische Aufdampfung (CVD), chemische Aufdampfung bei Tiefdruck (LPCVD), Plasmaunterstützte chemische Aufdampfung (PECVD), Sputtern, physikalische Aufdampfung (PVD), thermisches Aufwachsen und dergleichen. Das ILD 109 über dem Halbleitersubstrat 100 kann aus verschiedenen dielektrischen Materialien hergestellt werden und kann zum Beispiel ein Oxid (z. B. ein Ge-Oxid), ein Oxidnitrid (z. B. GaP-Oxidnitrid), Siliciumdioxid (SiO2), ein stickstoffhaltiges Oxid (z. B. stickstoffhaltiges SiO2), ein Stickstoff-dotiertes Oxid (z. B. N2-implantiertes SiO2), ein Siliciumoxidnitrid (SixOyNz) und dergleichen sein.
  • 1 zeigt einen Planaren Transistor mit einem dotierten Bereich in dem Halbleitersubstrat 100. Die vorliegende Erfindung ist jedoch nicht darauf beschränkt. Auch ein nichtplanarer Transistor, wie etwa eine FinFET-Struktur, kann erhabene dotierte Bereiche haben.
  • Bei einigen Ausführungsformen ist ein flache Grabenisolation (STI) 111 vorgesehen, um benachbarte Transistoren zu definieren und elektrisch zu trennen. Eine Anzahl von STI 111 ist in dem Halbleitersubstrat 100 hergestellt. Die STI 111, die aus geeigneten dielektrischen Materialien bestehen kann, kann vorgesehen sein, um einen Transistor von benachbarten Halbleiter-Bauelementen, wie etwa anderen Transistoren, elektrisch zu trennen. Die STI 111 kann zum Beispiel ein Oxid (z. B. ein Ge-Oxid), ein Oxidnitrid (z. B. GaP-Oxidnitrid), Siliciumdioxid (SiO2), ein stickstoffhaltiges Oxid (z. B. stickstoffhaltiges SiO2), ein Stickstoff-dotiertes Oxid (z. B. N2-implantiertes SiO2), ein Siliciumoxidnitrid (SixOyNz) und dergleichen sein. Die STI 111 kann auch aus einem geeigneten Material mit einer hohen Dielektrizitätskonstante oder High-k-Material bestehen, bei dem k größer als oder gleich etwa 8 ist, wie etwa Titanoxid (TiOx, z. B. TiO2), Tantaloxid (TaxOy, z. B. Ta2O5), Bariumstrontiumtitanat (BST, BaTiO3/SrTiO3) und dergleichen. Alternativ kann die STI 111 auch aus einem geeigneten Material mit einer niedrigen Dielektrizitätskonstante oder Low-k-Material bestehen, bei dem k kleiner als oder gleich etwa 4 ist.
  • In 1 ist eine Metallisierungsstruktur 101' über der Transistorstruktur 101 angeordnet. Da eine N-te Metallschicht 121' möglicherweise nicht die erste Metallschicht über der Transistorstruktur 101 ist, ist das Fehlen eines Teils der Metallisierungsstruktur 101' durch Punkte dargestellt. Eine MRAM-Struktur (132, 131, 135, 133) ist zwischen einer N-ten Metallleitung 121' und einer (N + 1)-ten Metallleitung 123' angeordnet. Eine Verbindungsstruktur weist eine Vielzahl von Metallschichten auf, und zwar M1, M2 ... MN. In der gesamten Beschreibung bezieht sich der Begriff „Metallschicht” auf eine Gruppe von Metallleitungen in ein und derselben Schicht. Die Metallschichten M1 bis MN werden in Zwischenmetall-Dielektrika (IMDs) 125 hergestellt, die aus Oxiden, wie etwa undotiertem Silicatglas (USG), Fluorsilicatglas (FSG), dielektrischen Low-k-Materialien oder dergleichen, bestehen können. Die dielektrischen Low-k-Materialien der IMDs 125 können k-Werte haben, die kleiner als 3,8 sind oder dicht an 3,8 liegen. Bei einigen Ausführungsformen sind die k-Werte der dielektrischen Low-k-Materialien kleiner als etwa 3,0 und können kleiner als etwa 2,5 sein. Eine N-te Metalldurchkontaktierung 122 kann mit verschiedenen Verfahren hergestellt werden, z. B. Elektroplattierung, stromlose Plattierung, Abscheidung mit einem ionisierten Metallplasma hoher Dichte (high-density ionized metal plasma deposition; IMP-Abscheidung), Abscheidung mit einem induktiv gekoppelten Plasma hoher Dichte (high-density inductively coupled plasma deposition; ICP-Abscheidung), Sputtern, physikalische Aufdampfung (PVD), chemische Aufdampfung (CVD), chemische Aufdampfung bei Tiefdruck (LPCVD), Plasma-unterstützte chemische Aufdampfung (PECVD) und dergleichen.
  • In 1 weist die MRAM-Struktur (132, 131, 135, 133) zumindest eine untere Elektrodendurchkontaktierung (bottom electrode via; BEVA) 132, eine untere Elektrode 131, eine obere Elektrode 133 und einen MTJ 135 auf. Die BEVA 132 befindet sich auf der N-ten Metallleitung 121'. Bei einigen Ausführungsformen kann eine Planarisierung, wie etwa eine chemisch-mechanische Polierung (CMP), an einer Oberseite der BEVA 132 durchgeführt werden. Bei einigen Ausführungsformen hat der Graben der BEVA 132 eine trapezförmige Aussparung, die von einem Dielektrika-Stapel 140 umgeben ist, der SiC und siliciumreiches Oxid (silicon rich Oxide; SRO) umfasst. Alternativ kann das SRO durch Tetraethylorthosilicat (TEOS) ersetzt oder mit diesem kombiniert werden. Bei einigen Ausführungsformen kann die BEVA 132 leitende Materialien, wie etwa Metall, umfassen. Die untere Elektrode 131 kann TiN, TaN, W, Al, Ni, Co, Cu oder eine Kombination davon aufweisen. Bei einigen Ausführungsformen kann eine Planarisierung, wie etwa eine CMP, an einer Oberseite der unteren Elektrode 131 durchgeführt werden. Bei einigen Ausführungsformen ist das Material der unteren Elektrode 131 von dem der BEVA 132 verschieden. Bei einigen Ausführungsformen liegt die Dicke der unteren Elektrode 131 in dem Bereich von etwa 100 Å bis etwa 400 Å.
  • Der MTJ 135 befindet sich an der unteren Elektrode 131. Wie in 1 gezeigt ist, ist eine Seitenwand des MTJ 135 durch eine dielektrische Schicht 127, wie etwa eine Nitridschicht, geschützt. Die obere Elektrode 133 ist auf dem MTJ 135 angeordnet. Bei einigen Ausführungsformen kann die obere Elektrode 133 Nitride, wie etwa TiN oder TaN, Ta oder Ru umfassen. Bei einigen Ausführungsformen bestehen die obere Elektrode 133 und die untere Elektrode 131 aus dem gleichen Material. Bei einigen Ausführungsformen ist das Material der oberen Elektrode 133 von dem der BEVA 132 und der unteren Elektrode 131 verschieden.
  • In 1 ist die (N + 1)-te Metallleitung 123' nicht nur von den IMDs 125, sondern auch von der dielektrischen Schicht 127 umgeben. Bei einigen Ausführungsformen umfasst die dielektrische Schicht 127 Siliciumnitride. Bei einigen Ausführungsformen umfasst die dielektrische Schicht 127 eine SRO-Schicht und eine SiC-Schicht, die die (N + 1)-te Metallleitung 123' umgeben. Wie in 1 gezeigt ist, umfasst die dielektrische Schicht 127 eine erste dielektrische Schicht 127A, eine zweite dielektrische Schicht 127B und eine dritte dielektrische Schicht 127C. Die erste, die zweite und die dritte dielektrische Schicht können aus dem gleichen Material bestehen, wie etwa Siliciumnitrid. Da die erste, die zweite und die dritte dielektrische Schicht in unterschiedlichen Abscheidungsprozessen hergestellt werden, sind Grenzflächen zwischen den einzelnen dielektrischen Schichten zu erkennen.
  • Bei einigen Ausführungsformen ist die BEVA 132 der MRAM-Struktur 130 elektrisch mit dem dotierten Bereich verbunden. Bei einigen Ausführungsformen ist der dotierte Bereich ein Drain 105 oder eine Source 103. Bei anderen Ausführungsformen ist die BEVA 132 der MRAM-Struktur 130 elektrisch mit dem Gate 107 verbunden. Bei einigen Ausführungsformen kann das Gate 107 der Halbleiterstruktur 10 ein Polysilicium-Gate oder ein Metall-Gate sein.
  • Wie in 1 gezeigt ist, ist die (N + 1)-te Metallleitung 123' in Kontakt mit einer Oberseite 133A sowie einem Teil einer Seitenwand 133B der oberen Elektrode 133. Bei einigen Ausführungsformen hat die obere Elektrode 133 einen Aussparungsbereich, der von der Oberseite 133A bis zu dem untersten Punkt der (N + 1)-ten Metallleitung 123' reicht und einen Aussparungsabstand R hat. Die obere Elektrode 133 hat außerdem einen Trennbereich, der von der Unterseite des Aussparungsbereichs bis zu einer Oberseite 135A des MTJ 135 reicht und einen Isolationsabstand I hat. Bei einigen Ausführungsformen ist das Verhältnis des Aussparungsabstands R zu dem Isolationsabstand I größer als etwa 0,5. Das heißt, der Aussparungsabstand R ist mindestens halb so groß wie der Isolationsabstand I. In Anbetracht der vorstehenden Erörterung beeinträchtigt eine Vergrößerung des Aussparungsabstands R der Halbleiterstruktur 10 im Gegensatz zu der herkömmlichen oberen Elektrode nicht den Trenneffekt, der von dem Trennbereich bereitgestellt wird.
  • Bei einigen Ausführungsformen liegt der Aussparungsabstand R in dem Bereich von etwa 50 Å bis etwa 150 Å. Herkömmlich würde ein Aussparungsabstand R in dem vorgenannten Bereich eine unzureichende Trennung zwischen der (N + 1)-ten Metallleitung und dem MTJ bewirken, da die Gesamtdicke der herkömmlich hergestellten oberen Elektrode geringer als die Gesamtdicke der oberen Elektrode ist, die mit dem hier beschriebenen Herstellungsverfahren hergestellt wird. Zum Beispiel beträgt die Gesamtdicke der oberen Elektrode, d. h. die Summe aus dem Isolationsabstand I und dem Aussparungsabstand R, mehr als etwa 300 Å. Bei einigen Ausführungsformen beträgt der Isolationsabstand I mindestens etwa 200 Å, wenn ein Durchmesser D des MTJ 135 in der in 15C gezeigten Draufsicht etwa 1000 Å beträgt. Mit anderen Worten, das Verhältnis des Durchmessers D zu dem Isolationsabstand I beträgt bei einigen Ausführungsformen der vorliegenden Erfindung etwa 5. Dieses Verhältnis gewährleistet eine angemessene Trennung zwischen der (N + 1)-ten Metallleitung und dem MTJ. Das vorgenannte D/I-Verhältnis ist vorzugsweise kleiner als etwa 5.
  • In 1 umgibt ein Zwischenmetall-Dielektrikum (IMD) oder eine dielektrische Schicht 129 die dielektrische Schicht 127. Bei einigen Ausführungsformen besteht die dielektrische Schicht 129 aus Oxiden, die sich von den Nitriden der dielektrischen Schicht 127 unterscheiden. Bei einigen Ausführungsformen umfasst die dielektrische Schicht 129 TEOS. Wie in 1 gezeigt ist, ist eine Oberseite 129A der dielektrischen Schicht 129 höher als die Oberseite 133A der oberen Elektrode 133. Im Gegensatz zu der nicht-selektiven Hauptätzung an der oberen Elektrode 133 wird für die in der vorliegenden Erfindung bereitgestellte Halbleiterstruktur 10 eine selektive Überätzung verwendet, um die Oberseite 133A der oberen Elektrode 133 freizulegen. Die selektiven Ätzmittel zehren im Wesentlichen nicht die dielektrische Schicht 129 und die obere Elektrode 133 auf. Somit können die dielektrische Schicht 129 und die obere Elektrode 133 ihre ursprüngliche Dicke nach der Abscheidung beibehalten. In diesem Zusammenhang hat die dielektrische Schicht 129 eine Oberseite 129A, die höher als die der oberen Elektrode 133 ist.
  • Kommen wir nun zu 2, in der eine Halbleiterstruktur 20 ein Halbleiterschaltkreis mit einem MRAM-Zellen-Bereich 100A und einem Logikbereich 100B sein kann. Der MRAM-Zellen-Bereich 100A und der Logikbereich 100B haben jeweils eine Transistorstruktur 101 in einem Halbleitersubstrat 100. Bei einigen Ausführungsformen sind die Transistorstrukturen 101 in dem MRAM-Zellen-Bereich 100A und dem Logikbereich 100B im Wesentlichen identisch. Einzelheiten zu dem MRAM-Zellen-Bereich 100A sind der Beschreibung von 1 zu entnehmen. In dem Logikbereich 100B ist die N-te Metallleitung 121' durch eine N-te Metalldurchkontaktierung 122 der N-ten Metallschicht 121 mit der (N + 1)-ten Metallleitung 123' verbunden. Bei einigen Ausführungsformen werden die Metallleitungen und Metalldurchkontaktierungen mit einem elektrisch leitenden Material, z. B. Kupfer, Gold oder einem anderen geeigneten Metall oder einer geeigneten Legierung, gefüllt, um eine Anzahl von leitenden Durchkontaktierungen herzustellen. Metallleitungen und Metalldurchkontaktierungen in unterschiedlichen Metallschichten bilden eine Verbindungsstruktur, die aus im Wesentlichen reinem Kupfer (zum Beispiel mit einem Kupfergehalt von mehr als etwa 90 Masse-% oder mehr als etwa 95 Masse-%) oder Kupferlegierungen besteht, und sie können mit einem Single- oder Dual-Damascene-Prozess hergestellt werden. Metallleitungen und Metalldurchkontaktierungen können im Wesentlichen frei von Aluminium sein oder auch nicht. Vergleicht man den MRAM-Zellen-Bereich 100A und den Logikbereich 100B, so ist die Dicke der MRAM-Struktur 130 im Wesentlichen gleich der Summe aus der Dicke T2 der N-ten Metalldurchkontaktierung 122 und der Dicke T1 eines Teils der (N + 1)-ten Metallleitung 123'. Bei einigen Ausführungsformen kann die Metallleitung 123' die (N + M)-te Metallleitung 123' sein, wobei M eine ganze Zahl ist, die größer als 1 ist. Bei einigen Ausführungsformen ist die N-te Metallleitung 121' die vierte Metallleitung und die (N + M)-te Metallleitung 123' ist die fünfte Metallleitung.
  • Die 3 bis 15B und 16 sind Schnittansichten einer Halbleiterstruktur, die in verschiedenen Schritten hergestellt wird, gemäß einigen Ausführungsformen der vorliegenden Erfindung. In 3 wird eine Halbleiterstruktur bereitgestellt, die einen festgelegten MRAM-Zellen-Bereich 100A und einen Logikbereich 100B hat. Bei einigen Ausführungsformen wird eine Transistorstruktur (in 3 nicht dargestellt) vorher hergestellt. Das integrierte Schaltkreiselement kann einer weiteren Bearbeitung mit der CMOS- oder MOS-Technologie unterzogen werden, um verschiedene Strukturelemente herzustellen, die auf dem Fachgebiet bekannt sind. Die Kontaktelemente können mit der Source oder dem Drain verbunden werden. Die Kontaktelemente umfassen Silicid-Materialien, wie etwa Nickelsilicid (NiSi), Nickelplatinsilicid (NiPtSi), Nickelplatingermaniumsilicid (NiPtGeSi), Nickelgermaniumsilicid (NiGeSi), Ytterbiumsilicid (YbSi), Platinsilicid (PtSi), Iridiumsilicid (IrSi), Erbiumsilicid (ErSi), Cobaltsilicid (CoSi), andere geeignete leitende Materialien und/oder deren Kombinationen. In einem Beispiel werden die Kontaktelemente mit einem Sacilicid-Prozess (Salicid: selbstjustierendes Silicid) hergestellt.
  • Die N-te Metallleitung 121' wird in einer dielektrischen Schicht 125 über der Transistorstruktur strukturiert. Bei einigen Ausführungsformen kann die N-te Metallleitung 121' durch Elektroplattierung mit einer Cu-Seed-Schicht hergestellt werden, die über der strukturierten dielektrischen Schicht 125 abgeschieden wird. Bei anderen Ausführungsformen kann die N-te Metallleitung 121' mit verschiedenen Verfahren hergestellt werden, z. B. stromlose Plattierung, Abscheidung mit einem ionisierten Metallplasma hoher Dichte (IMP-Abscheidung), Abscheidung mit einem induktiv gekoppelten Plasma hoher Dichte (ICP-Abscheidung), Sputtern, physikalische Aufdampfung (PVD), chemische Aufdampfung (CVD), chemische Aufdampfung bei Tiefdruck (LPCVD), Plasma-unterstützte chemische Aufdampfung (PECVD) und dergleichen. Eine Planarisierung wird durchgeführt, um die Oberseite der N-ten Metallleitung 121' und die Oberseite der dielektrischen Schicht 125 freizulegen.
  • In 4 wird eine dielektrische Schicht 140 in der Form eines Dielektrika-Stapels, der eine SiC-Schicht 141, eine TEOS/SRO-Schicht 142 und eine SiC-Schicht 141 umfasst, durch Schutzabscheidung über der Oberseite der N-ten Metallleitung 121' und der Oberseite der dielektrischen Schicht 125 in dem MRAM-Zellen-Bereich 100A und dem Logikbereich 100B hergestellt. Die dielektrische Schicht 140 kann mit verschiedenen Verfahren hergestellt werden, z. B. chemische Aufdampfung (CVD), chemische Aufdampfung bei Tiefdruck (LPCVD), Plasma-unterstützte CVD (PECVD), Sputtern, physikalische Aufdampfung (PVD), thermisches Aufwachsen und dergleichen.
  • In 5 wird eine Fotoresistschicht (nicht dargestellt) über der dielektrischen Schicht 140 strukturiert, um ein BEVA-Loch 132' der MRAM-Struktur freizulegen. Wie in 5 gezeigt ist, werden zwei BEVA-Löcher 132' mit einem geeigneten Trockenätzprozess in der dielektrischen Schicht 140 hergestellt. Bei einigen Ausführungsformen umfasst das Trockenätzen in dem vorliegenden Prozess ein reaktives Ionenätzen (RIE) unter Verwendung von fluorhaltigen Gasen. Bei einigen Ausführungsformen kann der vorliegende Trockenätzprozess eine geeignete Ätzung des Dielektrikums umfassen, um Durchkontaktierungsgräben in einer Metallisierungsstruktur der herkömmlichen CMOS-Technologie herzustellen. Bei dem Logikbereich 100B, der in 5 gezeigt ist, wird die dielektrische Schicht 140 durch die Fotoresistschicht (nicht dargestellt) geschützt, sodass die Oberseite der N-ten Metallschicht 121' im Gegensatz zu dem Gegenstück in dem MRAM-Zellen-Bereich 100A nicht freigelegt wird.
  • In 6 wird eine Deckschicht 161 durch Schutzabscheidung über den BEVA-Löchern 132' in dem MRAM-Zellen-Bereich 100A und über der dielektrischen Schicht 140 in dem Logikbereich 100B hergestellt. Anschließend wird in 7 eine Abscheidung des BEVA-Materials 132 so durchgeführt, dass es sich über der Deckschicht 161 und der dielektrischen Schicht 140 befindet. Bei einigen Ausführungsformen wird eine Überfüllung des BEVA-Materials 132 durchgeführt. Der Teil, der die BEVA-Löcher 132' überfüllt, kann die untere Elektrode 131 des MRAM sein. Bei einigen Ausführungsformen umfasst das BEVA-Material 132 TiN, TaN, W, Al, Ni, Ta, Ru, Co, Cu oder eine Kombination davon und es wird mit verschiedenen Verfahren abgeschieden, z. B. Abscheidung mit einem ionisierten Metallplasma hoher Dichte (IMP-Abscheidung), Abscheidung mit einem induktiv gekoppelten Plasma hoher Dichte (ICP-Abscheidung), Sputtern, physikalische Aufdampfung (PVD), chemische Aufdampfung (CVD), chemische Aufdampfung bei Tiefdruck (LPCVD), Plasma-unterstützte chemische Aufdampfung (PECVD) und dergleichen.
  • In 8 wird eine MTJ-Schicht in der Form von mehreren Materialstapeln (in 8 nicht dargestellt) über der unteren Elektrode 131 abgeschieden. Bei einigen Ausführungsformen hat die MTJ-Schicht eine Dicke in dem Bereich von etwa 150 Å bis etwa 250 Å. Die MTJ-Schicht kann mit verschiedenen Verfahren hergestellt werden, z. B. Abscheidung mit einem ionisierten Metallplasma hoher Dichte (IMP-Abscheidung), Abscheidung mit einem induktiv gekoppelten Plasma hoher Dichte (ICP-Abscheidung), Sputtern, physikalische Aufdampfung (PVD), chemische Aufdampfung (CVD), chemische Aufdampfung bei Tiefdruck (LPCVD), Plasma-unterstützte chemische Aufdampfung (PECVD) und dergleichen. Bei einigen Ausführungsformen umfasst der MTJ 135 ferromagnetische Schichten, Abstandshalter und eine Verkappungsschicht. Die Verkappungsschicht wird auf den ferromagnetischen Schichten hergestellt. Jede der ferromagnetischen Schichten kann ein ferromagnetisches Material umfassen, das ein Metall oder eine Metallleitung sein kann, zum Beispiel Fe, Co, Ni, CoFeB, FeB, CoFe, FePt, FePd, CoPt, CoPd, CoNi, TbFeCo, CrNi oder dergleichen. Der Abstandshalter kann ein nicht-ferromagnetisches Material umfassen, zum Beispiel Ag, Au, Cu, Ta, W, Mn, Pt, Pd, V, Cr, Nb, Mo, Tc, Ru oder dergleichen. Ein anderer Abstandshalter kann auch ein Isolator sein, zum Beispiel Al2O3, MgO, TaO, RuO oder dergleichen. Die Verkappungsschicht kann ein nicht-ferromagnetisches Material umfassen, das ein Metall oder ein Isolator sein kann, zum Beispiel Ag, Au, Cu, Ta, W, Mn, Pt, Pd, V, Cr, Nb, Mo, Tc, Ru, Ir, Re, Os, Al2O3, MgO, TaO, RuO oder dergleichen. Die Verkappungsschicht kann den Schreibstrom ihrer zugehörigen MRAM-Zelle verringern. Die ferromagnetische Schicht kann als eine freie Schicht fungieren, deren magnetische Polarität oder magnetische Orientierung während des Schreibprozesses ihrer zugehörigen MRAM-Zelle geändert werden kann. Die ferromagnetischen Schichten und der Abstandshalter können als eine feste oder fixierte Schicht fungieren, deren magnetische Orientierung während der Operation ihrer zugehörigen MRAM-Zelle nicht geändert werden kann. Bei weiteren Ausführungsformen ist vorgesehen, dass die MTJ-Schicht eine antiferromagnetische Schicht umfassen kann.
  • Nach der Herstellung der MTJ-Schicht wird eine obere Elektrodenschicht über der MTJ-Schicht abgeschieden. Die obere Elektrodenschicht kann mit verschiedenen Verfahren hergestellt werden, z. B. Abscheidung mit einem ionisierten Metallplasma hoher Dichte (IMP-Abscheidung), Abscheidung mit einem induktiv gekoppelten Plasma hoher Dichte (ICP-Abscheidung), Sputtern, physikalische Aufdampfung (PVD), chemische Aufdampfung (CVD), chemische Aufdampfung bei Tiefdruck (LPCVD), Plasma-unterstützte chemische Aufdampfung (PECVD) und dergleichen. Bei einigen Ausführungsformen umfasst die obere Elektrodenschicht TiN, TaN, Ta oder Ru.
  • In 8 wird eine Maskenschicht (nicht dargestellt) über der oberen Elektrodenschicht hergestellt, um die MRAM-Struktur herzustellen. Die Maskenschicht kann eine Mehrschichtstruktur haben, die zum Beispiel eine Oxidschicht, eine APF-Schicht (APF: advanced patterning film) und eine Oxidschicht umfassen kann. Die Oxidschicht, die APF-Schicht und die Oxidschicht können jeweils mit verschiedenen Verfahren hergestellt werden, z. B. Abscheidung mit einem ionisierten Metallplasma hoher Dichte (IMP-Abscheidung), Abscheidung mit einem induktiv gekoppelten Plasma hoher Dichte (ICP-Abscheidung), Sputtern, physikalische Aufdampfung (PVD), chemische Aufdampfung (CVD), chemische Aufdampfung bei Tiefdruck (LPCVD), Plasma-unterstützte chemische Aufdampfung (PECVD) und dergleichen. Bei einigen Ausführungsformen ist die Maskenschicht so konfiguriert, dass sie die MTJ-Schicht so strukturiert, dass der MTJ 135 entsteht, und dass sie die obere Elektrodenschicht so strukturiert, dass die obere Elektrode 133 entsteht. Bei einigen Ausführungsformen werden der MTJ 135 und die obere Elektrode 133 durch RIE so hergestellt, dass sie in einer Schnittansicht eine Trapezform haben.
  • Anschließend wird die erste dielektrische Schicht 127A mit einer Dicke von etwa 50 Å bis etwa 300 Å über dem MTJ 135 und der oberen Elektrode 133 hergestellt. Es ist zu beachten, dass die Seitenwand des MTJ 135 und die Seitenwand der oberen Elektrode 133 von der ersten dielektrischen Schicht 127A umgeben sind, um eine Oxidation oder andere Verunreinigung zu vermeiden. Dann wird, wie in 9 gezeigt ist, die erste dielektrische Schicht 127A strukturiert, um die Oberseite der oberen Elektrode 133 und einen Teil der unteren Elektrode 131 freizulegen. Die untere Elektrode 131 wird so geätzt und strukturiert, dass zwei benachbarte MRAMs elektrisch entkoppelt sind.
  • In 10 wird ein zweite dielektrische Schicht 127B konform über der ersten dielektrischen Schicht 127A, der oberen Elektrode 133 und der Deckschicht 161 abgeschieden. Bei einigen Ausführungsformen besteht die zweite dielektrische Schicht 127B aus den gleichen Materialien, wie etwa Nitriden, wie die erste dielektrische Schicht 127A. Weiterhin wird eine dielektrische Schicht 129 konform über der zweiten dielektrischen Schicht 127B hergestellt. Optional wird eine Antireflexschicht 130 über der dielektrischen Schicht 129 geglättet, um den nachfolgenden fotolithografischen Prozess zu unterstützen.
  • In 11 wird eine Planarisierung an der Antireflexschicht 130 und der dielektrischen Schicht 129 so durchgeführt, dass die Oberseite der dielektrischen Schicht 129 über den MRAM-Zellen-Bereich 100A und den Logikbereich 100B hinweg im Wesentlichen plan ist. Wie in 11 gezeigt ist, wird eine nicht-selektive Ätzung verwendet, um die dielektrische Schicht 129, die Antireflexschicht 130 und die zweite dielektrische Schicht 127B unter Verwendung von Ätzmitteln auf CFy-Basis zu entfernen, wobei y eine positive ganze Zahl ist. Die Ätzmittel auf CFy-Basis umfassen zum Beispiel CFy und andere Ätzgase, die aus C, H und F bestehen. Bei einigen Ausführungsformen hat das Ätzmittel auf CFy-Basis ein Volumenverhältnis CnHlFm:CFy in dem Bereich von 0 bis etwa 0,1. Bei einigen Ausführungsformen wird die nicht-selektive Ätzung mit einem Gasstrom mit 0% bis 5% Sauerstoff, bezogen auf den Gesamtgasstrom, durchgeführt. Die nicht-selektive Ätzung wird mit dem Endpunktfeststellungsmodus durchgeführt, um sie abzubrechen, wenn die zweite dielektrische Schicht 127B freigelegt worden ist. Anders ausgedrückt, bei Beendigung der nicht-selektiven Ätzung liegt die Oberseite 133A der oberen Elektrode 133 nicht frei.
  • 12B ist eine Schnittansicht eines vergrößerten Teils der Halbleiterstruktur von 12A. In 12A wird eine selektive Ätzung verwendet, um einen Teil der zweiten dielektrischen Schicht 127B und einen Teil der ersten dielektrischen Schicht 127A unter Verwendung von Ätzmitteln auf CnHlFm-Basis zu entfernen, wobei n, l und m positive ganze Zahlen sind. Die Ätzmittel auf CnHlFm-Basis umfassen CnHlFm und andere Ätzgase, die aus C, H und F bestehen. Bei einigen Ausführungsformen hat das Ätzmittel auf CnHlFm-Basis ein Volumenverhältnis CFy:CnHlFm in dem Bereich von 0 bis etwa 0,1. Bei einigen Ausführungsformen wird die selektive Ätzung mit einem Gasstrom mit 0% bis 10% Sauerstoff, bezogen auf den Gesamtgasstrom, durchgeführt. CnHlFm umfasst bei einigen Ausführungsformen CH2F2, CHF3 und CH3F. In 12B ist das Ergebnis des selektiven Entfernens der ersten dielektrischen Schicht 127A und der zweiten dielektrischen Schicht 127B vergrößert dargestellt. Nach der selektiven Ätzung behalten die obere Elektrode 133 und die dielektrische Schicht 129 ihre ursprüngliche Dicke, da die selektiven Ätzmittel die beiden vorgenannten Materialien nur sehr langsam aufzehren.
  • Es ist zu beachten, dass die Oberseite 133A und die Seitenwand 133B der oberen Elektrode 133 nach der selektiven Ätzung freiliegen. Die selektive Ätzung wird jedoch so gesteuert, dass die Seitenwand des MTJ 135 nicht freigelegt wird. In den 11 und 12A ist zu beachten, dass der Dielektrika-Stapel in dem Logikbereich 100B mit geeigneten fotolithografischen Prozessen entfernt wird.
  • 13B ist ein Querschnitt eines vergrößerten Teils der Halbleiterstruktur von 13A. In 13A wird eine dritte dielektrische Schicht 127C hergestellt, um die Aussparung zu füllen, die bei der vorstehenden selektiven Ätzung entsteht. Die dritte dielektrische Schicht 127C bedeckt außerdem die Oberseite der dielektrischen Schicht 129. Wie in 13A gezeigt ist, bedeckt die dritte dielektrische Schicht 127C die Oberseite und die Seitenwände der oberen Elektrode 133. Ein IMD oder eine dielektrische Low-k-Schicht 125 wird über dem MRAM-Zellen-Bereich 100A und dem Logikbereich 100B hergestellt. In 13A ist ein Stufenunterschied 181 zu erkennen, und daher kann optional eine Rückätzung durchgeführt werden, um eine im Wesentlichen plane Oberseite für die nachfolgende Grabenherstellung in dem MRAM-Zellen-Bereich 100A und dem Logikbereich 100B zu erhalten. Die dielektrische Low-k-Schicht 125 wird bewusst bestehen gelassen, damit sie als eine Schutzschicht für die nachfolgende Grabenherstellung fungieren kann. Die dielektrische Low-k-Schicht 125 kann verhindern, dass die saure Lösung die dielektrische Low-k-Schicht bei der Fotoresistablösung beschädigt.
  • In 13B ist die Abscheidung der dritten dielektrischen Schicht 127C nach der selektiven Ätzung zur weiteren Beschreibung vergrößert dargestellt. Wie in 13B gezeigt ist, sind im Querschnitt eine Grenzfläche zwischen der ersten dielektrischen Schicht 127A und der zweiten dielektrischen Schicht 127B sowie eine Grenzfläche zwischen den beiden vorgenannten dielektrischen Schichten und der dritten dielektrischen Schicht 127C zu erkennen, da diese dielektrischen Schichten in unterschiedlichen Prozessen abgeschieden werden. Die Oberseite 133A und ein Teil der Seitenwand 133B der oberen Elektrode 133 werden wieder mit der dielektrischen Schicht (d. h. der dritten dielektrischen Schicht 127C) bedeckt, und daran schließt sich die Herstellung der dielektrischen Low-k-Schicht 125 an.
  • In 14 wird ein Fotoresist (nicht dargestellt) über der planarisierten dielektrischen Oberfläche so strukturiert, dass Gräben für Metallleitungen und Metalldurchkontaktierungen entstehen. Zum Beispiel wird in dem MRAM-Zellen-Bereich 100A ein (N + 1)-ter Metallleitungsgraben 123A über der MRAM-Struktur 130 hergestellt, wobei die Oberseite und ein Teil der Seitenwand der oberen Elektrode 133 des MRAM freigelegt werden. In dem Logikbereich 100B werden ein N-ter Metalldurchkontaktierungsgraben und ein (N + 1)-ter Metallleitungsgraben (die gemeinsam mit 123B bezeichnet sind) über der N-ten Metallleitung 121' hergestellt, sodass die Oberseite der N-ten Metallleitung 121' freigelegt wird.
  • In 15B ist die MRAM-Struktur von 15A zur weiteren Beschreibung vergrößert dargestellt. Wie in 15A gezeigt ist, werden der Metallleitungsgraben und der Metalldurchkontaktierungsgraben (nachstehend als „Gräben” bezeichnet) zum Beispiel durch einen herkömmlichen Dual-Damascene-Prozess mit einem leitenden Metall gefüllt. Die strukturierten Gräben werden durch Elektroplattierung mit einem leitenden Material gefüllt, und überschüssige Teile des leitenden Materials werden durch chemisch-mechanisches Polieren (CMP), Ätzen oder eine Kombination davon von der Oberfläche entfernt. Nachstehend werden Einzelheiten zur Elektroplattierung der Gräben dargelegt. Die (N + 1)-te Metallleitung 123' kann aus Wolfram (W) und besser aus Kupfer (Cu), wie etwa AlCu (gemeinsam mit Cu bezeichnet), bestehen. Bei einer Ausführungsform werden die (N + 1)-ten Metallleitungen 123' mit einem Damascene-Prozess hergestellt, der Fachleuten bekannt sein dürfte. Zunächst werden Gräben durch die dielektrische Low-k-Schicht geätzt. Dieser Schritt kann durch Plasma-Ätzen, wie etwa induktiv gekoppeltes Plasma-Ätzen (ICP-Ätzen), ausgeführt werden. Dann kann eine dielektrische Deckschicht (nicht dargestellt) auf den Seitenwänden der Gräben abgeschieden werden. Bei einigen Ausführungsformen können die Deckmaterialien Siliciumoxid (SiOx) oder Siliciumnitrid (SiNx) umfassen, die durch Plasma-Abscheidung, wie etwa physikalische Aufdampfung (PVD) oder chemische Aufdampfung (CVD), unter anderem Plasma-unterstützte chemische Aufdampfung (PECVD), abgeschieden werden können. Dann wird eine Seed-Schicht aus Cu in den Gräben plattiert. Es ist zu beachten, dass die Seed-Schicht aus Cu über der Oberseite der oberen Elektrode 133 plattiert werden kann. Dann wird eine Schicht aus Kupfer in den Gräben abgeschieden, woran sich eine Planarisierung der Kupferschicht, wie etwa chemisch-mechanisches Polieren (CMP), bis hinunter zu der Oberseite der dielektrischen Low-k-Schicht anschließt. Die freigelegte Kupfer-Oberfläche und die dielektrische Schicht können koplanar sein.
  • In 15B ist die (N + 1)-te Metallleitung 123' in Kontakt mit der Oberseite 133A und einem Teil der Seitenwand in der Nähe der Oberseite 133A der oberen Elektrode 133. Ein Dreifachpunkt T, an dem sich die Metallleitung 123', die dielektrische Schicht 127 und die obere Elektrode 133 treffen, entsteht nach der Herstellung der (N + 1)-ten Metallleitung 123'. Wie vorstehend bei 1 dargelegt worden ist, werden in dem vorliegenden Schritt der Aussparungsbereich mit dem Aussparungsabstand R und der Trennbereich mit dem Isolationsabstand I definiert. Bei einigen Ausführungsformen ist die Gesamtdicke TE der oberen Elektrode 133 größer als etwa 300 Å. Für weitere Strukturelemente von 15B siehe die Strukturelemente, die in 1 vorgesehen sind.
  • 15C ist eine Draufsicht einer Fläche, die durch Schneiden entlang der Linie A-A' von 15B entsteht. Es ist gezeigt, dass ein Durchmesser D des MTJ 135 bei einigen Ausführungsformen etwa 1000 Å beträgt. Unter diesen Umständen reicht ein Isolationsabstand I von gleich oder größer als etwa 200 Å aus, um den Zweck der Trennung zwischen der oberen Elektrode 133 und dem MTJ 135 zu erfüllen. In 15C sind der Übersichtlichkeit halber entlang der Linie A-A' die erste dielektrische Schicht 127A und die zweite dielektrische Schicht 127B sowie die dielektrische Schicht 129 oder das IMD dargestellt. Bei einigen Ausführungsformen muss der Isolationsabstand I umso kleiner sein, je kleiner der Durchmesser D des MTJ 135 ist.
  • Da in den 15B und 15C die vorgenannten selektiven Ätzmittel die obere Elektrode 133 und die dielektrische Schicht 129 nicht wesentlich aufzehren, können die Dicke der oberen Elektrode 133 und der dielektrischen Schicht 129 als mit ihren ursprünglichen Dicken identisch angesehen werden. Somit wird die Dickengleichmäßigkeit der oberen Elektrode 133 und der dielektrischen Schicht 129 im Vergleich zu der verbessert, die durch nicht-selektive Ätzung bei dem herkömmlichen Ansatz erhalten wird. Eine bessere Dickengleichmäßigkeit der oberen Elektrode 133 und der dielektrischen Schicht 129 führt dadurch, dass die Dicke der (N + 1)-ten Metallleitung 123' effektiv durch einen CMP-Prozess gesteuert werden kann, zu einem gleichmäßigeren Widerstand (d. h. einer geringeren Widerstandsänderung) der (N + 1)-ten Metallleitung 123'. Anders ausgedrückt, es kann ein breiteres CMP-Fenster verwendet werden, um die gewünschte Widerstandsgleichmäßigkeit der (N + 1)-ten Metallleitung 123' zu erhalten. Das kann mit dem herkömmlichen Ansatz, bei dem durch eine nicht-selektive Ätzung die Dicken der oberen Elektrode 133 und der dielektrischen Schicht 129 gleichzeitig geändert werden, nicht erreicht werden.
  • In 16 werden nach der Planarisierung, bei der das überschüssige leitende Metall entfernt wird, wie in 15A gezeigt ist, eine (N + 1)-te Metallleitung 123' in dem MRAM-Zellen-Bereich 100A und dem Logikbereich 100B sowie eine N-te Metalldurchkontaktierung 122 in dem Logikbereich 100B hergestellt. Die nachfolgende Bearbeitung kann weiterhin das Herstellen verschiedener Kontakte, Durchkontaktierungen oder Leitungen und Mehrschicht-Verbindungsstrukturen (z. B. Metallschichten und Zwischenschicht-Dielektrika) über dem Substrat umfassen, die so konfiguriert sind, das sie die verschiedenen Strukturelemente oder Strukturen des integrierten Schaltkreiselements verbinden. Die zusätzlichen Strukturelemente können eine elektrische Verbindung mit dem Bauelement herstellen, das die hergestellten Metall-Gate-Strukturen umfasst. Zum Beispiel umfasst eine Mehrschicht-Zwischenverbindung vertikale Zwischenverbindungen, wie etwa herkömmliche Durchkontaktierungen oder Kontakte, und horizontale Zwischenverbindungen, wie etwa Metallleitungen. Die verschiedenen Zwischenverbindungselemente können verschiedene leitende Materialien umfassen, wie etwa Kupfer, Wolfram und/oder Silicid. In einem Beispiel werden ein Damascene-Prozess und/oder ein Dual-Damascene-Prozess zum Herstellen einer Mehrschicht-Verbindungsstruktur auf Kupferbasis verwendet.
  • Einige Ausführungsformen der vorliegenden Erfindung stellen eine Halbleiterstruktur zur Verfügung. Die Halbleiterstruktur weist Folgendes auf: eine N-te Metallschicht; eine untere Elektrode über der N-ten Metallschicht; einen magnetischen Tunnelkontakt (MTJ) über der unteren Elektrode; eine obere Elektrode über dem MTJ und eine (N + M)-te Metallschicht über der N-ten Metallschicht. N und M sind positive ganze Zahlen. Die (N + M)-te Metallschicht umgibt einen Teil einer Seitenwand der oberen Elektrode.
  • Einige Ausführungsformen der vorliegenden Erfindung stellen eine Halbleiterstruktur zur Verfügung. Die Halbleiterstruktur weist einen Logikbereich und einen Speicherbereich auf. Der Speicherbereich weist Folgendes auf: eine N-te Metallschicht, eine untere Elektrode über der N-ten Metallschicht, einen magnetischen Tunnelkontakt (MTJ) über der unteren Elektrode, eine obere Elektrode über dem MTJ und eine (N + 1)-te Metallschicht über der oberen Elektrode. N ist eine positive ganze Zahl. Die Dicke der oberen Elektrode ist größer als etwa 300 Å.
  • Einige Ausführungsformen der vorliegenden Erfindung stellen ein Verfahren zur Herstellung einer Halbleiterstruktur zur Verfügung. Das Verfahren weist die folgenden Schritte auf: Herstellen einer unteren Elektrodenschicht über einer N-ten Metallschicht; Herstellen einer MTJ-Schicht (MTJ: magnetischer Tunnelkontakt) über der unteren Elektrodenschicht; Herstellen einer oberen Elektrodenschicht über dem MTJ; Strukturieren der oberen Elektrodenschicht und der MTJ-Schicht, um eine obere Elektrode und einen MTJ herzustellen; Herstellen einer ersten dielektrischen Schicht, die eine Oberseite und eine Seitenwand der oberen Elektrode umgibt; Herstellen einer zweiten dielektrischen Schicht, die die Oberseite und die Seitenwand der oberen Elektrode umgibt; und selektives Entfernen eines Teils der ersten dielektrischen Schicht und eines Teils der zweiten dielektrischen Schicht und Freilegen der Oberseite und der Seitenwand der oberen Elektrode.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.
  • Darüber hinaus soll der Schutzumfang der vorliegenden Patentanmeldung nicht auf die speziellen Ausführungsformen für die Prozesse, Maschinen, Herstellungsweisen, stofflichen Zusammensetzungen, Mittel, Verfahren und Schritte beschränkt werden, die in der Patentbeschreibung beschrieben worden sind. Wie ein Fachmann anhand der Beschreibung der vorliegenden Erfindung sofort erkennen dürfte, können gemäß der vorliegenden Erfindung Prozesse, Maschinen, Herstellungsweisen, stoffliche Zusammensetzungen, Mittel, Methoden oder Schritte genutzt werden, die zurzeit vorhanden sind oder später entwickelt werden sollen und im Wesentlichen die gleiche Funktion wie die entsprechenden, hier beschriebenen Ausführungsformen erfüllen oder im Wesentlichen das gleiche Ergebnis wie diese erzielen. Daher sollen die beigefügten Ansprüche diese Prozesse, Maschinen, Herstellungsweisen, stofflichen Zusammensetzungen, Mittel, Verfahren oder Schritte in ihren Schutzumfang einbeziehen.

Claims (20)

  1. Halbleiterstruktur mit: einer N-ten Metallschicht; einer unteren Elektrode über der N-ten Metallschicht; einem magnetischen Tunnelkontakt (MTJ) über der unteren Elektrode; einer oberen Elektrode über dem MTJ und einer (N + M)-ten Metallschicht über der N-ten Metallschicht, wobei N und M positive ganze Zahlen sind, wobei die (N + M)-te Metallschicht einen Teil einer Seitenwand der oberen Elektrode umgibt.
  2. Halbleiterstruktur nach Anspruch 1, wobei die obere Elektrode Folgendes aufweist: einen Aussparungsbereich, der von der (N + M)-ten Metallschicht umgeben ist; und einen Trennbereich, der von einer Oberseite des MTJ bis zu einer Unterseite des Aussparungsbereichs reicht und von einer dielektrischen Schicht umgeben ist.
  3. Halbleiterstruktur nach Anspruch 2, wobei ein Verhältnis einer Dicke des Aussparungsbereichs zu einer Dicke des Trennbereichs mehr als etwa 0,5 beträgt.
  4. Halbleiterstruktur nach Anspruch 2 oder 3, wobei die Dicke des Aussparungsbereichs etwa 50 Å bis etwa 150 Å beträgt.
  5. Halbleiterstruktur nach einem der Ansprüche 2 bis 4, wobei ein Verhältnis eines Durchmessers des MTJ in einer Draufsicht zu einer Dicke des Trennbereichs in einer Schnittansicht kleiner als etwa 5 ist.
  6. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei eine Dicke der oberen Elektrode mindestens 300 Å beträgt.
  7. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei die obere Elektrode weiterhin von einer zweiten dielektrischen Schicht umgeben ist, wobei eine Oberseite der zweiten dielektrischen Schicht höher als eine Oberseite der oberen Elektrode ist.
  8. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei N gleich 4 ist und M gleich 1 ist.
  9. Halbleiterstruktur mit: einem Logikbereich; und einem Speicherbereich, der Folgendes aufweist: eine N-te Metallschicht, eine untere Elektrode über der N-ten Metallschicht, einen magnetischen Tunnelkontakt (MTJ) über der unteren Elektrode, eine obere Elektrode über dem MTJ und eine (N + 1)-te Metallschicht über der oberen Elektrode, wobei N eine positive ganze Zahl ist, wobei eine Dicke der oberen Elektrode mehr als etwa 300 Å beträgt.
  10. Halbleiterstruktur nach Anspruch 9, wobei die obere Elektrode weiterhin einen Trennbereich aufweist, der von einem tiefsten Punkt der (N + 1)-ten Metallschicht bis zu einer Oberseite des MTJ reicht, wobei eine Dicke des Trennbereichs größer als etwa 200 Å ist.
  11. Halbleiterstruktur nach Anspruch 9 oder 10, wobei die obere Elektrode weiterhin einen Aussparungsbereich aufweist, der von einer Oberseite der oberen Elektrode bis zu einem tiefsten Punkt der (N + 1)-ten Metallschicht reicht, wobei eine Dicke des Aussparungsbereichs in dem Bereich von etwa 50 Å bis etwa 150 Å liegt.
  12. Halbleiterstruktur nach einem der Ansprüche 9 bis 11, wobei ein Durchmesser des MTJ in einer Draufsicht kleiner als etwa 1000 Å ist.
  13. Halbleiterstruktur nach einem der Ansprüche 9 bis 12, wobei der Logikbereich Folgendes aufweist: die N-te Metallschicht; und die (N + 1)-te Metallschicht über der N-ten Metallschicht.
  14. Verfahren zur Herstellung einer Halbleiterstruktur, mit den folgenden Schritten: Herstellen einer unteren Elektrodenschicht über einer N-ten Metallschicht; Herstellen einer MTJ-Schicht (MTJ: magnetischer Tunnelkontakt) über der unteren Elektrodenschicht; Herstellen einer oberen Elektrodenschicht über dem MTJ; Strukturieren der oberen Elektrodenschicht und der MTJ-Schicht, um eine obere Elektrode und einen MTJ herzustellen; Herstellen einer ersten dielektrischen Schicht, die eine Oberseite und eine Seitenwand der oberen Elektrode umgibt; Herstellen einer zweiten dielektrischen Schicht, die die Oberseite und die Seitenwand der oberen Elektrode umgibt; und selektives Entfernen eines Teils der ersten dielektrischen Schicht und eines Teils der zweiten dielektrischen Schicht und Freilegen der Oberseite und der Seitenwand der oberen Elektrode.
  15. Verfahren nach Anspruch 14, das weiterhin den folgenden Schritt aufweist: Herstellen eines Zwischenmetall-Dielektrikums über der zweiten dielektrischen Schicht, wobei das selektive Entfernen eines Teils der ersten dielektrischen Schicht und eines Teils der zweiten dielektrischen Schicht so durchgeführt wird, dass das Zwischenmetall-Dielektrikum im Wesentlichen nicht aufgezehrt wird.
  16. Verfahren nach Anspruch 15, das weiterhin den folgenden Schritt aufweist: nicht-selektives Entfernen eines Teils des Zwischenmetall-Dielektrikums und eines Teils der zweiten dielektrischen Schicht, ohne die Oberseite der oberen Elektrode freizulegen.
  17. Verfahren nach Anspruch 16, wobei das nicht-selektive Entfernen die Verwendung eines Ätzmittels auf CFy-Basis umfasst, wobei y eine positive ganze Zahl ist.
  18. Verfahren nach einem der Ansprüche 14 bis 17, das weiterhin die folgenden Schritte aufweist: Strukturieren der ersten dielektrischen Schicht, um eine strukturierte erste dielektrische Schicht herzustellen; und Entfernen eines Teils der unteren Elektrodenschicht, der von der strukturierten ersten dielektrischen Schicht freigelegt ist, um eine untere Elektrode herzustellen.
  19. Verfahren nach einem der Ansprüche 14 bis 18, wobei das selektive Entfernen die Verwendung eines Ätzmittels auf CnHlFm-Basis umfasst, wobei n, l und m positive ganze Zahlen sind.
  20. Verfahren nach einem der Ansprüche 14 bis 19, das weiterhin die folgenden Schritte aufweist: Herstellen einer dritten dielektrischen Schicht zum Bedecken der Oberseite und der Seitenwand der oberen Elektrode, nachdem die erste dielektrische Schicht und die zweite dielektrische Schicht selektiv entfernt worden sind; selektives Entfernen eines Teils der dritten dielektrischen Schicht, bis die Oberseite und die Seitenwand der oberen Elektrode freiliegen; und Herstellen einer (N + M)-ten Metallschicht in Kontakt mit der Oberseite und der Seitenwand der oberen Elektrode.
DE102016114870.9A 2016-01-29 2016-08-11 Halbleiterstruktur und Verfahren zu deren Herstellung Active DE102016114870B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662288793P 2016-01-29 2016-01-29
US62/288,793 2016-01-29
US15/151,207 2016-05-10
US15/151,207 US10454021B2 (en) 2016-01-29 2016-05-10 Semiconductor structure and method of manufacturing the same

Publications (2)

Publication Number Publication Date
DE102016114870A1 true DE102016114870A1 (de) 2017-08-03
DE102016114870B4 DE102016114870B4 (de) 2023-08-31

Family

ID=59387703

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016114870.9A Active DE102016114870B4 (de) 2016-01-29 2016-08-11 Halbleiterstruktur und Verfahren zu deren Herstellung

Country Status (4)

Country Link
US (5) US10454021B2 (de)
CN (1) CN107068856B (de)
DE (1) DE102016114870B4 (de)
TW (1) TWI614923B (de)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102018119672A1 (de) * 2018-05-29 2019-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Techniken für mram mtj top elektrode auf metallschicht-interface mit einem abstandhalter
DE102020115168A1 (de) 2020-05-29 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Vorrichtung mit magnetischem tunnelübergang und verfahren
DE102021108319A1 (de) 2020-07-16 2022-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Speicherbauelement und herstellungsverfahren dafür

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10923532B2 (en) * 2016-03-10 2021-02-16 Toshiba Memory Corporation Magnetic memory device
KR102449605B1 (ko) * 2017-06-05 2022-10-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN109560190B (zh) * 2017-09-26 2023-02-07 中电海康集团有限公司 包括低k介电材料的mram与其的制作方法
US10504958B2 (en) * 2017-11-08 2019-12-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method of the same
US10658571B2 (en) * 2017-11-17 2020-05-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing the same
US10727272B2 (en) * 2017-11-24 2020-07-28 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method of the same
US10644231B2 (en) * 2017-11-30 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and fabrication method thereof
US10490248B2 (en) 2017-11-30 2019-11-26 Taiwan Semiconductor Manufacturing Company Ltd. Magnetic random access memory structure and manufacturing method of the same
KR102440139B1 (ko) 2017-12-15 2022-09-06 삼성전자주식회사 반도체 소자
CN109994476B (zh) * 2017-12-29 2021-03-16 上海磁宇信息科技有限公司 一种制备磁性随机存储器阵列单元的方法
US10879456B2 (en) * 2018-06-27 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Sidewall spacer stack for magnetic tunnel junctions
US10529913B1 (en) * 2018-06-28 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Techniques for MRAM MTJ top electrode connection
US10879455B2 (en) * 2018-07-13 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating magneto-resistive random-access memory (MRAM) devices to avoid damaging magnetic tunnel junction (MTJ) structure
US11075335B2 (en) * 2018-09-26 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques for MRAM MTJ top electrode connection
US11107980B2 (en) 2018-09-28 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. MRAM fabrication and device
US10957850B2 (en) 2018-10-04 2021-03-23 International Business Machines Corporation Multi-layer encapsulation to enable endpoint-based process control for embedded memory fabrication
US11024344B2 (en) * 2018-10-09 2021-06-01 International Business Machines Corporation Landing pad in interconnect and memory stacks: structure and formation of the same
CN111146332B (zh) 2018-11-05 2023-06-16 联华电子股份有限公司 半导体装置以及其制作方法
CN111384237B (zh) 2018-12-27 2023-07-11 联华电子股份有限公司 半导体元件及其制作方法
US10790001B2 (en) 2019-01-04 2020-09-29 International Business Machines Corporation Tapered VA structure for increased alignment tolerance and reduced sputter redeposition in MTJ devices
CN111435702B (zh) * 2019-01-14 2023-05-19 联华电子股份有限公司 磁阻随机存取存储单元
CN111435672B (zh) * 2019-01-14 2024-03-19 联华电子股份有限公司 磁阻式随机存取存储器结构及其制作方法
US11031542B2 (en) * 2019-05-02 2021-06-08 International Business Machines Corporation Contact via with pillar of alternating layers
CN112018146B (zh) 2019-05-31 2024-01-05 联华电子股份有限公司 磁阻式随机存取存储器
US10985312B2 (en) * 2019-06-13 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating magneto-resistive random-access memory (MRAM) devices with self-aligned top electrode via and structures formed thereby
US11282788B2 (en) 2019-07-25 2022-03-22 International Business Machines Corporation Interconnect and memory structures formed in the BEOL
CN112466901A (zh) * 2019-09-06 2021-03-09 联华电子股份有限公司 半导体元件及其制作方法
US11195751B2 (en) 2019-09-13 2021-12-07 International Business Machines Corporation Bilayer barrier for interconnect and memory structures formed in the BEOL
US11088202B2 (en) * 2019-09-23 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming memory cell
US11114606B2 (en) 2019-09-23 2021-09-07 International Business Machines Corporation MRAM devices containing a harden gap fill dielectric material
KR20210063528A (ko) 2019-11-22 2021-06-02 삼성전자주식회사 자기 기억 소자
US11302639B2 (en) 2020-01-16 2022-04-12 International Business Machines Corporation Footing flare pedestal structure
CN111366618B (zh) * 2020-04-01 2022-07-29 上海华虹宏力半导体制造有限公司 一种温湿度传感器及其制造方法
US20220044717A1 (en) * 2020-08-10 2022-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and Method for MRAM Devices with a Slot Via
US20230238318A1 (en) 2022-01-27 2023-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bottom electrode via for memory device

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6783995B2 (en) 2002-04-30 2004-08-31 Micron Technology, Inc. Protective layers for MRAM devices
TWI252559B (en) 2004-12-31 2006-04-01 Ind Tech Res Inst Method for connecting magnetoelectronic element with conductive line
US9136463B2 (en) 2007-11-20 2015-09-15 Qualcomm Incorporated Method of forming a magnetic tunnel junction structure
JP4952725B2 (ja) 2009-01-14 2012-06-13 ソニー株式会社 不揮発性磁気メモリ装置
US8492858B2 (en) 2009-08-27 2013-07-23 Qualcomm Incorporated Magnetic tunnel junction device and fabrication
US8981502B2 (en) * 2010-03-29 2015-03-17 Qualcomm Incorporated Fabricating a magnetic tunnel junction storage element
CN103354952B (zh) * 2010-12-17 2016-09-28 艾沃思宾技术公司 具有改善的尺寸的磁随机存取存储器集成
US8557610B2 (en) * 2011-02-14 2013-10-15 Qualcomm Incorporated Methods of integrated shielding into MTJ device for MRAM
US8796795B2 (en) * 2011-08-01 2014-08-05 Avalanche Technology Inc. MRAM with sidewall protection and method of fabrication
US8709956B2 (en) * 2011-08-01 2014-04-29 Avalanche Technology Inc. MRAM with sidewall protection and method of fabrication
US8574928B2 (en) 2012-04-10 2013-11-05 Avalanche Technology Inc. MRAM fabrication method with sidewall cleaning
KR102078849B1 (ko) 2013-03-11 2020-02-18 삼성전자 주식회사 자기저항 구조체, 이를 포함하는 자기 메모리 소자 및 자기저항 구조체의 제조 방법
CN104995683B (zh) 2013-03-15 2018-03-23 英特尔公司 包括嵌入式磁性隧道结的逻辑芯片
US9406875B2 (en) 2013-12-17 2016-08-02 Qualcomm Incorporated MRAM integration techniques for technology scaling
US20150364676A1 (en) * 2014-06-11 2015-12-17 T3Memory, Inc. Three-terminal spin transistor magnetic random access memory and the method to make the same
US9564577B1 (en) * 2015-11-16 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. MRAM device and fabrication method
US10790439B2 (en) * 2018-07-24 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Memory cell with top electrode via

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102018119672A1 (de) * 2018-05-29 2019-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Techniken für mram mtj top elektrode auf metallschicht-interface mit einem abstandhalter
DE102020115168A1 (de) 2020-05-29 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Vorrichtung mit magnetischem tunnelübergang und verfahren
US11665977B2 (en) 2020-05-29 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic tunnel junction device and method
DE102021108319A1 (de) 2020-07-16 2022-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Speicherbauelement und herstellungsverfahren dafür

Also Published As

Publication number Publication date
US11785861B2 (en) 2023-10-10
US20170222128A1 (en) 2017-08-03
DE102016114870B4 (de) 2023-08-31
US20180351081A1 (en) 2018-12-06
TW201727959A (zh) 2017-08-01
CN107068856B (zh) 2020-09-11
US20210343932A1 (en) 2021-11-04
US10454021B2 (en) 2019-10-22
US11362265B2 (en) 2022-06-14
US20240023460A1 (en) 2024-01-18
TWI614923B (zh) 2018-02-11
CN107068856A (zh) 2017-08-18
US20200251649A1 (en) 2020-08-06
US10720568B2 (en) 2020-07-21

Similar Documents

Publication Publication Date Title
DE102016114870B4 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
DE102016114823A1 (de) Halbleiter-Speicherbaustein und Verfahren zu dessen Erstellung
DE102016117034A1 (de) Halbleiterstruktur und Verfahren zur Bildung derselben
DE102016100136A1 (de) Halbleiterstruktur und Verfahren zu ihrer Herstellung
DE102016114705B4 (de) Ätzstoppschicht für Halbleiter-Bauelemente
DE102014115934B4 (de) Zwei-Schritt-Ausbildung von Metallisierungen
DE102015117872A1 (de) Halbleiterstruktur, in die ein magnetischer Tunnelkontakt integriert ist, und Herstellungsverfahren dafür
DE102010037339A1 (de) Durchsubstratmerkmale in Halbleitersubstraten
DE102017118485A1 (de) Verfahren zum Bilden von Metallschichten in Öffnungen und Vorrichtung zu deren Bildung
DE10236682A1 (de) Halbleitervorrichtung
DE102019113416A1 (de) Durchkontaktierungs-Aufsetzverbesserung für Speicherbauelement
DE102020130156A1 (de) Halbleitervorrichtung und verfahren der herstellung davon
DE102015119536A1 (de) Kondensatoren mit dielektrischen Barriereschichten und Verfahren zu deren Ausbildung
DE102021110537A1 (de) Stapelbarer 3D-Speicher und Verfahren zum Herstellen
DE102021112578A1 (de) Speicherbauelement und verfahren zum bilden davon
DE102021100674A1 (de) Speicherarray mit epitaktischer sourceleitung und bitleitung
DE112016003929B9 (de) Verfahren zum Herstellen eines ferroelektrischen Direktzugriffsspeichers auf vorstrukturierter Bodenelektrode und Oxidationsbarriere
DE102019130124A1 (de) Funktionale komponente innerhalb einer verbindungsstruktur einer halbleitervorrichtung und verfahren zum bilden derselben
DE102020130171B4 (de) Abstandselemente für Halbleitervorrichtungen, welche rückseitige Stromschienen aufweisen
DE102021113390A1 (de) Struktur und verfahren für mram-vorrichtungen mit einer slot-durchkontaktierung
DE102021111910A1 (de) Interconnect-struktur und deren herstellungsverfahren
DE102021108348A1 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE102021108491A1 (de) Interconnect-struktur mit stapel aus dielektrischer kappschicht und ätzstoppschicht
DE102021100639A1 (de) Verschaltungsstruktur einer halbleitervorrichtung
DE112017008330T5 (de) Integrierte schaltungen (ics) mit elektromigrations (em) -resistenten segmenten in einer verbindungsebene

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0043080000

Ipc: H10N0050100000

R016 Response to examination communication
R018 Grant decision by examination section/examining division