DE102011004323B4 - Halbleiterbauelement mit selbstjustierten Kontaktelementen und Verfahren zu seiner Herstellung - Google Patents

Halbleiterbauelement mit selbstjustierten Kontaktelementen und Verfahren zu seiner Herstellung Download PDF

Info

Publication number
DE102011004323B4
DE102011004323B4 DE102011004323.3A DE102011004323A DE102011004323B4 DE 102011004323 B4 DE102011004323 B4 DE 102011004323B4 DE 102011004323 A DE102011004323 A DE 102011004323A DE 102011004323 B4 DE102011004323 B4 DE 102011004323B4
Authority
DE
Germany
Prior art keywords
gate electrode
forming
contact
dielectric
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102011004323.3A
Other languages
English (en)
Other versions
DE102011004323A1 (de
Inventor
Peter Baars
Till Schloesser
Frank Jakubowski
Andy Wei
Richard Carter
Matthias Schaller
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Original Assignee
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Dresden Module One LLC and Co KG, GlobalFoundries Inc filed Critical GlobalFoundries Dresden Module One LLC and Co KG
Priority to DE102011004323.3A priority Critical patent/DE102011004323B4/de
Priority to US13/372,604 priority patent/US8835245B2/en
Publication of DE102011004323A1 publication Critical patent/DE102011004323A1/de
Application granted granted Critical
Publication of DE102011004323B4 publication Critical patent/DE102011004323B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Verfahren mit: Bilden eines Kontaktelements lateral benachbart zu einer Gateelektrodenstruktur derart, dass das Kontaktelement eine Verbindung zu einem Draingebiet oder einem Sourcegebiet herstellt, das in einem aktiven Gebiet eines Halbleiterbauelements ausgebildet ist, wobei die Gateelektrodenstruktur ein Platzhaltermaterial aufweist, das von einer dielektrischen Deckschicht bedeckt ist, wobei Bilden des Kontaktelements umfasst: Bilden eines dielektrischen Materials über den Drain- und Sourcegebieten und lateral benachbart zu der Gateelektrodenstruktur und Bilden einer Kontaktöffnung darin, so dass die dielektrische Deckschicht und zumindest ein Teil des Draingebiets oder Sourcegebiets freigelegt wird; und Ersetzen des Platzhaltermaterials zumindest durch ein metallenthaltendes Elektrodenmaterial in Anwesenheit des Kontaktelements.

Description

  • Gebiet der vorliegenden Erfindung
  • Die vorliegende Erfindung betrifft allgemein die Herstellung integrierter Schaltungen und betrifft insbesondere die Kontaktebene eines Halbleiterbauelements, in der Kontaktbereiche, etwa Drain- und Sourcegebiete sowie Gateelektrodenstrukturen mit dem Metallisierungssystem des Halbleiterbauelements verbunden sind.
  • Beschreibung des Stands der Technik
  • In modernen integrierten Schaltungen, etwa Mikroprozessoren, Speicherbauelementen, und dergleichen, wird eine große Anzahl an Schaltungselementen, insbesondere an Transistoren, auf einem beschränkten Chipgebiet vorgesehen und dort betrieben. Obwohl großer Fortschritt über die vergangenen Jahrzehnte im Hinblick eine Verbesserung des Leitungsverhaltens und reduzierte Strukturgröße der Schaltungselemente erreicht wurde, dient zwingt die anhaltende Forderung nach Funktionen in elektronischen Bauelementen die Halbleiterhersteller dazu, die Abmessungen der Schaltungselemente weiter zu verringern, und deren Arbeitsgeschwindigkeit zu erhöhen. Die kontinuierliche Verringerung der Strukturgrößen erfordert jedoch große Anstrengungen bei der Neugestaltung von Prozesstechniken und bei der Entwicklung neuer Prozessstrategien und Prozessanlagen, um mit den neuen Entwurfsregeln Schritt zu halten. Generell ist in komplexen Schaltungen, die aufwendige Logikbereiche enthalten, die MOS-Technologie eine bevorzugte Fertigungstechnik im Hinblick auf das Bauteilleistungsverhalten und/oder die Leistungsaufnahme und/oder die Kosteneffizienz. In integrierten Schaltungen mit Logikbereichen, die durch die MOS-Technologie hergestellt sind, werden Feldeffekttransistoren (FET) bereitgestellt, die typischerweise in einem geschalteten Modus betrieben werden, d. h. die Bauelemente besitzen einen gut leitenden Zustand (Ein-Zustand) und einen hochohmigen Zustand (Aus-Zustand). Der Zustand des Feldeffekttransistors wird durch eine Gateelektrode gesteuert, die durch Anlegen einer geeigneten Steuerspannung die Leitfähigkeit eines Kanalgebiets steuert, das zwischen einem Draingebiet und einem Sourcegebiet ausgebildet ist.
  • Auf der Grundlage der Feldeffekttransistoren werden komplexe Schaltungskomponenten zusammengesetzt, etwa Inverter und dergleichen, wodurch komplexe Logikschaltungen, eingebettete Speicher und dergleichen geschaffen werden. Auf Grund der reduzierten Abmessungen hat sich die Arbeitsgeschwindigkeit der Schaltungskomponenten mit jeder neuen Bauteilgeneration erhöht, wobei jedoch der begrenzende Faktor der schließlich erreichten Arbeitsgeschwindigkeit komplexer integrierter Schaltungen nicht mehr die einzelnen Transistorelemente sind, sondern dass elektrische Leistungsverhalten des komplexen Verdrahtungssystems, das über der Bauteilebene, die die eigentlichen halbleiterbasierten Schaltungselemente aufweist, etwa die Transistoren, und dergleichen, ausgebildet ist. Auf Grund der großen Anzahl an Schaltungselementen und des erforderlichen komplexen Aufbaus moderner integrierter Schaltungen können die elektrischen Verbindung der einzelnen Schaltungselemente nicht mehr in der gleichen Bauteilebene hergestellt werden, in der die Schaltungselemente hergestellt sind, sondern es sind eine oder mehrere zusätzliche Metallisierungsschichten erforderlich, die generell metallenthaltende Leitungen aufweisen, die für die elektrische Verbindung innerhalb der Ebene sorgt, und die auch eine Vielzahl an Zwischenebenenverbindungen oder vertikalen Verbindungen aufweisen, die auch als Kontaktdurchführungen bezeichnet werden. Diese Verbindungsstrukturen enthalten ein geeignetes Metall und sorgen für die elektrische Verbindung der diversen gestapelten Metallisierungsschichten.
  • Um die Schaltungselemente, die in dem Halbleitermaterial hergestellt sind, tatsächlich mit den Metallisierungsschichten zu verbinden, ist ferner eine geeignete vertikale Kontaktstruktur vorgesehen, die mit einem Ende mit einem entsprechenden Kontaktgebiet eines Schaltungselements, etwa einer Gateelektrode und/oder den Drain- und Sourcegebieten von Transistoren, in Verbindung steht, und die mit einem anderen Ende mit einer entsprechenden Metallleitung in der Metallisierungsschicht und/oder mit einem Kontaktgebiet eines weiteren halbleiterbasierten Schaltungselements in Verbindung steht, in welchem Falle diese Verbindungsstruktur der Kontaktebene auch als lokale Verbindungsstruktur bezeichnet wird. Die Kontaktstruktur umfasst Kontaktelemente oder Kontaktpfropfen mit einer generellen quadratischen oder rundlichen Form, die in einem Zwischenschichtdielektrikum ausgebildet sind, das wiederum die Schaltungselemente umgibt und passiviert. Bei einer weiteren Verringerung der kritischen Abmessungen der Schaltungselemente in der Bauteilebene müssen auch die Abmessungen auch von Metallleitungen, Kontaktdurchführungen und Kontaktelementen an die reduzierten Abmessungen angepasst werden, wodurch komplexe metallenthaltende Materialien und dielektrische Materialien erforderlich werden, um die parasitäre Kapazität in den Metallisierungsschichten zu verringern und um für eine ausreichend hohe Leitfähigkeit der einzelnen Metallleitungen und Kontaktdurchführungen zu sorgen. Beispielsweise wird in komplexen Metallisierungssystemen Kupfer in Verbindung mit dielektrischen Materialien mit großem ε verwendet, die als dielektrische Materialien mit einer Dielektrizitätskonstante von ungefähr 3,0 oder weniger zu betrachten sind, um die erforderliche elektrische Leistungsfähigkeit und das Elektromigrationsverhalten zu erreichen, wie es im Hinblick auf die Zuverlässigkeit der integrierten Schaltungen erforderlich ist. Folglich können in tiefer liegenden Metallisierungsebenen die Metallleitungen und Kontaktdurchführungen mit kritischen Abmessungen von ungefähr 100 nm und deutlich weniger ggf. vorzusehen sein, um damit die erforderliche „Packungsdichte” gemäß der Dichte der Schaltungselemente in der Bauteilebene zu erreichen.
  • Bei einer weiteren Verringerung der Abmessungen der Schaltungselemente, beispielsweise unter Anwendung kritischer Abmessungen von 50 nm und weniger, müssen die Kontaktelemente in der Kontaktebene mit kritischen Abmessungen in gleichen Größenordnung bereitgestellt werden. Die Kontaktelemente sind typischerweise Tropfen, die aus einem geeigneten Metall oder eine Metallzusammensetzung hergestellt sind, wobei in komplexen Halbleiterbauelementen Wolfram in Verbindung mit geeigneten Barrierenmaterialien sich als ein geeignetes Kontaktmetall erwiesen hat. Bei der Herstellung von wolframbasierten Kontaktelementen wird typischerweise das dielektrische Zwischenschichtmaterial zuerst hergellt und so strukturiert, dass es Kontaktöffnungen erhält, die sich durch das dielektrische Zwischenschichtmaterial zu den jeweiligen Kontaktbereichen der Schaltungselemente erstrecken. Insbesondere in dicht gepackten Bauteilgebieten beträgt die laterale Größe der Drain- und Sourcebereiche und somit die verfügbare Fläche der Kontaktgebiete 100 nm und deutlich weniger, wodurch äußerst komplexe Lithographie- und Ätztechniken erforderlich werden, um die Kontaktöffnungen mit gut definierten lateralen Abmessungen und mit einem hohen Grade an Justiergenauigkeit herzustellen.
  • In der US 2010/0 052 075 A1 und der US 6 072 221 A werden Verfahren zur Herstellung von Halbleiterbauteilen beschrieben, wobei Platzhalterstrukturen mit Deckschichten vorgesehen sind, die beim Bilden von Öffnungen für elektrische Kontakte nicht freigelegt werden.
  • In der US 2003/0 008 496 A1 wird ein Verfahren zur Herstellung eines FETs mit selbstjustierten Source, Drain und Gate beschrieben.
  • In der US 7 745 275 B2 wird ein Verfahren zur Herstellung einer integrierten Schaltung mit FETs, die gemäß dem Gate-First-Prinzip gebildet werden, beschrieben.
  • In der US 2004/0 007 727 A1 wird ein Speicherelement mit Kontaktanschlüssen an beiden Seiten des Gates eines FETs beschrieben.
  • Mit Bezug zu 1 wird die kritische Situation bei der Herstellung von Kontaktelementen in dicht gepackten Bauteilbereichen detaillierter beschrieben.
  • 1 zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 mit einem Substrat 101, etwa ein Siliziumsubstrat und dergleichen, in und über welchem eine siliziumbasierte Halbleiterschicht 102 vorgesehen ist. In dem gezeigten Beispiel bilden das Substrat 101 und die Schicht 102 eine Vollsubstratkonfiguration, d. h. die Schicht 102 ist anfänglich ein Teil eines kristallinen Materials des Substrats 101. In anderen Fällen ist eine vergrabene isolierende Materialschicht unter der Halbleiterschicht 102 ausgebildet, wodurch eine SOI-(Silizium-auf-Isolator-)Architektur geschaffen wird. In der fortgeschrittenen Fertigungsphase, die in 1 gezeigt ist, ist die Halbleiterschicht 102 typischerweise in eine Vielzahl aktiver Gebiete oder Halbleitergebiete mittels geeigneter Isolationsstrukturen unterteilt, wobei der Einfachheit halber ein einzelnes aktives Gebiet 102a und ein entsprechendes Isolationsgebiet 102c so dargestellt sind, dass das aktive Gebiet 102a lateral von dem Isolationsgebiet 102c begrenzt wird. Generell ist ein aktives Gebiet als ein Halbleitergebiet zu verstehen, in und über welchem ein oder mehrere Transistoren herzustellen sind. In dem vorliegenden Beispiel ist das aktive Gebiet 102a als ein dicht gepacktes Bauteilgebiet zu verstehen, da hier mehrere Transistoren 150 in und über dem aktiven Gebiet 102a zu erzeugen sind, wodurch ein minimaler lateraler Abstand oder eine laterale Teilung zwischen den entsprechenden Gateelektrodenstrukturen 160a, ..., 160b der Transistoren 150 erforderlich ist. Beispielsweise beträgt eine Länge der Gateelektrodenstrukturen 160a, ..., 160d 40 nm oder weniger, wobei generell die Längsrichtung der Transistoren 150 und des aktiven Gebiets 102a durch die horizontale Richtung in 1 repräsentiert ist. In ähnlicher Weise ist eine Breitenrichtung als eine Richtung zu verstehen, die sich senkrecht zur Zeichenebene der 1 erstreckt.
  • In komplexen Halbleiterbauelementen wird das Leistungsvermögen der Transistoren generell durch eine Vielzahl an Techniken verbessert, wobei zudem kontinuierlich die Gatelänge und somit die Kanallänge der Feldeffekttransistoren stetig verringert wird. Beispielsweise wird in einigen Strategien die Ladungsträgerbeweglichkeit in Kanalgebieten 153, d. h. in Gebieten, die unterhalb der Gateelektrodenstrukturen 160a, ..., 160d angeordnet und lateral durch die entsprechenden Drain- und Sourcegebiete 151 eingeschlossen sind, erhöht, indem gewisse Verspannungsbedingungen geschaffen werden, wodurch ebenfalls der Durchlassstrom und somit die Schaltgeschwindigkeit verbessert werden. Auf diese Weise kann insbesondere das Leistungsverhalten von Transistoren in Logikschaltungsbereichen verbessert werden, da hier ein hoher Durchlassstrom in Verbindung mit einer hohen Schaltgeschwindigkeit bei moderat geringen Schwellwertspannungen typischerweise gewünscht ist. Dazu wurde eine Vielzahl an verformungsinduzierenden Mechanismen entwickelt, die in die Transistoren 150 eingerichtet werden, die jedoch in 1 nicht gezeigt sind. Generell ist ferner eine Verringerung der Länge der Kanalgebiete 153 mit einer Zunahme der kapazitiven Kopplung zwischen der Gateelektrode und dem Kanalgebiet insbesondere in sehr leistungsfähigen Transistoren erforderlich, und aus diesem Grunde wird typischerweise das Dielektrikumsmaterial, das das Kanalgebiet 153 von einem Elektrodenmaterial der Gateelektrodenstrukturen trennt, in der Dicke verringert. In der Vergangenheit wurden siliziumdioxidbasierte Gatedielektrikumsmaterialien auf Grund der günstigen Eigenschaften einer Silizium/Siliziumdioxidgrenzfläche im Hinblick auf Hochtemperaturbehandlungen und dergleichen verwendet, wobei jedoch bei der kontinuierlichen Verringerung der kritischen Abmessungen der Transistoren die moderat kleine Dielektrizitätskonstante von siliziumdioxidbasierten dielektrischen Materialien eine Dicke von 2 nm und weniger einer entsprechenden Gateisolationsschicht notwendig macht, um den Leistungsanforderungen der Transistoren mit einer Gatelänge deutlich unterhalb von 80 nm Rechnung zu tragen. In diesem Falle erreichen jedoch die Leckströme, die durch das Einprägen von energiereichen Ladungsträgern und das direkte Tunneln von Ladungsträgern durch das extrem dünne siliziumdioxidbasierte Dielektrikum hervorgerufen werden, nicht mehr mit den thermischen Entwurfsanforderungen verträglich. Daher wurden neue Strategien entwickelt, in denen zumindest ein wesentlicher Teil des konventionellen Dielektrikumsmaterials durch ein dielektrisches Material mit erhöhter Dielektrizitätskonstante ersetzt wird, wobei derartige Materialien typischerweise als dielektrische Materialien mit großem ε bezeichnet werden und eine Dielektrizitätskonstante von 10,0 oder höher besitzen. Beispielsweise kann eine Vielzahl an Metalloxiden und Silikaten, etwa Hafniumoxid, Zirkonoxid und dergleichen, effizient als ein dielektrisches Material mit großem ε verwendet werden. Es zeigt sich jedoch, dass der Einbau des dielektrischen Materials mit großem ε auch geeignet angepasste Strategien für das Einstellen der Austrittsarbeitswerte der Gateelektrodenstrukturen erforderlich macht, was wiederum den Einbau spezieller Arbeitsaustrittsarbeitsmetallsorten, etwa Titan, Tantal, Aluminium, Lanthan, und dergleichen, möglich machen kann, die auch als effiziente Elektrodenmetalle verwendet werden können, wodurch ebenfalls die elektrische Leitfähigkeit erhöht wird und wodurch eine Beeinträchtigung auf Grund von Verarmungszonen in der Nähe der Gatedielektrikumsmaterialien verringert wird, wie dies typischerweise in konventionellen Siliziumdioxid/Polysilizium-Gateelektrodenstrukturen anzutreffen ist. Da die dielektrischen Materialien mit großem ε und die Austrittsarbeitsmetallsorten sehr empfindlich sind im Hinblick auf Hochtemperaturbehandlungen und die Einwirkung kritischer Prozessatmosphären, wie sie typischerweise während der gesamten Fertigungsphase angetroffen werden, werden in einigen vielversprechenden Vorgehensweisen diese Materialien in einer sehr späten Fertigungsphase auf der Grundlage eines sogenannten Austauschgateverfahrens bereitgestellt.
  • Daher werden die Gateelektrodenstrukturen 160a, ..., 160d in Form von Austauschgatestrukturen mit einem Gatedielektrikumsmaterial 165, das wiederum ein dielektrisches Material mit großem ε aufweisen kann, und einem Elektrodenmaterial 167 bereitgestellt, das eine geeignet ausgewählte Austrittsarbeitsmetallsorte enthalten kann.
  • Das Halbleiterbauelement 100 umfasst ferner eine Kontaktebene 120, die mehrere dielektrische Materialien aufweist, etwa eine dielektrische Ätzstoppschicht 121, die typischerweise in Form eines Siliziumnitridmaterials vorgesehen ist, woran sich eine dielektrische Schicht 122, etwa in Form eines siliziumdioxidbasierten Materials, oder in Form eines anderen geeigneten dielektrischen Materials anschließt, das im Wesentlichen den Zwischenraum zwischen den dicht gepackten Gateelektrodenstrukturen 160a, ..., 160d ausfüllt. Ferner kann ein weiteres dielektrisches Material 123 oder Materialsystem in Form von Siliziumdioxid möglicherweise in Verbindung mit Siliziumnitridmaterial (nicht gezeigt) und dergleichen bereitgestellt werden. Wie zuvor erläutert ist, werden Kontaktelemente 125 so hergestellt, dass diese mit dem aktiven Gebiet 102a in Verbindung stehen, d. h. mit geeigneten Kontaktgebieten in den Drain- und Sourcebereichen 151, die in Form von Metallsilizidgebieten 152 bereitgestellt werden, das aus einem beliebigen geeigneten Metall, etwa Nickel, Platin und dergleichen aufgebaut ist. Die Kontaktelemente 125 umfassen typischerweise ein Kontaktmetall, etwa Wolfram, das durch 124a bezeichnet ist und typischerweise in Verbindung mit geeigneten Barrierenmaterialien, etwa Titan, Titannitrid und dergleichen, vorgesehen wird, die der Einfachheit halber in 1 nicht gezeigt sind. Wie dargestellt, ist eines der Kontaktelemente 125, wie dies durch (a) angegeben ist, im Hinblick auf die Gateelektrodenstruktur 160 fehljustiert und führt somit zu einem Kurzschluss zwischen dem Elektrodenmaterial 167 und dem leitenden Material 124a. In diesem Falle tritt ein ernsthafter Bauteilfehler auf. Ferner ist für die verbleibenden Kontaktelemente der Grad an Fehljustierung weniger ausgeprägt, so dass ein Kurzschluss zwischen den zugehörigen Gateelektrodenstrukturen vermieden wird, wobei jedoch dennoch variierende Transistoreigenschaften auftreten können, die von der Justiergenauigkeit bei der Herstellung der Kontaktelemente 125 abhängen. Beispielsweise hängt die Größe des entsprechenden Metallsilizidgebiets 152 für das Kontaktelement 125 (b) von dem Positionieren des Kontaktelements und dem entsprechenden Gesamtreihenwiderstand des Transistors ab, wobei auch die parasitäre Kapazität wesentlich von der Justiergenauigkeit und somit von der für die Herstellung der Kontaktelemente 125 eingesetzten Strukturierungsstrategie abhängt.
  • Das in 1 gezeigte Halbleiterbauelement 100 kann auf der Grundlage der folgenden Prozessstrategie hergestellt werden. Das aktive Gebiet 102a in Verbindung mit dem Isolationsgebiet 102c wird unter Anwendung gut etablierter Lithographie-, Ätz-, Abscheide-, Ausheiz-, und Materialabtragungsprozesse in Verbindung mit Implantationssequenzen und zugehörigen Maskierungsschemata hergestellt. Daraufhin wird gemäß dem Austauschgateverfahren ein im Wesentlichen konventioneller Gateschichtstapel, beispielsweise auf der Grundlage von Siliziumdioxid und Polysiliziummaterial in Verbindung mit zusätzlichen Hartmaskenmaterialien, etwa Siliziumnitrid und dergleichen, bereitgestellt, und der resultierende Schichtstapel wird dann auf der Grundlage komplexer Lithographie- und Ätztechniken strukturiert, beispielsweise unter Anwendung einer Doppelbelichtungs-Doppelätz-Strategie zur Strukturierung des entsprechenden Hartmaskenmaterials, um die resultierenden Gateelektrodenstrukturen mit den gewünschten lateralen Abmessungen bereitzustellen. Typischerweise enthalten die resultierenden Gateelektrodenstrukturen ein dielektrisches Deckmaterial, das den Rest eines Hartmaskenmaterials, das etwa in Form von Siliziumnitrid, Siliziumdioxid und dergleichen, vorgesehen wird, darstellt. Daraufhin wird die weitere Bearbeitung fortgesetzt, indem die Drain- und Sourcegebiete 151 in Verbindung mit einer Abstandshalterstruktur 164 hergestellt werden, das typischerweise bewerkstelligt wird durch gut etablierte Abscheide- und Ätztechniken, während die Drain- und Sourcegebiete 151 durch selektive epitaktische Aufwachstechniken, Implantationsprozesse oder eine Kombination davon hergestellt werden. Nach jeglichen Hochtemperaturprozessen werden die Materialien 121 und 122 mittels plasmaunterstützter CVD (chemische Dampfabscheidung) und dergleichen aufgebracht, woran sich das Einebnen und das Entfernen von überschüssigem Material anschließt, was typischerweise durch Anwenden zumindest eines CMP-(chemisch-mechanischen Polier-)Prozess bewerkstelligt wird. Während des entsprechenden Planarisierungsprozesses wird auch eine Oberfläche eines Polysiliziummaterials freigelegt und das Siliziummaterial wird anschließend selektiv auf der Grundlage sehr selektiver nasschemischer Ätzrezepte, plasmaunterstützter Ätzrezepte und dergleichen entfernt. Als nächstes wird ein komplexes Abscheide- und Strukturierungsschema angewendet, um das Gatedielektrikumsmaterial 165 einzubauen, das das dielektrische Material mit großem ε enthält, woran sich das Abscheiden geeignet ausgewählter Austrittsmetallsorten eines Elektrodenmetalls anschließt, das in Form von Aluminium, Aluminiumlegierungen vorgesehen wird. Es sollte beachtet werden, dass entsprechende Austrittsarbeitsmetallsorten unterschiedlich für n-Kanaltransistoren und p-Kanaltransistoren vorzusehen sind, oder auch unterschiedlich für Transistoren, die unterschiedliche Schwellwertspannungswerte erfordern, vorgesehen werden. Nach dem Entfernen von überschüssigem Material und dem Ausführen einer Wärmebehandlung zum thermischen Stabilisieren zur Verteilung der Austrittsarbeitmetallsorte wird die Bearbeitung fortgesetzt, beispielsweise in einigen Anwendungen durch Ausnutzen der im Wesentlichen ebenen Oberflächenbedingungen und durch Bilden eines ersten Teils der Kontaktelemente 125 durch Ausführen sehr komplexer und kritischer Lithographie- und Ätztechniken, um in die Materialien 122 und 121 lateral benachbart zu den Gateelektrodenstrukturen 160a, ..., 160d hineinzuätzen, so dass eine Verbindung zu den Drain- und Sourcegebieten 151 entsteht. Dazu werden mehrere geeignet gestaltete Kontaktöffnungen typischerweise hergestellt, wozu komplexe Lithographietechniken erforderlich sind, die eine hohe Justiergenauigkeit sicherstellen müssen, wobei dies jedoch auf Grund der reduzierten Abstände dennoch zu einem gewissen Grad an Fehljustierung führen kann, wie dies beispielsweise für die Kontaktelemente 125 gezeigt ist. Daraufhin wird ein dielektrisches Material 123 hergestellt und geeignet so strukturiert, dass Kontaktöffnungen geschaffen werden, die eine Verbindung zu dem zuvor hergestellten Kontaktelementen ergeben und die auch mit den Elektrodenstrukturen 160a, ..., 160d verbunden sind, wie dies durch den Gesamtschaltungsaufbau erforderlich ist, wobei derartige Kontakte typischerweise außerhalb des aktiven Gebiets 102a vorgesehen werden, um damit Gate-Kontaktausfälle, d. h. Kurzschlüsse zu den Drain- und Sourcegebieten auf Grund eines gewissen Grades an Fehljustierung, zu vermeiden. In anderen Strategien werden Kontaktelemente 125 in einem einzelnen Strukturierungsprozess hergestellt, d. h. nach dem Vorsehen des Materials 123, wodurch ebenfalls sehr komplexe Lithographietechniken und Ätzrezepte anzuwenden sind, da in diesem Falle der Strukturierungsprozess für Kontaktöffnungen mit sehr unterschiedlicher Tiefe auszuführen ist, d. h. für Kontaktöffnungen, die eine Verbindung zu den Gateelektrodenstrukturen herstellen, und für Kontaktöffnungen, die eine Verbindung zu den Drain- und Sourcegebieten 151 herstellen.
  • In dem zuerst genannten Verfahren zur Herstellung der Kontaktelemente 125 werden vor dem eigentlichen Abscheiden des Kontaktmaterials 124a, beispielsweise in Form von Wolfram, typischerweise die Metallsilizidgebiete 152 auf Grundlage gut etablierter Silizidierungsverfahren hergestellt, um damit den gesamten Kontaktwiderstand weiter zu verringern, wobei die resultierenden Transistoreigenschaften somit wesentlich von der Justiergenauigkeit abhängen, wie dies auch zuvor beschrieben ist. Um eine bessere Gleichmäßigkeit der resultierenden Transistoreigenschaften und geringere Ausbeuteverluste, die durch Kurzschlüsse zwischen den Kontaktelementen und den Gateelektrodenstrukturen hervorgerufen werden, zu erreichen, wäre ein „selbstjustierendes” Strukturierungsschema wünschenswert, in welchem die Kontaktöffnungen und somit de Kontaktelemente zumindest innerhalb des dielektrischen Materials 122 vorgesehen werden können, beispielsweise auf der Grundlage von Ätzstrategie, die äußerst selektiv im Hinblick auf die Gateelektrodenstrukturen sind. In diesem Falle müssen die Gateelektrodenstrukturen jedoch zuverlässig durch ein geeignetes Ätzstoppmaterial eingeschlossen werden, wobei dies jedoch nicht mit komplexen Austauschgateverfahren kompatibel ist, wie sie zuvor beschrieben sind, da hier die obere Fläche des Elektrodenmaterials freigelegt wird und ein spezielles Ätzstoppmaterial notwendig wäre, das wiederum auf der Grundlage extrem komplexer Lithographie- und Strukturierungsprozesse vorgesehen werden müsste, woran sich noch stärkere Prozessungleichmäßigkeiten ergeben.
  • Im Hinblick auf die zuvor beschriebene Situation betrifft die vorliegende Erfindung Prozesstechniken und Halbleiterbauelemente, in denen komplexe Metallgateelektrodenstrukturen mit großem ε auf Grundlage eines Austauschgateverfahrens bereitgestellt werden, wie dies für leistungsstarke Transistoren erforderlich ist, während andererseits Kontaktelemente vorgesehen werden, wobei eines oder mehrere der oben erkannten Probleme vermieden oder zumindest in der Auswirkung reduziert werden.
  • Überblick über die Erfindung
  • Generell stellt die vorliegende Erfindung Halbleiterbauelemente und Fertigungstechniken bereit, in denen Austauschgateverfahren so angewendet werden, dass Metallgateelektrodenstrukturen mit großem ε erzeugt werden, wie dies beispielsweise für komplexe Transistorelemente in Logikschaltungsbereichen und dergleichen erforderlich ist, während gleichzeitig Kontaktelemente, die eine Verbindung zu dem aktiven Gebiet der Transistoren herstellen, in einer selbstjustierenden Weise vorgesehen werden. D. h., die Kontaktelemente werden so bereitgestellt, dass diese selbstjustiert in Bezug auf die Gateelektrodenstrukturen in einer Längsrichtung sind, wodurch äußerst kritische Lithographieprozesse vermieden werden, die in konventionellen Strategien erforderlich sind, um die Kontaktelemente in der Längsrichtung der Transistoren geeignet zu positionieren. Um eine hohe Kompatibilität mit den Austauschgateverfahren zu erreichen, werden die Kontaktelemente vor dem Ersetzen des Platzhaltermaterials der Gateelektrodenstrukturen hergestellt, so dass die Gateelektrodenstrukturen weiterhin geeignet eingekapselt sind, wenn die Kontaktelemente hergestellt werden. Zu diesem Zweck wird in einigen anschaulichen Ausführungsformen, wie sie hierin offenbart sind, ein weniger kritischer Lithographieprozess in Anwesenheit eines Teils des dielektrischen Zwischenschichtmaterials angewendet, wobei eine geeignete Ätzmaske verwendet wird, die im Wesentlichen die Größe und die Form der resultierenden Kontaktelemente in der Transistorbreitenrichtung festlegt, wobei in einigen anschaulichen Ausführungsformen die Größe der entsprechenden Maskenöffnung vergleichbar ist mit der Größe des aktiven Gebiets, wobei dennoch eine ausreichende Prozesstoleranz im Hinblick auf die Endbereiche der Gateelektrodenstrukturen sichergestellt ist, um damit Kurzschlüsse zwischen dem Draingebiet und dem Sourcegebiet zu vermeiden. Durch geeignetes Festlegen von „Ausschlusszonen” innerhalb des aktiven Gebiets, d. h. von Zonen, in denen die selbstjustierten Kontaktelemente in Verbindung zu dem aktiven Gebiet vorgesehen sind, kann selbst eine Kontaktierung von Gateelektrodenstrukturen teilweise oder vollständig innerhalb der lateralen Abmessung des aktiven Gebiets realisiert werden, wodurch die erforderliche laterale Fläche für eine gegebene Schaltungskonfiguration deutlich verringert wird. D. h., zuminderst einige der Gate-zu-Gate-Verbindungen können teilweise über dem aktiven Gebiet geführt werden, im Gegensatz zu konventionellen Gestaltungsstrategien, in denen generell die Gateelektrodenstrukturen über Isolationsgebieten kontaktiert werden.
  • In anderen anschaulichen Ausführungsformen wird das Kontaktmaterial in einer frühen Fertigungsphase vorgesehen, d. h. vor dem eigentlichen Herstellen des dielektrischen Zwischenschichtmaterials, wodurch ebenfalls das Kontaktmaterial in einer „selbstjustierenden” Weise bereitgestellt wird, wobei dieses nachfolgend strukturiert wird und dies auf der Grundlage einer im Wesentlichen ebenen Oberflächentopographie erreicht werden kann, wobei weniger kritische Lithographiebedingungen auftreten, da die eigentliche Strukturierung lediglich entlang der Transistorbreitenrichtung erforderlich ist. Daher wird während dieses Strukturierungsprozesses die entsprechende „Öffnung”, die zur Trennung der Kontaktelemente in Bezug auf die Transistorbreitenrichtung hergestellt ist, durch einen zusätzlichen Abscheideprozess bei Bedarf gesteuert, wodurch das „Auflösungsvermögen” des resultierenden Strukturierungsprozesses noch weiter verbessert wird. Nachfolgend wird die Öffnung mit einem geeigneten dielektrischen Material gefüllt, wobei die Ausbildung von jeglichen Hohlräumen nicht kritisch ist, wodurch für eine bessere Flexibilität bei der Auswahl geeigneter dielektrischer Zwischenschichtmaterialien und Abscheidetechniken gesorgt wird.
  • Ein anschauliches hierin offenbartes Verfahren umfasst:
    Bilden eines Kontaktelements lateral benachbart zu einer Gateelektrodenstruktur derart, dass das Kontaktelement eine Verbindung zu einem Draingebiet oder einem Sourcegebiet herstellt, das in einem aktiven Gebiet eines Halbleiterbauelements ausgebildet ist, wobei die Gateelektrodenstruktur ein Platzhaltermaterial aufweist, das von einer dielektrischen Deckschicht bedeckt ist,
    wobei Bilden des Kontaktelements umfasst: Bilden eines dielektrischen Materials über den Drain- und Sourcegebieten und lateral benachbart zu der Gateelektrodenstruktur und Bilden einer Kontaktöffnung darin, so dass die dielektrische Deckschicht und zumindest ein Teil des Draingebiets oder Sourcegebiets freigelegt wird; und
    Ersetzen des Platzhaltermaterials zumindest durch ein metallenthaltendes Elektrodenmaterial in Anwesenheit des Kontaktelements.
  • Ein weiteres anschauliches hierin offenbartes Verfahren umfasst:
    Bilden einer dielektrischen Schicht lateral benachbart zu mehreren Gateelektrodenstrukturen, die über einem aktiven Gebiet eines Halbleiterbauelements ausgebildet sind;
    Bilden einer Kontaktöffnung in dem dielektrischen Material derart, dass eine Verbindung zu dem aktiven Gebiet entsteht und zwei benachbarte der mehreren Gateelektrodenstrukturen teilweise freigelegt werden;
    Bilden eines Kontaktelements in der Kontaktöffnung; und
    Ersetzen eines Platzhaltermaterials der mehreren Gateelektrodenstrukturen zumindest durch ein metallenthaltendes Elektrodenmaterial nach dem Bilden des Kontaktelements.
  • Ein anschauliches hierin offenbartes Halbleiterbauelement umfasst:
    mehrere Gateelektrodenstrukturen, die auf einem aktiven Gebiet ausgebildet sind, wovon jede ein dielektrisches Material mit großem ε, ein Elektrodenmetall und eine dielektrische Seitenwandabstandshalterstruktur aufweist;
    ein Kontaktelement, das lateral zwischen zwei benachbarten Gateelektrodenstrukturen der mehreren Gateelektrodenstrukturen in einem ersten dielektrischen Material ausgebildet ist und mit dem aktiven Gebiet in Verbindung steht, wobei das Kontaktelement in einer Längsrichtung durch die dielektrischen Abstandshalterstrukturen der zwei benachbarten Gateelektrodenstrukturen begrenzt ist; und
    eine Verbindungsstruktur, die in einem zweiten dielektrischen Material über dem ersten dielektrischen Material ausgebildet ist und einen ersten Verbindungsbereich, der mit den Kontaktelementen in Verbindung steht, und einen zweiten Verbindungsbereich, der zumindest mit einer der mehreren Gateelektrodenstrukturen in Verbindung steht, aufweist.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1 schematisch eine Querschnittsansicht eines komplexen Halbleiterbauelements mit dicht gepackten Transistoren zeigt, die Metallgateelektrodenstrukturen mit großem ε aufweisen, die auf der Grundlage eines Austauschgateverfahrens in Verbindung mit Kontaktelementen hergestellt sind, die auf Grund konventioneller Prozessstrategien eine gewisse Fehljustierung besitzen;
  • 2a bis 2f schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen zeigen, in denen Kontaktöffnungen in einer selbstjustierenden Weise gemäß anschaulicher Ausführungsformen vor dem Ausführen eines Austauschgateverfahrens hergestellt werden;
  • 2g schematisch eine Draufsicht des Halbleiterbauelements mit einer Maskenöffnung zeigt, die die Position, die Form und die Größe der Kontaktöffnungen innerhalb eines aktiven Gebiets gemäß anschaulicher Ausführungsformen festlegt;
  • 2h bis 2l schematisch Querschnittsansichten eines Halbleiterbauelements in weiter fortgeschrittenen Fertigungsphasen zeigen, in denen das Platzhaltermaterial der Gateelektrodenstrukturen in Anwesenheit der Kontaktelemente gemäß anschaulicher Ausführungsformen freigelegt wird;
  • 2m und 2n schematisch Querschnittsansichten des Bauelements zeigen, in welchem ein Opferfüllmaterial für die Kontaktelemente verwendet wird, um in effizienter Weise das Platzhaltermaterial der Gateelektrodenstrukturen gemäß weiterer anschaulicher Ausführungsformen freizulegen;
  • 2o bis 2t schematisch Querschnittsansichten des Halbleiterbauelements in weiter fortgeschrittenen Fertigungsphasen zeigen, in denen Kontaktelemente, d. h. Metall-Null-Verbindungsstrukturen, so vorgesehen werden, dass diese eine Verbindung zu den Gateelektrodenstrukturen und zu zuvor hergestellten selbstjustierten Kontaktelementen gemäß anschaulicher Ausführungsformen herstellen;
  • 2u und 2v eine Draufsicht eines typischen Schaltungsaufbaus zeigen, der auf der Grundlage des selbstjustierten Kontaktelemente gemäß anschaulicher Ausführungsformen erreicht wird;
  • 2w schematisch eine Draufsicht des Halbleiterbauelements zeigt, in welchem ein kompakterer geometrischer Aufbau erreicht wird, indem geeignete „Ausschlusszonen” für die selbstjustierten Kontaktelemente festgelegt werden, um damit zumindest einen Teil der Routenführung von Gate-zu-Gateverbindungsstrukturen über dem aktiven Gebiet gemäß anschaulicher Ausführungsformen zu ermöglichen;
  • 2x und 2y schematisch Querschnittsansichten des Halbleiterbauelements mit selbstjustierten Kontaktelementen und entsprechenden Ausschlusszonen und der resultierenden Verbindungsstruktur zeigen, um die Gateelektrodenstrukturen und die selbstjustierten Kontaktelemente gemäß anschaulicher Ausführungsformen zu verbinden;
  • 2z schematisch eine Draufsicht eines kompakten Schaltungsaufbaus zeigt, in welchem Verbindungsbereiche, die mit Gateelektrodenstrukturen verbunden sind, über dem aktiven Gebiet geführt werden, wodurch der gesamte Flächenbedarf der geometrischen Schaltungsgestaltung gemäß anschaulicher Ausführungsformen reduziert wird; und
  • 3a bis 3u schematisch Draufsichten und zugehörige Querschnittschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen zeigen, in denen selbstjustierte Kontaktelemente vorgesehen werden, indem das Kontaktmaterial vor dem Abscheiden des dielektrischen Zwischenschichtmaterials gemäß weiterer anschaulicher Ausführungsformen hergestellt wird.
  • Detaillierte Beschreibung
  • Die vorliegende Erfindung stellt allgemein Fertigungstechniken und Halbleiterbauelemente bereit, in denen selbstjustierte Kontaktelemente oder zumindest das leitende Kontaktmaterial in der Fertigungsphase vorgesehen wird, in der die Gatelektrodenstrukturen noch das Platzhaltermaterial aufweisen. In diesem Falle wird das Kontaktmaterial oder in anderen Ausführungsformen die Kontaktelemente in geeigneter Weise strukturiert, wobei die Gateelektrodenstrukturen weiterhin eingeschlossen sind, so dass ein hoher Ätzwiderstand des Platzhaltermaterials das Bearbeiten des Kontaktmaterials ermöglicht, ohne dass die Gateelektrodenstrukturen in unerwünschter Weise beeinflusst werden. Folglich kann das Platzhaltermaterial während oder nach dem Bearbeiten der Kontaktelemente freigelegt werden, und das eigentliche Austauschen des Platzhaltermaterials durch zumindest ein metallenthaltendes Elektrodenmaterial kann typischerweise auch durch ein dielektrisches Material mit großem ε kann in Anwesenheit der Kontaktelemente bewerkstelligt werden, ohne dass im Wesentlichen die Prozesssequenz zum Einbau des komplexen Materials oder Materialsystems die Gateelektrodenstruktur beeinflusst wird.
  • In einigen anschaulichen hierin offenbarten Ausführungsformen werden die Größe, Form und Position der selbstjustierten Kontaktelemente durch eine geeignet ausgewählte Ätzmaske festgelegt, die Abmessungen aufweisen kann, die vergleichbar sind mit den lateralen Abmessungen der betrachteten aktiven Gebiete, wodurch die Anforderungen für die entsprechenden Lithographieprozesse deutlich reduziert werden. D. h., die Kontaktelemente werden in der Transistorbreitenrichtung beschränkt, indem in geeigneter Weise die Abmessungen der entsprechenden Ätzmaske ausgewählt werden, die jedoch deutlich größere Abmessungen besitzt im Vergleich zu konventionellen äußerst komplexen Strukturierungsschemata zur Herstellung von beispielsweise quadratischen oder rundlichen Kontaktöffnungen. In ähnlicher Weise können die Abmessungen der Maskenöffnung der Ätzmaske in der Längsrichtung vergleichbar sein zu den Abmessungen des aktiven Gebiets, wobei in dieser Richtung die selbstjustierende Natur durch die Ätzselektivität der Gateelektrodenstruktur erreicht wird, die noch zuverlässig eingeschlossen sind oder die einen ausreichend hohen Ätzwiderstand im Hinblick auf das dielektrische Zwischenschichtmaterial besitzen. Andererseits können durch geeignetes Auswählen der Größe und Form der Öffnung auch spezielle „Ausschlusszonen” über dem aktiven Gebiet vorgesehen werden, d. h. in diesen Ausschlusszonen wird das dielektrische Material zwischen den Gateelektrodenstrukturen lokal beibehalten, so dass Gateelektrodenstrukturen zuverlässig innerhalb dieser Auschlusszonen kontaktiert werden können, ohne dass die Wahrscheinlichkeit erhöht wird, dass Kurzschlüsse zwischen den Gatekontakten und den Drain- und Sourcegebieten hervorgerufen werden. D. h., durch Festlegen geeigneter Ausschlusszonen für die selbstjustierten Kontaktelemente können geeignete „Feldbereiche” innerhalb des aktiven Gebiets vorgesehen werden, wobei dennoch außerhalb der Ausschlusszone ein ausreichender Kontaktbereich für den selbstjustierten Drain- und Sourcekontakt vorgesehen ist, so dass das gesamte Transistorverhalten nicht erwünscht beeinflusst wird, beispielsweise im Hinblick auf den Reihenwiderstand und dergleichen. Auf Grund der Möglichkeit des Kontaktierens des Gates zumindest teilweise über dem aktiven Gebiet kann eine deutlich kompaktere geometrische Gestaltung erreicht werden, wodurch insgesamt eine Größenverringerung komplexer Halbleiterbauelemente für gegebene kritische Abmessungen der grundlegenden Transistorelemente erreicht werden kann.
  • In anderen anschaulichen Ausführungsformen wird das Kontaktmaterial in einer „selbstjustierenden” Weise bereitgestellt, indem eine ganzflächige Abscheidung vor der Herstellung eines dielektrischen Zwischenschichtmaterials zwischen den Gateelektrodenstrukturen ausgeführt wird, und das entsprechende Kontaktmetall wird so strukturiert, dass es jeweilige „Isolationsöffnungen” aufweist, die nachfolgend mit dem dielektrischen Material gefüllt werden, wobei die Ausbildung jeglicher Hohlräume, die beispielsweise durch die Abscheidung hervorgerufener Unregelmäßigkeiten bewirkt werden, nicht kritisch sind, da derartige Hohlräume isolierte Hohlräume werden, die nicht mit einem leitenden Material in der weiteren Bearbeitung des Halbleiterbauelements ausgefüllt werden. In diesem Falle ist auch der Lithographieprozess zum geeigneten Festlegen der Isolationsöffnungen weniger kritisch im Vergleich zu konventionellen Strategien, da beispielsweise eine Trennung der diversen Kontaktmaterialinseln lediglich mit Abmessungen notwendig ist, die den lateralen Abmessungen aktiver Gebiete entsprechen, wobei eine weitere Reduzierung der Größe derartiger Isolationsgebiete durch Abscheideprozesse bewerkstelligt werden kann, wodurch die Anforderungen für den jeweiligen Lithographieprozess noch weiter verringert werden. Nach dem kritischen Füllen der resultierenden Isolationsöffnungen kann somit die weitere Bearbeitung fortgesetzt werden auf der Grundlage geeigneter Austauschgateverfahren, ohne dass diese durch das Ausbilden von Kontaktelementen beeinflusst werden.
  • Mit Bezug zu den 2a bis 2z und den 3a bis 3u werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben, wobei auch bei Bedarf auf 1 verwiesen wird.
  • Zu beachten ist, dass jegliche Komponenten und Bauelemente, sowie auch Prozesstechniken, die zur Herstellung von Halbleiterbauelementen angewendet werden, durch Bezugszeichen bezeichnet werden, in denen die erste Ziffer die Nummer der Figur angibt, während die verbleibenden Ziffern und Buchstaben eine spezielle Komponente oder einen Prozess beschreiben. Gleiche oder ähnliche Komponenten oder Prozesse mit der gleichen Bezugszahl mit Ausnahme der führenden Ziffer, die die Nummer der zugehörigen Figur angibt, werden nicht detailliert in jeder Ausführungsform beschrieben, und derartige Komponenten werden als austauschbar in den diversen Beschreibungen mit Bezug zu 2 und 3 betrachtet. Das gleiche gilt für jegliche Komponenten, die mit Bezug zu 1 beschrieben sind, solange derartige Komponenten oder Prozesse mit den Ausführungsformen der vorliegenden Erfindung kompatibel sind.
  • 2a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 200 mit einem Substrat 201 und einer Halbleiterschicht 202. Wie mit Bezug zu dem Bauelement 100 erläutert ist, können das Substrat 201 und die Halbleiterschicht 202 eine Vollsubstratkonfiguration oder eine SOI-Konfiguration abhängig von den gesamten Bauteilerfordernissen bilden. Ferner ist ein aktives Gebiet 202a in der Halbleiterschicht 202 vorgesehen und ist lateral durch ein Isolationsgebiet 202c begrenzt, das in Form einer flachen Grabenisolation und dergleichen bereitgestellt wird. Ferner sind mehrere Transistoren 250 in und über dem aktiven Gebiet 202a ausgebildet. In der gezeigten Fertigungsphase ist die grundlegende Struktur der Transistoren 250 in Bezug auf Drain- und Sourcegebieten 251 hergestellt, die ein beliebiges geeignetes laterales und vertikales Dotierstoffprofil besitzen, wie dies für die Transistoren 250 erforderlich ist. Ferner umfassen die Transistoren 250 entsprechende Gateelektrodenstrukturen 260, die jedoch in noch hochleistungsfähigen Gateelektrodenstrukturen „umgewandelt” werden müssen, indem zumindest ein Platzhaltermaterial 262, etwa ein Polysiliziummaterial oder dergleichen, durch zumindest ein metallenthaltendes Elektrodenmaterial möglicherweise in Verbindung mit einem dielektrischen Material mit großem ε und einer geeigneten Austrittsarbeitsmetallsorte, wie dies zuvor erläutert ist, ersetzt wird. In der in 2a gezeigten Fertigungsphase umfassen die Gateelektrodenstrukturen ferner ein Ätzstoppmaterial oder ein dielektrisches Material 261, etwa ein Siliziumdioxidmaterial und dergleichen, und weisen eine dielektrische Deckschicht oder Deckschichtsystem 263 in Verbindung mit einer Abstandshalterstruktur 264 auf. Wie zuvor erläutert ist, beträgt in komplexen Anwendungen eine Gatelänge, die als 260l angegeben ist und die die Erstreckung des Platzhaltermaterials 262 darstellt, ungefähr 30 nm oder weniger, während die Abstandshalterstruktur 264 eine Breite von ungefähr 5 bis 15 nm aufweist. Ferner ist ein Abstand 260p, d. h. die Breite der zwischen zwei benachbarten Gateelektrodenstrukturen 260 gebildeten Öffnungen, in der Größenordnung von 20 bis 30 nm. Zu beachten ist, dass der zweite Abstand ähnlich zwischen den Gates ohne Abstandshalter definiert ist. Somit bezeichnet 260p den Abstand nach der Abstandshalterherstellung. Somit liegt der tatsächliche Abstand in üblichen Sinne, d. h. abhängig von der Breite der Gates, von etwa dem linken Rand eines Gates zu einem linken Rand eines nächsten Gates, im Bereich von 80 bis 90 nm oder darunter für eine 20 nm-Technologie oder darunter. Es sollte jedoch beachtet werden, dass diese Abmessungen im speziellen Bereich innerhalb des Bauelements 200 variieren können und generell auch entsprechend den gesamten Entwurfsregeln unterschiedlich sein können. Ferner ist in dieser Fertigungsphase ein Bereich einer Kontaktebene 220 in Form einer ersten dielektrischen Schicht 221, etwa als ein Siliziumätzstoppmaterial mit einer Dicke von ungefähr 10 nm oder weniger vorgesehen in Verbindung mit einem weiteren dielektrischen Material 222, das etwa in Form eines siliziumdioxidbasierten Materials und dergleichen bereitgestellt wird. Wie gezeigt wird das dielektrische Material 222 so bereitgestellt, dass diese sich über die Gateelektrodenstrukturen 260a, ..., 260f mit einer Höhe von ungefähr 50 bis 200 nm erstreckt.
  • Grundsätzlich kann das in 2a gezeigte Halbleiterbauelement 200 auf der Grundlage ähnlicher Prozesstechniken hergestellt werden, wie sie zuvor mit Bezug zu dem Bauelement 100 erläutert sind. D. h., nach der Herstellung der Isolationsstrukturen 202c zur lateralen Begrenzung des aktiven Gebiets 202a, werden die Gateelektrodenstrukturen 260 durch Strukturierungsstrategien hergestellt, wie es zuvor erläutert ist. Ferner werden die Drain- und Sourcegebiete 251 auf der Grundlage selektiver epitaktischer Aufwachstechniken, Implantationsprozessen und dergleichen hergestellt, wobei auch verformungsinduzierende Materialien eingebaut werden können, wie dies nachfolgend beschrieben wird. Nach jeglichen Hochtemperaturprozessen, wenn diese erforderlich sind, werden die Materialien 221, 222 beispielsweise durch plasmaunterstützte Abscheidetechniken, durch thermisch aktivierte Abescheidetechniken für das Ätzstoppmaterial 221, hergestellt, während das Material 222 durch Aufschleudertechniken, CVD und dergleichen hergestellt wird. Bei Bedarf wird ein zusätzlicher Einebnungsschritt ausgeführt, um eine bessere Oberflächentopographie zu schaffen.
  • 2b zeigt schematisch eine Querschnittsansicht eines Bereichs des Bauelements 200, wobei zwei Gateelektrodenstrukturen 260g, 260h dargestellt sind, die eine der Gateelektrodenstrukturen 260 in 2a sein können, oder die Gateelektrodenstrukturen darstellen, die in unterschiedlichen Bereichen des Bauelements 200 vorgesehen sind. Wie gezeigt, ist eine Halbleiterlegierung 251a als ein Teil der Drain- und Sourcegebiete vorgesehen oder stellt tatsächlich das Drain- und Sourcegebiet dar, wobei die Legierung 251a für eine gewünschte Verformung sorgt, so dass die Ladungsträgerbeweglichkeit in einem Kanalgebiet erhöht wird, wie dies auch zuvor erläutert ist. Ferner ist eine geeignete Dotierstoffkonzentration mittels des Materials 251a bereitgestellt. Dazu können gut etablierte Prozessstrategien angewendet werden, um eine Aussparung in dem aktiven Gebiet zu erzeugen und diese aufzufüllen oder möglicherweise zu überfüllen, wie dies in 2b gezeigt ist, so dass in den resultierenden Aussparungen die Halbleiterlegierung 251a auf der Grundlage selektiver epitaktischer Aufwachstechniken erzeugt. Ferner wird in ein einigen anschaulichen Ausführungsformen, wie dies in 2b gezeigt ist, die Schicht 222, die über den Gateelektrodenstrukturen 260g, 260h hergestellt ist, während der weiteren Bearbeitung beibehalten, während in anderen Fällen überschüssiges Material entfernt wird.
  • 2c zeigt schematisch das Bauelement 200 gemäß anschaulicher Ausführungsformen, in denen das Material 222 von den Gateelektrodenstrukturen 260 entfernt wird. Dazu können gut etablierte CMP-(chemisch-mechanische Polier-)Rezepte angewendet werden, um beispielsweise Siliziumdioxidmaterial abzutragen, wobei dielektrische Deckschichten 263, die beispielsweise aus Siliziumnitrid aufgebaut sind, als effiziente Stoppmaterialien verwendbar sind.
  • 2d zeigt schematisch das Bauelement 200 in einer weiter fortgeschrittenen Fertigungsphase, in der eine Ätzmaske 205, etwa eine Hartmaske, so hergestellt ist, dass ein gewünschter Bereich des Bauelements 200 freiliegt, in welchem selbstjustierte Kontaktelemente zwischen den entsprechenden Gateelektrodenstrukturen 260 herzustellen sind. Dazu umfasst die Maske 205 eine geeignete Maskenöffnung 202D, die lateral den Bereich begrenzt, in welchem ein leitendes Kontaktmaterial in den Zwischenraum oder Kontaktöffnung 202o zwischen den Gateelektrodenstrukturen 260 einzufüllen ist. Die Ätzmaske 205 kann auf der Grundlage geeigneter Lithographietechniken hergestellt werden, wobei die Größe der Öffnung 202D für bessere Prozessbedingungen sorgt, da generell die Öffnung 205 in einigen anschaulichen Ausführungsformen mit einer Größe gewählt wird, die vergleichbar ist mit den lateralen Abmessungen des aktiven Gebiets 202a und somit deutlich größere Abmessungen im Vergleich zu konventionellen Kontaktelementen besitzt, die auf der Grundlage quadratischer oder runder Querschnittsformen hergestellt werden. Die Ätzmaske 205 umfasst ein geeignetes Material, etwa Lackmaterial, Hartmaskenmaterialien in Form von amorphem Kohlenstoff, Siliziumnitrid und dergleichen. Auf der Grundlage der Ätzmaske 205 wird der freiliegende Bereich des dielektrischen Materials 222 auf Basis einer geeigneten anisotropen Ätzrezeptur entfernt, wobei die Schicht 221 als ein Ätzstoppmaterial in Verbindung mit der Deckschicht 263 verwendet werden kann, die in den Gateelektrodenstrukturen 260 ausgebildet ist. Daraufhin wird ein Ätzschritt angewendet, so dass die Oberfläche 251s des aktiven Gebiets 202a zwischen den Gateelektrodenstrukturen 260 freigelegt wird, wobei ein Teil der Deckschichten 263 weiterhin beibehalten wird.
  • 2e zeigt schematisch eine Querschnittsansicht des Bauelements 200, in welchem das Material 222 weiterhin über den Gateelektrodenstrukturen 260g, 260h beibehalten wird, wie dies auch in Bezug zu 2b erläutert ist, oder wobei das Material 222 nach dem Polieren bis hinab zum Gate erneut abgeschieden wird. In diesem Falle kann eine Maske 205 über dem Material 232 hergestellt werden und geeignete Schichten aufweisen, etwa eine ARC-(antireflektierende Beschichtungs-)Materialschicht mit Silizium 205a in Verbindung mit einem geeigneten Hartmaskenmaterial, etwa amorphen Kohlenstoff, und dergleichen. Der entsprechende Strukturierungsprozess kann somit durch die Schicht 222 ätzen und kann auch das Material zwischen den Gateelektrodenstrukturen 260g, 260h entfernen. Daraufhin wird das Siliziumnitridmaterial entfernt, wodurch schließlich die Oberfläche 251s freigelegt wird.
  • 2f zeigt schematisch eine Draufsicht eines Teils des Bauelements 100, wodurch diverse Optionen für die Ätzmaske 205 angegeben sind, um geeignete dimensionierte und positionierte Maskenöffnungen und somit die resultierenden freiliegenden Oberflächenbereiche 251s festzulegen.
  • 2g zeigt schematisch eine Draufsicht des Bauelements 200 gemäß Ausführungsformen, in denen die Maskenöffnung 202D im Wesentlichen der lateralen Größe des aktiven Gebiets 202a entspricht, wie dies beispielsweise in Bezug zu 2d beschrieben ist. Ferner erstrecken sich, wie dies gezeigt ist, die Gateelektrodenstrukturen 260 über das aktive Gebiet 202a in einer Breitenrichtung, die als W angegeben ist, hinaus, wobei jegliche Gateelektrodenstrukturen, die kontaktiert werden müssen, einem entsprechenden größeren Endbereich aufweisen können, um damit ausreichend Prozesstoleranzen im Hinblick auf die Justiergenauigkeiten zu schaffen. Beispielsweise kann die Gateelektrodenstruktur 260c kontaktiert werden und besitzt eine größere „Breite” im Vergleich zu den verbleibenden Gateelektrodenstrukturen 260, die keine Kontaktierung innerhalb der Kontaktebene benötigen, die noch herzustellen ist. Wie gezeigt, wird in einigen anschaulichen Ausführungsformen die laterale Abmessung der Maskenöffnung 202D in der Breitenrichtung so festgelegt, dass ein ausreichender Abstand 205w in Bezug auf die Endbereiche der Gateelektrodenstrukturen 260 sichergestellt ist, um damit ein „Verschmelzen” von Kontaktmaterial zu vermeiden, das zwischen den Zwischenräumen der Kontaktelektrodenstrukturen 260 bei der weiteren Bearbeitung herzustellen ist. Somit definiert die Öffnung 202D im Wesentlichen den Bereich, in welchem ein leitendes Material in die Zwischenräume zwischen den Gateelektrodenstrukturen einzufüllen ist, und ist in der gezeigten Ausführungsform in der Transistorbreitenrichtung W so beschränkt, dass sie „innerhalb” des aktiven Gebiets 202a liegt. Es sollte beachtet werden, dass der Begriff „innerhalb” als eine Projektion der lateralen Abmessung der Öffnung 202D auf das aktive Gebiet 202a zu verstehen ist, da die Maske 205 tatsächlich über dem aktiven Gebiet 202a vorgesehen ist und resultierende Kontaktelemente so hergestellt werden, dass diese eine Verbindung zu dem aktiven Gebiet 202a herstellen, ohne dass diese tatsächlich in das aktive Gebiet „eindringen”.
  • 2h zeigt schematisch das Bauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist ein Kontaktmaterial 224a, möglicherweise in Verbindung mit einem oder mehreren Barrierenmaterialien 224b, zwischen und über den Gateelektrodenstrukturen 260 ausgebildet. Bei Bedarf werden Kontaktgebiete 252 beispielsweise in Form von Metallsilizid in den Drain- und Sourcegebieten 251 vorgesehen, wodurch ein Kontaktwiderstand zwischen den Drain- und Sourcegebieten 251 und Kontaktelementen verringert wird, die aus den Materialien 224a, 224b, wenn diese vorgesehen sind, hergestellt werden.
  • Das in 2h gezeigte Bauelement 200 kann auf der Grundlage der folgenden Prozesse hergestellt werden. Nach dem Entfernen der Ätzmaske 205 (siehe 2e bis 2g) in Verbindung mit jeglichen Reinigungsprozessen werden die Metallsilizidgebiete 252 hergestellt, beispielsweise durch Abscheiden eines geeigneten hochschmelzenden Metalls und durch in Gang setzen eines Silizidierungsprozesses in anderen anschaulichen Ausführungsformen wird die Ausbildung des Metallsilizids 252 mit dem Abscheiden des Materials 224a kombiniert, was das Anwenden einer Wärmebehandlung mit einschließen kann, beispielsweise ein Wolframmaterial auf der Grundlage von CVD-Techniken hergestellt wird. In diesem Falle kann das zuvor aufgebrachte hochschmelzende Metall als effizientes Barrierenmaterial verwendet werden und unterliegt ferner einem Silizidierungsprozess, um die Gebiete 252 zu erzeugen.
  • In anderen anschaulichen Ausführungsformen werden die Materialien 224a möglicherweise in Verbindung mit einem Barrierenmaterial auf der Grundlage anderer Abscheidetechniken hergestellt, etwa durch elektrochemische Abscheidung, und dergleichen.
  • Während des Silizidierungsprozesses sorgt die verbleibende dielektrische Ätzstoppschicht für einen größeren lateralen Abstand der Gebiete 252, wodurch somit die Wahrscheinlichkeit verringert wird, dass die Drain- und Sourcegebiete 251 kurzgeschlossen werden, wenn hochschmelzende Metallmaterialien verwendet werden, die dazu tendieren, Metallsilizidausläufer beim Ausführen des Silizidierungsprozesses zu bilden. Beispielsweise ist Nickelsilizid dafür bekannt, dass es in einer ungleichmäßigen Weise erzeugt wird, so dass der zusätzliche Abstand, der durch die Schicht 221 geschaffen wird, dennoch zu vorhersagbaren Kontakteigenschaften führt. In anderen Fällen werden die Metallsilizidgebiete 252 in einer früheren Fertigungsphase hergestellt, d. h. vor dem Abscheiden der Schicht 221, wenn dies als geeignet erachtet wird.
  • 2i zeigt schematisch das Bauelement 200 in anschaulichen Ausführungsformen, in denen ein Bereich des überschüssigen Metalls durch einen Polierprozess 206 entfernt wird, wobei ein gewisser Grad an Nachpolierung zu einer Absenkung bzw. einer Vertiefung 224r des Materials 224a führt.
  • 2j zeigt schematisch das Bauelement 200 in einer weiter fortgeschrittenen Fertigungsphase gemäß anschaulicher Ausführungsformen, in denen ein weiterer Abtragungsprozess 207 in Form eines Polierprozesses angewendet wird, der im Wesentlichen ein nicht-selektives Abtragungsverhalten im Hinblick auf die dielektrischen Materialien und das Kontaktmaterial 224a besitzt. Beispielsweise können Wolfram, Oxid und Nitrid während des Prozesses 207 abgetragen werden, wodurch eine im Wesentlichen ebene Oberflächentopographie geschaffen wird, wodurch auch Kontaktelemente 225 erzeugt werden, die elektrisch voneinander isoliert sind und die in der Längsrichtung durch zwei benachbarte Gateelektrodenstrukturen 260 begrenzt sind, d. h. durch die entsprechenden Seitenwandabstandshalterstrukturen 264, die in dieser Fertigungsphase Reste der Ätzstoppschicht 221 aufweisen. Andererseits sind in der Transistorbreitenrichtung, d. h. der Richtung senkrecht zur Zeichenebene der 2j, die Kontaktelemente 225 durch die Materialien 222 und 221 eingeschlossen, die gemäß der geeigneten Ätzmaske 205 (siehe 2d bis 2g) strukturiert wurden. In dieser Ausführungsform wird auch während des Abtragungsprozesses 207 die Oberfläche 262s des Platzhaltermaterials 262 freigelegt. In dieser Fertigungsphase sind somit die Gateelektrodenstrukturen 260 für das Ausführen einer Austauschgatesequenz in Anwesenheit der selbstjustierten Kontaktelemente 225 bereit.
  • 2k zeigt schematisch das Bauelement 200 gemäß einer alternativen Strategie, um das Platzhaltermaterial 262 freizulegen. Zu diesem Zweck wird ein überschüssiger Teil des Materials 224a auf der Grundlage eines geeigneten Ätzprozesses entfernt, etwa durch plasmaunterstützten Ätzprozess, wobei der Prozess solange fortgesetzt wird, bis schließlich das elektrisch isolierte Kontaktelement 225 mit einem gewissen Grad an Vertiefung 224t in Bezug auf die Gateelektrodenstrukturen 260 erhalten wird, die noch zumindest einen Teil der Deckschichten 263 aufweisen. Daraufhin wird ein weiteres dielektrisches Material 229 aufgebracht, beispielsweise auf der Grundlage von CVD-Techniken und dergleichen, wodurch die Vertiefungen 224t zuverlässig gefüllt werden. In diesem Falle wird ein hoher Grad an Kompatibilität zu konventionellen Austauschgatetechniken zum Freilegen des Platzhaltermaterials 262 erreicht.
  • 2l zeigt schematisch das Bauelement 200 während eines Abtragungsprozesses 208, in welchem Siliziumdioxid und Siliziumnitrid vorzugsweise gemäß einem standardmäßigen Austauschgateverfahren poliert werden, wobei in einer Schlussphase das Polysiliziummaterial 262 freigelegt wird, um die Oberflächenbereiche 262s zu bilden, wobei auch eine Oberfläche 224s der Kontaktelemente 224 bereitgestellt wird. Auch in diesem Falle ist somit das Bauelement 200 für das Ersetzen des Materials 262 durch geeignete Materialien in Anwesenheit der selbstjustierten Kontaktelemente 225 bereit.
  • Mit Bezug zu den 2m und 2n werden nunmehr weitere anschauliche Ausführungsformen beschrieben, in denen ein hoher Grad an Kompatibilität zu konventionellen Austauschgateabtragungsprozesse erreicht wird.
  • 2m zeigt schematisch das Bauelement 200 in einer Phase, in der das zuvor aufgebrachte Material 222 mit einer Zusatzhöhe bewahrt wird, wie dies auch zuvor erläutert ist. Ferner ist ein Opferfüllmaterial 211 zwischen den Gateelektrodenstrukturen 260g, 260h nach dem Bilden des Metallsilizids 252 in dem Material 251a bereitgestellt, das auf der Grundlage von Prozesstechniken erreicht wird, wie dies zuvor beschrieben ist. Das Opferfüllmaterial 211 kann in Form eines Materials bereitgestellt werden, das für ähnliche Abtragungsbedingungen im Vergleich zu Siliziumdioxid, Siliziumnitrid und dergleichen sorgt, wodurch das Anwenden gut etablierter Polierrezepte möglich ist. Ferner kann das Füllmaterial 211 auf der Grundlage einer geeigneten Abscheidetechnik mit Abscheidetemperaturen aufgebracht werden, die mit einer Bauteilkonfiguration verträglich sind, wie sie in 2m gezeigt ist. D. h., eine Abscheidetemperatur liegt unter ungefähr 450 bis 500 Grad C für NiSi, unter ungefähr 550 bis 600 Grad C für TiSi und liegt bis zu 750 Grad C für CoSi, wobei dies von der Materialzusammensetzung des Metallsilizids 252 abhängt. Beispielsweise kann ein Silizium/Germaniummaterial effizient durch CVD oder Niedertemperaturofenprozesse aufgebracht werden, wobei die oben genannten Temperaturbedingungen eingehalten werden. Daraufhin wird der Abtragungsprozess 208 so angewendet, dass zunehmend das Material 211 und die dielektrischen Materialien 222, 221 und schließlich die Deckschicht 263 entfernt wird.
  • 2n zeigt schematisch das Bauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, wurde das Platzhaltermaterial 262 während des vorhergehenden Abtragungsprozesses 208 (siehe 2m) freigelegt und daraufhin wird ein geeignetes Kontaktmaterial oder Materialien 264a aufgebracht, beispielsweise in Form von Wolfram, möglicherweise in Verbindung mit einem Barrierenmaterial oder Materialien (nicht gezeigt) auf der Grundlage gut etablierter Abscheidetechniken. In anderen Fällen wird ein anderer Abscheideprozess angewendet, wie dies auch zuvor erläutert ist. Bei Bedarf können die Metallsilizidgebiete 252 vor dem Abscheiden des Materials 224a hergestellt werden, wie dies auch zuvor erläutert ist, während in anderen Fällen das Material 252 nach jeglichen Hochtemperaturprozessen hergestellt wird, die zum Vervollständigen der grundlegenden Transistorstruktur erforderlich sind. Nach dem Abscheiden des Materials 224a wird ein geeigneter Abtragungsprozess, etwa ein Polierprozess, angewendet, um überschüssiges Material zu entfernen und um das Platzhaltermaterial 262 freizulegen, so dass elektrisch isolierte Kontaktelemente 225 geschaffen werden, während das Platzhaltermaterial 262 bereit ist, dass es durch ein oder mehrere komplexe Materialien ersetzt wird.
  • 2o zeigt schematisch das Bauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, umfassen die Gateelektrodenstrukturen 260 zumindest ein metallenthaltendes Gateelektrodenmaterial 267, beispielsweise in Form von Aluminium, Aluminiumlegierungen und dergleichen. Ferner ist typischerweise, wie zuvor erläutert ist, ein zusätzliches Arbeitsmetall 266 möglicherweise in Verbindung mit geeigneten leitenden Barrierenmaterialien vorgesehen. Ferner ist ein Gatedielektrikumsmaterial 265, das ein dielektrisches Material mit großem ε enthält, vorgesehen, möglicherweise in Verbindung mit einem dünnen siliziumdioxidbasierten Material (nicht gezeigt), das so gebildet ist, dass eine bessere Grenzfläche mit dem aktiven Gebiet erzeugt wird. Dazu können gut etablierte Austauschgateverfahren angewendet werden, die das Abscheiden der Materialien 265, 266 und der Strukturierung umfassen, so dass das Bereitstellen unterschiedlicher Austrittsarbeitsmetallsorten für unterschiedliche Transistorarten ermöglicht wird, und schließlich wird das Material 267 aufgebracht und überschüssiges Material davon wird mittels geeigneter Prozesse, etwa durch CMP und dergleichen entfernt. Es sollte beachtet werden, dass bei Bedarf Wärmebehandlungen ausgeführt werden können, die zum Einbau der Materialien 265, 266, 267 und dergleichen erforderlich sein können, wobei das thermische Budget und eine maximale Temperatur beispielsweise im Hinblick auf das Metallsilizid 252 eingehalten werden, um eine unerwünschte Veränderung empfindlicher Materialien, die darin gebildet sind, etwa Nickelplatinsilizid und dergleichen, zu vermeiden. Folglich können die Gateelektrodenstrukturen 260 in Anwesenheit der selbstjustierten Kontaktelemente 225 hergestellt werden, wobei dennoch ein hoher Grad an Kompatibilität zu Austauschgateverfahren beibehalten wird.
  • 2p zeigt schematisch das Bauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, umfasst die Kontaktebene 220 ein weiteres dielektrisches Material oder Materialsystem, das etwa in Form eines dielektrischen Materials 223, etwa als ein Siliziumdioxidmaterial und dergleichen, vorgesehen ist in Verbindung mit einem Ätzstoppmaterial 223b, etwa in Form eines Siliziumnitridmaterials. Beispielsweise wird die Schicht 223b mit einer Dicke von ungefähr 30 nm oder weniger bereitgestellt, während das zusätzliche dielektrische Zwischenschichtmaterial 223 eine Dicke von 50 bis 100 nm oder mehr aufweist, wobei dies von dem Metall abhängt, das zur Herstellung zusätzlicher Verbindungsstrukturen darin verwendet wird, um damit eine Verbindung zu den selbstjustierten Kontaktelementen 225 und zu den Gateelektrodenstrukturen 260 herzustellen. Ferner ist ein Hartmaskenmaterial 209 über dem Material 223 so ausgebildet, dass eine Strukturierung der Materialien 223, 223b gemäß dem gewünschten Schaltungsaufbau ermöglicht wird. Die Materialien 223b, 223 können auf der Grundlage gut etablierter Abscheidetechniken hergestellt werden und auch das Hartmaskenmaterial wird durch geeignete Abscheidetechniken aufgebracht, beispielsweise in Form eines Titannitridmaterials, das bekanntlich eine ausgeprägte Ätzselektivität in Bezug auf eine Vielzahl plasmaunterstützter Ätzrezepte besitzt, wie sie typischerweise zum Strukturieren von Siliziumdioxid, Siliziumnitrid und dergleichen angewendet werden.
  • 2q zeigt schematisch eine Draufsicht des Bauelements 200, in welchem die Position und die laterale Größe und Form entsprechender Maskenöffnungen und somit von Verbindungsstrukturelementen angegeben sind, die in dem Bauelement 200 vorzusehen sind, um somit eine Verbindung zu den selbstjustierten Kontaktelementen und/oder zu gewissen Gateelektrodenstrukturen herzustellen. Beispielsweise definieren Maskenöffnungen 200a die laterale Lage und Form und somit Größe von Verbindungsstrukturbereichen, die mit tiefer liegenden selbstjustierten Kontaktelementen in Verbindung stehen, während die Maskenöffnung 200 ein Beispiel darstellt, um eine „lokale Verbindung” bereitzustellen, in der eine Gateelektrodenstruktur direkt mit einem Drain- oder Sourcegebiet verbunden wird.
  • 2r zeigt schematisch eine Querschnittsansicht des Bauelements 200, wobei zusätzliche Kontaktelemente oder Verbindungsbereiche 226a auf der Grundlage der Maskenöffnungen 200a vorgesehen sind, wie sie in 2q gezeigt ist. Wie gezeigt, sind die Elemente 226a in den Materialien 223a, 223b ausgebildet und stellen eine Verbindung zu den selbstjustierten Kontaktelementen 225 her.
  • 2s zeigt schematisch einen weiteren Verbindungsbereich 226b, der gemäß der Maskenöffnung 209b erzeugt, die in 2q gezeigt ist. In diesem Falle wird eine lokale Verbindung geschaffen, da der Bereich 226b eine Verbindung zu der Gateelektrodenstruktur 260g und zu den benachbarten selbstjustierten Kontaktelementen herstellt.
  • Das in den 2r und 2s gezeigte Halbleiterbauelement kann auf der Grundlage gut etablierter Lithographie- und Strukturierungsstrategien hergestellt werden. Beispielsweise wird die Hartmaske 209 (siehe 2p, 2q) unter Anwendung komplexer Lithographietechniken strukturiert, wobei zu beachten ist, dass jegliche Justierungenauigkeiten deutlich weniger kritisch sind, da ein gewisser Grad an Fehljustierung nicht wesentlich die gesamten Transistoreigenschaften beeinflusst, weil eine Metallgrenzfläche niederohmig ist und nicht sehr empfindliche auf eine Fehljustierung reagiert, im Gegensatz zu konventionellen Strategien, wie sie beispielsweise mit Bezug zu den Kontaktelementen 125 (b) in 1 erläutert sind. Nach der Herstellung der entsprechenden Öffnungen wird ein gewünschtes Metall, etwa Wolfram, Kupfer, Kupferlegierungen und dergleichen, möglicherweise in Verbindung mit einem geeigneten Barrierenmaterial oder einem Barrierensystem, abgeschieden, woran sich ein Abtragungsprozess, etwa ein CMP-Prozess, anschließt, wodurch die Verbindungsbereiche 226a, 226b erzeugt werden, die auch als Metallstrukturelemente einer Metallebene „0” bezeichnet werden, die dann in geeigneter Weise mit einer ersten Metallisierungsschicht auf der Grundlage geeigneter vertikaler Kontaktstrukturen in Kontakt gebracht werden.
  • 2t zeigt schematisch das Halbleiterbauelement 200 gemäß anderer anschaulicher Ausführungsformen, in denen die Metallstrukturelemente der Ebene „0” auf der Grundlage von Lithographietechniken hergestellt werden, die eine Doppelbelichtungsstrukturierungsstrategie zum Strukturieren der Hartmaske 209 erfordern. Beispielsweise werden Lithographieprozesse angewendet, um Verbindungsbereiche zu definieren, die sich im Wesentlichen entlang der Transistorbreitenrichtung, d. h. parallel zu den Gateelektrodenstrukturen 260 erstrecken, so dass in einem nachfolgenden Strukturierungsprozess die Hartmaske 209 entsprechende Öffnungen für derartige Verbindungsbereiche erhält. Danach wird ein weiterer Lithographie- und Strukturierungsprozess angewendet, in welchem längliche Öffnungen in der Hartmaske 309 hergestellt werden, die sich im Wesentlichen senkrecht zu den Gateelektrodenstrukturen 260, d. h. entlang der Längsrichtung des Bauelements, erstrecken. Auf der Grundlage der sequenziell strukturierten Hartmaske 209 werden die entsprechenden Öffnungen in das Material 223 geätzt, woran sich ein weiterer Ätzschritt zum Öffnen der Ätzstoppschicht 223b anschließt, wodurch die entsprechenden Kontaktelementbereiche 225 und/oder die Bereiche der Gateelektrodenstrukturen 260 freigelegt werden, die zu Kontaktieren sind. Daraufhin wird ein geeignetes Metallmaterial 226 aufgebracht, wie dies zuvor erläutert ist, und ein überschüssiger Materialbereich davon wird in Verbindung mit der Hartmaske 209 durch CMP und dergleichen entfernt. In dem Querschnittsbereich der 2t sind die Bereiche 226a der Verbindungsstruktur so dargestellt, dass diese mit entsprechenden Kontaktelementen 225 in Verbindung stehen, während senkrechte Verbindungsbereiche, die mit einer oder mehreren Gateelektrodenstrukturen 260 in Verbindung stehen, in der Querschnittsansicht in 2t nicht gezeigt sind.
  • 2u zeigt schematisch eine Draufsicht des Bauelements 200, wobei generell die laterale Position der Maske 205 in Bezug auf die lateralen Abmessungen des aktiven Gebiets 202a und die Größe und die Position der Verbindungsstrukturelemente 226 und eines entsprechenden senkrechten Bereichs 226b dargestellt sind. Wie gezeigt, sind die Bereiche 226a mit den selbstjustierten Kontaktelementen 225 gemäß dem gesamten Schaltungsentwurf verbunden, während die Bereiche 226b die Gateelektrodenstrukturen 260 kontaktieren, beispielsweise zum Verbinden mit anderen Gateelektrodenstrukturen (nicht gezeigt) oder zur Verbindung mit den Bereichen 226a nach Bedarf. Durch Strukturieren der entsprechenden Hartmasken in einer sequenziellen Weise und durch Vorsehen der Bereiche 226a, 226b als im Wesentlichen längliche Strukturelemente, werden auch in diesem Falle deutlich weniger kritische Bedingungen während der Lithographie- und Strukturierungssequenz angetroffen, wodurch die Wahrscheinlichkeit des Erzeugens von Kurzschlüssen und/oder von Leckstrompfaden verringert wird. Wie ferner gezeigt ist, können die Verbindungsbereiche 226b entsprechende Gateelektrodenstrukturen 260 in dieser anschaulichen Ausführungsform kontaktieren, wobei dies lateral außerhalb des aktiven Gebiets 202a, d. h. über dem „Feldgebiet gemäß gut etablierten Layoutstrategien, erfolgt. Wie ferner zuvor bereits erläutert ist, kann die Maske 205 effizient die Ausdehnung der selbstjustierten Kontaktelemente 225 in der Transistorbreitenrichtung W beschränken, so dass für ausreichende Prozesstoleranzen in den Endbereichen der Gateelektrodenstrukturen 260 gesorgt ist, um in zuverlässiger Weise einen Kurzschluss zwischen Source- und Draingebieten zu vermeiden.
  • 2v zeigt schematisch ein anschauliches Beispiel für eine typische geometrische Gestaltung, wie sie auf der Grundlage des selbstjustierten Kontaktschemas, das zuvor beschrieben ist, eingerichtet wird. Wie gezeigt, sind in den oberen Bereich der 2v die mehreren Gateelektrodenstrukturen 260 so vorgesehen, dass diese sich über ein oder mehrere aktive Gebiete erstrecken, wobei selbstjustierte Kontaktelemente 225, deren Breite so beschränkt ist, dass sie zuverlässig einen Kurzschluss zwischen Drain- und Sourcegebieten vermeiden, zwischen einigen der Gateelektrodenstrukturen 260 ausgebildet sind. Ferner muss eine der Gateelektrodenstrukturen, etwa die Gateelektrodenstruktur 260e, mit einem Drain- und Sourcegebiet, das zwischen den Gateelektrodenstrukturen 260a, 260b angeordnet ist, kontaktiert werden. Beim Strukturieren der Verbindungsstruktur, die über den selbstjustierten Kontaktelementen 225 ausgebildet ist, werden folglich der Bereich 226a und 226b gemäß Fertigungstechniken hergestellt, wie sie zuvor beschrieben sind, um damit eine leitende Verbindung zwischen dem selbstjustierten Kontaktelement 225, das unter dem Bereich 226a angeordnet ist, und der Gateelektrodenstruktur 260e herzustellen. Wie ferner durch die gestrichelten Linien angegeben ist, werden Metallstrukturelemente des Metallisierungssystems (nicht gezeigt), die als Metallleitungselemente 242l und Kontaktdurchführungen 242v angegeben sind, typischerweise so vorgesehen, dass diese in geeigneter Weise mit den Verbindungsstrukturen 226a, 226b gemäß den Schaltungsaufbau in Kontakt sind. Beispielsweise ist ein Bereich 226a mit einer Metallleitung 242l mittels einer oder mehreren Kontaktdurchführungen 242v verbunden.
  • 2w zeigt schematisch eine Draufsicht einer Verbindungsgestaltung, die eine deutliche Verringerung der Gesamtfläche, die zum Einrichten von Gate-zu-Gate-Verbindungen erforderlich ist, ermöglicht. Wie zuvor erläutert ist, können typischerweise Gateelektrodenstrukturen, etwa die Gateelektrodenstruktur 260e in 2v, über den Feldbereich kontaktiert werden, um damit die Wahrscheinlichkeit des Erzeugens von Kurzschlüssen oder Leckstrompfaden zu verringern. Auf der Grundlage der präzise selbstjustierten Kontaktelemente 225, die sich entlang eines wesentlichen Bereichs der gesamten Breite des aktiven Gebiets 202a erstrecken, ist es jedoch möglich, einen Bereich über dem aktiven Gebiet 202a festzulegen, der für die Bildung eines Kontaktbereichs darin ausgenommen wird, wodurch ausreichende Prozesstoleranzen bei der Kontaktierung einer Gateelektrodenstruktur geschaffen werden. Wie gezeigt muss die Gatelektrodenstruktur 260d ggf. mit dem Verbindungsbereich 226b verbunden werden, der wiederum mit dem Verbindungsbereich 226a in Verbindung steht, der über dem entsprechenden selbstjustierten Kontaktelement 225 ausgebildet ist, wie dies zuvor erläutert ist. Um in geeigneter Weise die Gateelektrodenstruktur 260d zu kontaktieren, muss der entsprechende Ätzprozess sicherstellen, dass ein Bereich des dielektrischen Materials, etwa das Ätzstoppmaterial, bei der Herstellung der entsprechenden Öffnung für den Bereich 226b bewahrt wird, während in der Nähe der Gateelektrodenstruktur 260b jegliches dielektrisches Material zu entfernen ist. Zu diesem Zweck kann ein zusätzlicher Lithographieschritt angewendet werden, wobei jedoch eine gewisse Toleranz für die Justiergenauigkeit beim Definieren einer entsprechenden Ausschlusszone 226c in Bezug auf die selbstjustierten Kontaktelemente 225 berücksichtigt werden kann. D. h., die Ausschlusszone 226c umfasst ein dielektrisches Zwischenschichtmaterial und enthält kein leitendes Kontaktmaterial, wie es zur Herstellung der selbstjustierten Kontaktelemente 225 verwendet wird. Zu diesem Zweck besitzt die entsprechende Maske 205 eine geeignete Form, beispielsweise im vorliegenden Falle die Ausschlusszone 226c um einen Bereich der Gateelektrodenstruktur 260d herum ausgebildet, wodurch die Breite der entsprechenden selbstjustierten Kontaktelemente 225 beschränkt wird, wobei jedoch dennoch für ausreichend Kontakteigenschaften gesorgt ist, wobei der verbleibende Bereich dieser Kontaktelemente 225 dennoch zu einem gewünschten geringen Kontaktwiderstand in Bezug auf das darunter liegende aktive Gebiet 202a führt. Beim Strukturieren des dielektrischen Materials, das über den selbstjustierten Kontaktelementen 225 ausgebildet ist, wird folglich eine weitere Maskenöffnung 209c während der gesamten Strukturierungssequenz vorgesehen, die die entsprechende Gateelektrodenstruktur freilegt, wobei dennoch für effiziente Toleranzen gesorgt ist, wenn die Verbindungsstruktur 236b positioniert wird, die somit zumindest teilweise über dem aktiven Gebiet 202a geführt werden kann, wodurch sich die Gesamtfläche zum Einrichten der Verbindung zwischen der Gateelektrodenstruktur 260d und dem unter dem Verbindungsbereich 236a ausgebildeten Kontaktelement 225 verringert.
  • 2x zeigt schematisch eine Querschnittsansicht entlang der Transistorlängsrichtung innerhalb der Ausschlusszone 226c aus 2w. Wie gezeigt, ist eine erste Öffnung 220a in der dielektrischen Zwischenschichtebene 220 so gebildet, dass eine Verbindung zu den darunter liegenden selbstjustierten Kontaktelement 225 geschaffen wird, wie dies in 2b für die Verbindungsstruktur 226a angegeben ist. In ähnlicher Weise ist eine zweite Öffnung 220b so vorgesehen, dass diese der Verbindungsstruktur 226b aus 2w entspricht, wobei jedoch die Ätzstoppschicht 223b innerhalb eines ausgeprägten Bereichs der Öffnung 220b bewahrt wird. In der Öffnung 220b ist ferner eine weitere Öffnung 223g vorgesehen, die sich durch die Ätzstoppschicht 223b so erstreckt, dass eine Verbindung zu der darunter liegenden Gateelektrodenstruktur 260d gemäß der Maske 209c aus 2w geschaffen wird.
  • Das in 2x gezeigte Halbleiterbauelement 200 kann auf der Grundlage der folgenden Prozesse hergestellt werden. Zunächst wird die Hartmaske 209 in geeigneter Weise so strukturiert, dass eine Öffnung entsprechend der Öffnung 220b erzeugt wird, und es wird ein Ätzprozess angewendet, um durch das Material 223 zu ätzen, während der Prozess an der Ätzstoppschicht 223b anhält. Nach jeglichen Reinigungsprozessen wird die Maske 209 weiterhin so strukturiert, dass die Form und die Position der Öffnung 220a festgelegt werden, die nachfolgend durch Ätzen durch die Materialien 223 und 223b erzeugt wird, woran sich ein weiterer Lithographieprozess anschließt, um die Öffnung 209c (siehe 2w) zu definieren, woran sich ein geeigneter Ätzprozess anschießt, um schließlich durch die Ätzstoppschicht 223b zu ätzen und somit die Gateelektrodenstruktur 260d zu kontaktieren, ohne dass jedoch eine Verbindung zu einem leitenden Material von selbstjustierten Kontaktelementen auf Grund der Anwesenheit der Ausschlusszone 226c erfolgt, d. h. auf Grund der Anwesenheit der dielektrischen Materialien 222 und 221, die in der Ausschlusszone 226c bewahrt wurden, wie dies zuvor erläutert ist.
  • 2y zeigt schematisch eine Querschnittsansicht des Bauelements 200 in einer weiter fortgeschrittenen Phase. D. h., das leitende Material für die Materialien 226 werden auf der Grundlage eines geeigneten Abscheideschemas aufgebracht, wie dies zuvor erläutert ist, wodurch der Verbindungsbereich 226a so geschaffen wird, dass eine Verbindung zu dem selbstjustierten Kontaktelement 225 hergestellt wird, während auch der Verbindungsbereich 226b erzeugt wird, der wiederum mit dem Bereich 226a gemäß den spezifizierten Schaltungsaufbau verbunden ist, wohingegen ein weiterer Verbindungsbereich 226d mit der Gateelektrodenstruktur 260d in Verbindung steht. Der Bereich 226d ist somit zuverlässig von jeglichen benachbarten leitenden Kontaktmaterial auf Grund der Anwesenheit der Isolationsgebiete oder der Ausschlusszone 226c getrennt. Daraufhin werden überschüssiges Material und die Hartmaske 209 entfernt, wie dies zuvor beschrieben ist.
  • 2z zeigt schematisch eine Draufsicht eines anschaulichen Layoutbeispiels, das im Wesentlichen dem in 2v gezeigten Layout entspricht, wobei jedoch eine raumeffiziente Konfiguration erreicht wird, indem beispielsweise die Ausschlusszone 226c benachbart zu der Gateelektrodenstruktur 260e vorgesehen wird, wobei, wenn eine Kontaktierung zugehöriger Drain- und Sourcegebiete nicht erforderlich ist, die Ausschlusszone 226c sich über die gesamte Breite eines darunter liegenden aktiven Gebiets erstreckt, wodurch eine im Wesentlichen zentrale Positionierung des Verbindungsbereichs 226b über dem aktiven Gebiet möglicht ist. Auf der Grundlage einer Fertigungssequenz, wie sie zuvor mit Bezug zu den 2x und 2y beschrieben ist, kann somit die Gateelektrodenstruktur 260e bei der Herstellung des Verbindungsbereichs 226b kontaktiert werden, ohne dass eine Kontaktierung der Gateelektrodenstruktur 260e lateral außerhalb des aktiven Gebiets erforderlich ist, wie dies beispielsweise in 2v gezeigt ist. In ähnlicher Weise ermöglicht gemäß dem unteren Bereich der 2z die Lage der Gateelektrodenstrukturen 260 eine Routenführung eines zugehörigen Bereichs 226b unter Vermeidung der Öffnung des Ätzstoppmaterials bei der Herstellung des Bereichs 226b, der somit verwendet werden kann, um in geeigneter Weise eine Verbindung zu einem entsprechenden Bereich 236a herzustellen, der wiederum schließlich mit dem Bereich 226b, der mit der Gateelektrodenstruktur 260e verbunden ist, in Kontakt ist. Somit kann durch Einrichten eines zusätzlichen Lithographieprozesses eine äußerst raumeffiziente Routenführung der Verbindungsstruktur der Bereiche 226a, 226b erreicht werden, wobei insbesondere Bereiche 226b zumindest teilweise über entsprechenden aktiven Gebieten geführt werden können.
  • Mit Bezug zu den 3a bis 3u werden nunmehr weitere anschauliche Ausführungsformen beschrieben, in denen das leitende Kontaktmaterial für selbstjustierte Kontaktelemente in einer frühen Fertigungsphase vorgesehen ist.
  • 3a zeigt schematisch ein Halbleiterbauelement 200, in welchem Gateelektrodenstrukturen 360a, ..., 360c sich über aktive Gebiete 302a, 302b erstrecken, die durch ein Isolationsgebiet 302c getrennt sind. Im Hinblick auf die bislang beschriebenen Komponenten gelten auch die gleichen Kriterien, wie sie zuvor erläutert sind.
  • 3b zeigt schematisch eine Querschnittsansicht entlang des Schnittes IIIb aus 3a. Wie gezeigt, umfassen die Gateelektrodenstrukturen 360a, ..., 360c ein Platzhaltermaterial 362, das von einem Abstandshalter 364 und einer dielektrischen Deckschicht oder einem Schichtsystem 363 eingeschlossen ist, wie dies auch zuvor mit Bezug zu den Bauelementen 100 und 200 beschrieben ist. Bei Bedarf ist eine dielektrische Schicht 361 vorgesehen. Ferner sind Drain- und Sourcegebiete 351 in dem aktiven Gebiet 302b ausgebildet, beispielsweise in Form einer Halbleiterlegierung, die darin eingebettet ist, und dergleichen. Ein Metallsilizid 352 ist in und über den Gebieten 351 ausgebildet und besitzt eine geeignete Materialzusammensetzung, beispielsweise in Form von Nickelsilizid, Nickelplatinsilizid und dergleichen.
  • 3c zeigt schematisch das Bauelement 300 entlang des Schnittes, der durch IIIc in 3a angegeben ist. In dieser Darstellung sind die aktiven Gebiete 302b, 302a, die in diesem Bereich die Metallsilizidgebiete 35 aufweisen, durch das Isolationsgebiet 302c getrennt.
  • Das in den 3a bis 3c gezeigte Halbleiterbauelement 300 kann auf der Grundlage einer beliebigen geeigneten Fertigungsstrategie hergestellt werden, wie sie beispielsweise auch zuvor beschrieben ist, wenn auf die Herstellung aktiver Gebiete, die Ausbildung von Gateelektrodenstrukturen darauf und die Fertigstellung der endgültigen Transistorstruktur Bezug genommen wurde, d. h. auf die Herstellung der Drain- und Sourcegebiete 251. Daraufhin werden die Metallsilizidgebiete 352 auf der Grundlage eines geeigneten Silizidierungsschemas erzeugt. Auf diese Weise wird ein hoher Grad an Kompatibilität zu konventionellen Transistorherstellungstechniken erreicht.
  • 3d zeigt schematisch eine Draufsicht des Bauelements 300 in einer weiter fortgeschrittenen Fertigungsphase, in der ein geeignetes Kontaktmaterial 324 über den aktiven Gebieten hergestellt wird.
  • 3e und 3f zeigen schematisch Querschnittsansichten entsprechend den Schnittlinien IIIb, IIIc. Wie gezeigt ist somit das leitende Kontaktmaterial 324 zwischen den Gateelektrodenstrukturen 360a, ..., 360c möglicherweise in Verbindung mit einem Barrierenmaterial 324b ausgebildet, wie dies auch zuvor beschrieben ist. Auf Grund der nicht-selektiven Abscheidung des Materials 324 kann das Material auch auf und über der Isolationsstruktur 302c ausgebildet sein, wie in 3f gezeigt ist.
  • Im Hinblick auf jegliche Fertigungsstrategien zur Herstellung des Materials 324 in Verbindung mit dem Material 324b sei auf die gleichen Kriterien verwiesen, wie sie zuvor beschrieben sind. Nach dem Entfernen von jeglichem Überschussmaterial zur Erzeugung einer im Wesentlichen ebenen Oberflächentopographie geht folglich die weitere Bearbeitung weiter unter verbesserten Bedingungen im Hinblick auf das Ausführen kritischer Lithographieprozesse. Das Entfernen von überschüssigem Material kann auf der Grundlage von CMP, Ätztechniken und dergleichen bewerkstelligt werden.
  • 3g zeigt schematisch das Bauelement 300 mit einer geeigneten Maske 305, die eine Öffnung 305o aufweist, die die Form und Position eines Bereichs des Bauelements 300 festlegt, in welchem das zuvor hergestellte leitende Kontaktmaterial zu „unterbrechen” ist, um geeignet dimensionierte Isolationsgebiete zu schaffen. Beispielsweise ist eine schlitzartige Öffnung in 3g gezeigt, die erzeugt werden kann, indem ein geeignetes Maskenmaterial, etwa Siliziumdioxid und dergleichen, abgeschieden wird, woran sich ein Lackmaterial und dergleichen anschließt, das dann so strukturiert wird, dass eine gewünschte Öffnung erzeugt wird, die nicht notwendiger Weise die gewünschten Abmessungen besitzen muss. Daraufhin wird die eigentliche Maske gemäß der Lithographiemaske strukturiert und, wenn eine weitere Verringerung der lateralen Abmessungen als geeignet erachtet wird, kann ein weiterer Abscheideprozess angewendet werden, woran sich ein anisotroper Ätzprozess anschließt, um ggf. die Abmessungen der resultierenden Öffnungen 305o weiter zu verringern. Folglich kann durch geeignetes Auswählen der Form und der lateralen Abmessungen der Maskenöffnung 305o ein entsprechender Bereich des Materials 324 freigelegt werden.
  • 3h und 3i zeigen schematisch das Halbleiterbauelement 300 nach dem Bereitstellen der Ätzmaske 305 und nach deren Strukturierung. Wie in 3h gezeigt ist, bedeckt die Maske 305 somit im Wesentlichen vollständig die Gateelektrodenstrukturen 360a, ..., 360c und somit die entsprechenden Zwischenräume, da hier gut leitende Kontaktelemente erforderlich sind. In der gezeigten Ausführungsform wurde das Kontaktmaterial 324 entsprechend im Hinblick auf die Gateelektrodenstrukturen 360a vor dem Bilden der Maske 305 abgesenkt, das unter Anwendung gut etablierter Ätzrezepte bewerkstelligt werden kann. In diesem Falle wird ein Teil des Maskenmaterials 305 beibehalten, um damit einen hohen Grad an Kompatibilität während eines nachfolgenden Austauschgateverfahrens zu ermöglichen.
  • 3i zeigt schematisch die Öffnung 305o in in der Maske 305, die über dem Isolationsgebiet 302c angeordnet ist, um damit einen Bereich festzulegen, in welchem das leitende Material 324 zu unterbrechen ist.
  • 3j, 3k und 3l zeigen schematisch das Bauelement 300 in weiter fortgeschrittenen Fertigungsstadien. Wie gezeigt, ist das Material 324 selektiv in Bezug auf die Maske 305 (siehe 3k und 3j) geätzt, um damit isolierte Kontaktelemente 325 zu erzeugen, wie dies in den 3k und 3l dargestellt ist.
  • 3m, 3n und 3o zeigen schematisch das Bauelement 300 in einer weiter fortgeschrittenen Fertigungsphase. Ein isolierendes Material, d. h. ein dielektrisches Zwischenschichtmaterial, ist in entsprechende Öffnungen 325c eingefüllt, die zuvor beim selektiven Entfernen des Materials 324 geschaffen wurden, wie dies zuvor erläutert ist. Zu diesem Zweck können jegliche gut etablierte Prozesstechniken angewendet werden, beispielsweise Abscheiden von Siliziumdioxidmaterial und dergleichen. Es sollte beachtet werden, dass das Erzeugen von Hohlräumen innerhalb der Öffnungen 325c nicht kritisch ist, da das leitende Kontaktmaterial 324 bereits abgeschieden ist und somit nicht zu vergrabenen Wolframkanälen führen kann, wie sie häufig in konventionellen Kontaktschemata erzeugt werden. Daraufhin wird überschüssiges Material abgetragen, was auf der Grundlage gut etablierter Austauschgateabtragungsprozesse bewerkstelligt werden kann. Während des entsprechenden Abtragungsprozesses werden auch die Platzhaltermaterialien der Gateelektrodenstrukturen freigelegt.
  • 3n, 3o, zeigen schematisch die Querschnittsansicht entsprechend der zuvor beschriebenen Fertigungsphase. Somit besitzt das Polysiliziummaterial 362 eine freiliegende Oberfläche 362s, wobei in einigen Fällen Materialreste über den selbstjustierten Kontaktelementen 325 vorhanden sein können, die Reste der zuvor hergestellten Ätzmaske 305 oder eines dielektrischen Zwischenschichtmaterials 311 (siehe 3o) darstellen können, wobei diese abgeschieden worden sind, um die Öffnungen 325c mit dielektrischem Material aufzufüllen, wobei somit das Auftreten von jeglichen Hohlräumen 311v die weitere Bearbeitung nicht beeinflusst.
  • 3p, 3q und 3r zeigen schematisch das Bauelement nach dem Abschluss eines Austauschgateprozessverfahrens. Somit enthalten die Gateelektrodenstrukturen 360 ein geeignetes Materialsystem, beispielsweise mit einem dielektrischen Material mit großem ε 365 in Verbindung mit einer Austrittsarbeitsmetallsorte und leitenden Barrierenmaterialien 366 und gut leitenden Elektrodenmetallen, etwa Aluminium, Aluminiumlegierungen und dergleichen.
  • 3q und 3r zeigen schematisch Querschnittsansichten der Bauelemente, die die komplexen Metallgateelektrodenstrukturen mit großem ε 360a, ..., 360c enthalten. Ferner, wie in 3r gezeigt ist, ist das dielektrische Material mit großem ε 365 noch über dem dielektrischen Zwischenschichtmaterial 311 ausgebildet, das wiederum mit dem Isolationsgebiet 302c in Verbindung steht. Es sollte beachtet werden, dass das Material 365 von jeglichen horizontalen Bereichen entfernt werden kann, wenn dies als geeignet erachtet wird. Im Hinblick auf das Ausführen von Austauschgateverfahren wird auch auf Prozessstrategien verwiesen, wie sie zuvor mit Bezug zu den Bauelementen 100 und 200 erläutert sind.
  • 3s, 3t und 3u zeigen schematisch das Bauelement 300 in einer weiter fortgeschrittenen Fertigungsphase.
  • Wie in 3s gezeigt ist, sind entsprechende Verbindungsbereiche 326 so hergestellt, dass diese eine Verbindung zu den darunter liegenden selbstjustierten Kontaktelementen 325 herstellen, wie dies durch den gesamten Schaltungsaufbau erforderlich ist. Dazu wird ein geeignetes dielektrisches Zwischenschichtmaterial oder ein Materialsystem über dem Isolationsgebiet 325c hergestellt, d. h. über dem Bereich, in welchem das Kontaktmaterial unterbrochen wurde, und in welchem ein geeignetes dielektrisches Zwischenschichtmaterial eingefüllt ist. Daraufhin wird das Material dann so strukturiert, dass jeweilige Öffnungen entsprechend der lateralen Form und Position der Verbindungsstrukturelemente 326 geschaffen werden, die dann durch Abscheiden eines geeigneten Metalls, etwa von Kupfer, Wolfram und dergleichen, hergestellt werden, wobei dies möglicherweise in Verbindung mit geeigneten Barrierenmaterialien erfolgt.
  • 3t und 3u zeigen schematisch Querschnittsansichten der Bauelemente in dieser Fertigungsphase. Wie gezeigt, stellen die Verbindungsbereiche 326 eine Verbindung zu den selbstjustierten Kontaktelementen 325 gemäß dem Layout her, wie dies beispielsweise in 3s dargestellt ist. Somit erstrecken sich die Bereiche 326 zu einem dielektrischen Material 323, etwa einem Siliziumdioxidmaterial, und zu einem Ätzstoppmaterial 323b, etwa einem Siliziumnitridmaterial und dergleichen. In ähnlicher Weise, wie in 3u gezeigt ist, sind die Bereiche 326 und die selbstjustierten Kontaktelemente 325 zuverlässig von den Materialien 323 und 323b isoliert.
  • Es sollte beachtet werden, dass auch entsprechende Verbindungsstrukturelemente so geschaffen werden können, dass diese eine Verbindung zu einer beliebigen der Gateelektrodenstrukturen 360 herstellen, was beispielsweise bewerkstelligt werden kann, indem geeignete Ausschlusszonen festgelegt werden, in denen das Kontaktmaterial beim Strukturieren der selbstjustierten Kontaktelemente 325 entfernt wird. Auf diese Weise können die entsprechenden Ausschlusszonen an einer beliebigen lateralen Position angeordnet werden, beispielweise außerhalb von aktiven Gebieten oder über aktiven Gebieten, wie dies auch zuvor mit Bezug zu den Halbleiterbauelementen 200 beschrieben ist.
  • Es gilt also: Die vorliegende Erfindung stellt Fertigungstechniken und Halbleiterbauelemente bereit, in denen selbstjustierte Kontaktelemente in Verbindung mit Austauschgateelektrodenstrukturen hergestellt werden, indem die Kontaktelemente vor dem Ersetzen eines Platzhaltermaterials der Gateelektrodenstrukturen hergestellt werden. Auf diese Weise können komplexe Metallgateelektrodenstrukturen mit großem ε in komplexen Halbleiterbauelementen für Hochleistungstransistoren vorgesehen werden, wie dies beispielsweise für Logikschaltungsbereiche erforderlich ist, wobei negative Auswirkungen des selbstjustierten Kontaktschemas auf die Austauschgateelektrodenstrukturen vermieden werden. Ferner wird in einigen anschaulichen Ausführungsformen eine sehr raumeffiziente Routenführung von Gate-zu-Gate-Verbindungen oder von Gate-aktiv-Verbindungen erreicht, wodurch eine weitere Verringerung der Größe komplexer Halbleiterbauelemente für vorgegebene minimale Transistorabmessungen erreicht wird.

Claims (18)

  1. Verfahren mit: Bilden eines Kontaktelements lateral benachbart zu einer Gateelektrodenstruktur derart, dass das Kontaktelement eine Verbindung zu einem Draingebiet oder einem Sourcegebiet herstellt, das in einem aktiven Gebiet eines Halbleiterbauelements ausgebildet ist, wobei die Gateelektrodenstruktur ein Platzhaltermaterial aufweist, das von einer dielektrischen Deckschicht bedeckt ist, wobei Bilden des Kontaktelements umfasst: Bilden eines dielektrischen Materials über den Drain- und Sourcegebieten und lateral benachbart zu der Gateelektrodenstruktur und Bilden einer Kontaktöffnung darin, so dass die dielektrische Deckschicht und zumindest ein Teil des Draingebiets oder Sourcegebiets freigelegt wird; und Ersetzen des Platzhaltermaterials zumindest durch ein metallenthaltendes Elektrodenmaterial in Anwesenheit des Kontaktelements.
  2. Verfahren nach Anspruch 1, wobei Bilden des Kontaktelements ferner umfasst: Entfernen der dielektrischen Deckschicht, um das Platzhaltermaterial freizulegen.
  3. Verfahren nach Anspruch 1, wobei Bilden des Kontaktelements ferner umfasst: Bereitstellen einer Hartmaske über dem dielektrischen Material derart, dass eine laterale Form und Position der Kontaktöffnung festgelegt sind.
  4. Verfahren nach Anspruch 3, wobei eine laterale Form und eine laterale Position der Kontaktöffnung so festgelegt werden, dass diese innerhalb lateraler Grenzen des aktiven Gebiets entlang einer Breitenrichtung des aktiven Gebiets liegen.
  5. Verfahren nach Anspruch 1, wobei Bilden des Kontaktelements ferner umfasst: Bilden eines Opferfüllmaterials in der Kontaktöffnung, Ausführen eines Abtragungsprozesses in Anwesenheit des Opferfüllmaterials derart, dass eine Oberfläche des Platzhaltermaterials freigelegt wird.
  6. Verfahren nach Anspruch 5, wobei Bilden des Kontaktelements ferner umfasst: Entfernen des Opferfüllmaterials in der Kontaktöffnung und Bilden eines leitenden Kontaktmaterials der Kontaktöffnung.
  7. Verfahren nach Anspruch 2, wobei Bilden des Kontaktelements ferner umfasst: Bilden eines leitenden Kontaktmaterials in der Kontaktöffnung vor dem Entfernen der dielektrischen Deckschicht von dem Platzhaltermaterial.
  8. Verfahren nach Anspruch 1, wobei Bilden des Kontaktelements ferner umfasst: Bilden eines Metallsilizids in einem Bereich des Draingebiets oder Sourcegebiets durch die Kontaktöffnung hindurch.
  9. Verfahren nach Anspruch 1, das ferner umfasst: Bilden eines dielektrischen Schichtstapels über der Gateelektrodenstruktur und dem Kontaktelement und Bilden einer Verbindungsstruktur in dem dielektrischen Schichtstapel derart, dass die Verbindungsstruktur mit dem Elektrodenmetall der Gateelektrodenstruktur und den Kontaktelementen verbunden ist.
  10. Verfahren nach Anspruch 9, wobei Bilden der Verbindungsstruktur umfasst: Ausführen eines ersten Lithographieprozesses zur Festlegung der lateralen Form und Position eines ersten länglichen Verbindungsbereichs, der sich im Wesentlichen parallel zu der Gateelektrodenstruktur erstreckt, und Ausführen eines zweiten Lithographieprozesses derart, dass eine laterale Form und Position eines zweiten Verbindungsbereichs festgelegt sind, der sich im Wesentlichen senkrecht zur Gateelektrodenstruktur erstreckt.
  11. Verfahren nach Anspruch 10, wobei die laterale Form und Position des zweiten Verbindungsbereichs so festgelegt werden, dass eine Herstellung des zweiten Verbindungsbereichs teilweise innerhalb lateraler Grenzen des aktiven Gebiets erfolgt.
  12. Verfahren mit: Bilden einer dielektrischen Schicht lateral benachbart zu mehreren Gateelektrodenstrukturen, die über einem aktiven Gebiet eines Halbleiterbauelements ausgebildet sind; Bilden einer Kontaktöffnung in der dielektrischen Schicht derart, dass eine Verbindung zu dem aktiven Gebiet entsteht und zwei benachbarte der mehreren Gateelektrodenstrukturen teilweise freigelegt werden; Bilden eines Kontaktelements in der Kontaktöffnung; und Ersetzen eines Platzhaltermaterials der mehreren Gateelektrodenstrukturen zumindest durch ein metallenthaltendes Elektrodenmaterial nach dem Bilden des Kontaktelements.
  13. Verfahren nach Anspruch 12, das ferner umfasst: nach dem Bilden des Kontaktelements, Bilden einer zweiten dielektrischen Schicht über der dielektrischen Schicht und Bilden eines länglichen Verbindungsbereichs in der zweiten dielektrischen Schicht derart, dass eine Verbindung zu einer oder mehreren der Gateelektrodenstrukturen entsteht, wobei der längliche Verbindungsbereich über dem aktiven Gebiet so positioniert ist, dass dieser zumindest teilweise lateral innerhalb des aktiven Gebiets liegt.
  14. Verfahren nach Anspruch 13, wobei Bilden des Kontaktelements umfasst: Festlegen der lateralen Position einer Ausschlusszone, die einen Kontaktbereich der einen oder mehreren Gateelektrodenstrukturen umfasst, und Verhindern des Erzeugens der Kontaktöffnung in der Ausschlusszone.
  15. Verfahren nach Anspruch 12, das ferner umfasst: Freilegen einer Oberfläche des Platzhaltermaterials nach dem Bilden der Kontaktöffnung und vor dem Bilden des Kontaktelements.
  16. Verfahren nach Anspruch 12, das ferner umfasst: Freilegen einer Oberfläche des Platzhaltermaterials in Anwesenheit des Kontaktelements.
  17. Halbleiterbauelement mit: mehreren Gateelektrodenstrukturen, die auf einem aktiven Gebiet ausgebildet sind, wovon jede ein dielektrisches Material mit großem ε, ein Elektrodenmetall und eine dielektrische Seitenwandabstandshalterstruktur aufweist; einem Kontaktelement, das lateral zwischen zwei benachbarten Gateelektrodenstrukturen der mehreren Gateelektrodenstrukturen in einem ersten dielektrischen Material ausgebildet ist und mit dem aktiven Gebiet in Verbindung steht, wobei das Kontaktelement in einer Längsrichtung durch die dielektrischen Abstandshalterstrukturen der zwei benachbarten Gateelektrodenstrukturen begrenzt ist; und einer Verbindungsstruktur, die in einem zweiten dielektrischen Material über dem ersten dielektrischen Material ausgebildet ist und einen ersten Verbindungsbereich, der mit den Kontaktelementen in Verbindung steht, und einen zweiten Verbindungsbereich, der zumindest mit einer der mehreren Gateelektrodenstrukturen in Verbindung steht, aufweist.
  18. Halbleiterbauelement nach Anspruch 17, wobei der zweite Verbindungsbereich ein länglicher Leitungsbereich ist, der zumindest teilweise über dem aktiven Gebiet geführt ist.
DE102011004323.3A 2011-02-17 2011-02-17 Halbleiterbauelement mit selbstjustierten Kontaktelementen und Verfahren zu seiner Herstellung Expired - Fee Related DE102011004323B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102011004323.3A DE102011004323B4 (de) 2011-02-17 2011-02-17 Halbleiterbauelement mit selbstjustierten Kontaktelementen und Verfahren zu seiner Herstellung
US13/372,604 US8835245B2 (en) 2011-02-17 2012-02-14 Semiconductor device comprising self-aligned contact elements

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102011004323.3A DE102011004323B4 (de) 2011-02-17 2011-02-17 Halbleiterbauelement mit selbstjustierten Kontaktelementen und Verfahren zu seiner Herstellung

Publications (2)

Publication Number Publication Date
DE102011004323A1 DE102011004323A1 (de) 2013-01-17
DE102011004323B4 true DE102011004323B4 (de) 2016-02-25

Family

ID=46652054

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102011004323.3A Expired - Fee Related DE102011004323B4 (de) 2011-02-17 2011-02-17 Halbleiterbauelement mit selbstjustierten Kontaktelementen und Verfahren zu seiner Herstellung

Country Status (2)

Country Link
US (1) US8835245B2 (de)
DE (1) DE102011004323B4 (de)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8481415B2 (en) * 2010-12-02 2013-07-09 International Business Machines Corporation Self-aligned contact combined with a replacement metal gate/high-K gate dielectric
US8426300B2 (en) 2010-12-02 2013-04-23 International Business Machines Corporation Self-aligned contact for replacement gate devices
US9024418B2 (en) * 2013-03-14 2015-05-05 Qualcomm Incorporated Local interconnect structures for high density
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
KR102155511B1 (ko) 2013-12-27 2020-09-15 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9508589B2 (en) 2014-01-03 2016-11-29 Qualcomm Incorporated Conductive layer routing
US9379058B2 (en) 2014-02-14 2016-06-28 Qualcomm Incorporated Grounding dummy gate in scaled layout design
US9318476B2 (en) * 2014-03-03 2016-04-19 Qualcomm Incorporated High performance standard cell with continuous oxide definition and characterized leakage current
US10998228B2 (en) * 2014-06-12 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnect with protection layer
US9754935B2 (en) * 2014-08-07 2017-09-05 International Business Machines Corporation Raised metal semiconductor alloy for self-aligned middle-of-line contact
TWI650804B (zh) * 2015-08-03 2019-02-11 聯華電子股份有限公司 半導體元件及其製作方法
US9865703B2 (en) * 2015-12-31 2018-01-09 International Business Machines Corporation High-K layer chamfering to prevent oxygen ingress in replacement metal gate (RMG) process
US10276491B2 (en) * 2016-08-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and methods thereof
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10453751B2 (en) * 2017-02-14 2019-10-22 Globalfoundries Inc. Tone inversion method and structure for selective contact via patterning
US10692808B2 (en) 2017-09-18 2020-06-23 Qualcomm Incorporated High performance cell design in a technology with high density metal routing
US10600866B2 (en) 2018-02-01 2020-03-24 Qualcomm Incorporated Standard cell architecture for gate tie-off
US10373875B1 (en) 2018-03-22 2019-08-06 Globalfoundries Inc. Contacts formed with self-aligned cuts
US11469109B2 (en) * 2019-03-14 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having metal contact features and method for forming the same
US10896874B2 (en) 2019-03-25 2021-01-19 Globalfoundries Inc. Interconnects separated by a dielectric region formed using removable sacrificial plugs
US11508753B2 (en) * 2020-02-24 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded ferroelectric FinFET memory device
US11444018B2 (en) * 2020-02-27 2022-09-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device including recessed interconnect structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6072221A (en) * 1997-06-30 2000-06-06 Kabushiki Kaisha Toshiba Semiconductor device having self-aligned contact plug and metallized gate electrode
US20030008496A1 (en) * 2000-06-09 2003-01-09 Simon Deleonibus Method for making an electronic component with self-aligned drain and gate, in damascene architecture
US20040007727A1 (en) * 2002-07-12 2004-01-15 Du-Heon Song Semiconductor memory device and fabrication method thereof using damascene bitline process
US20100052075A1 (en) * 2008-08-26 2010-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating a first contact structure in a gate last process
US7745275B2 (en) * 2008-09-10 2010-06-29 Arm Limited Integrated circuit and a method of making an integrated circuit to provide a gate contact over a diffusion region

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100346841B1 (ko) * 2000-11-23 2002-08-03 삼성전자 주식회사 저항 소자를 구비하는 반도체 집적 회로 및 그의 제조 방법
US7598169B2 (en) * 2007-02-21 2009-10-06 International Business Machines Corporation Method to remove beol sacrificial materials and chemical residues by irradiation
KR101406888B1 (ko) * 2007-12-13 2014-06-30 삼성전자주식회사 반도체 소자의 제조 방법
US8062971B2 (en) * 2008-03-19 2011-11-22 Infineon Technologies Ag Dual damascene process
US7981789B2 (en) * 2008-11-14 2011-07-19 Infineon Technologies Ag Feature patterning methods and structures thereof
US8202776B2 (en) * 2009-04-22 2012-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for protecting a gate structure during contact formation
US8436404B2 (en) * 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
DE102010003452B4 (de) * 2010-03-30 2018-12-13 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zur Herstellung eines Halbleiterbauelements mit einem Kondensator, der in der Kontaktebene ausgebildet ist
KR101615654B1 (ko) * 2010-05-14 2016-05-12 삼성전자주식회사 반도체 소자의 형성방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6072221A (en) * 1997-06-30 2000-06-06 Kabushiki Kaisha Toshiba Semiconductor device having self-aligned contact plug and metallized gate electrode
US20030008496A1 (en) * 2000-06-09 2003-01-09 Simon Deleonibus Method for making an electronic component with self-aligned drain and gate, in damascene architecture
US20040007727A1 (en) * 2002-07-12 2004-01-15 Du-Heon Song Semiconductor memory device and fabrication method thereof using damascene bitline process
US20100052075A1 (en) * 2008-08-26 2010-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating a first contact structure in a gate last process
US7745275B2 (en) * 2008-09-10 2010-06-29 Arm Limited Integrated circuit and a method of making an integrated circuit to provide a gate contact over a diffusion region

Also Published As

Publication number Publication date
DE102011004323A1 (de) 2013-01-17
US20120211837A1 (en) 2012-08-23
US8835245B2 (en) 2014-09-16

Similar Documents

Publication Publication Date Title
DE102011004323B4 (de) Halbleiterbauelement mit selbstjustierten Kontaktelementen und Verfahren zu seiner Herstellung
DE102011004322B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit selbstjustierten Kontaktelementen und einer Austauschgateelektrodenstruktur
DE102011090163B4 (de) Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind und Herstellungsverfahren dafür
DE102010029527B4 (de) Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat
DE102011077661B4 (de) Metallgateelektrodenstrukturen und Verfahren zu deren Herstellung durch eine Reduzierung des Gatefüllaspektverhältnisses in einer Austauschgatetechnologie
DE102009055437B4 (de) Halbleiterwiderstände, die in einem Halbleiterbauelement mit Metallgatestrukturen auf einer geringeren Höhe hergestellt sind und Verfahren zur Herstellung der Halbleiterwiderstände
DE102013108147B4 (de) Verfahren und Struktur für vertikalen Tunnel-Feldeffekttransistor und planare Vorrichtungen
DE102010002411B4 (de) Verfahren zur Herstellung von Kontaktbalken mit reduzierter Randzonenkapazität in einem Halbleiterbauelement
DE102011003232B4 (de) Herstellverfahren für Metallgateelektrodenstrukturen mit großem ε, die durch ein Austauschgateverfahren auf der Grundlage einer verbesserten Ebenheit von Platzhaltermaterialien hergestellt sind
DE102008054075B4 (de) Halbleiterbauelement mit Abgesenktem Drain- und Sourcebereich in Verbindung mit einem Verfahren zur komplexen Silizidherstellung in Transistoren
DE102010030756B4 (de) Austauschgateverfahren für Metallgatestapel mit großem ε auf der Grundlage eines nicht-konformen Zwischenschichtdielektrikums
DE102012205298B4 (de) Austauschgateverfahren für Metallgatestapel mit großem ε unter Anwendung einer Mehrschichtkontaktebene und Halbleiterbauelement
DE102010003559B4 (de) Halbleiterbauelement mit Metallgatestrukturen, die durch ein Austauschgateverfahren hergestellt sind, und E-Sicherung mit einem Silizid
DE102010003555A1 (de) Aluminiumsicherungen in einem Halbleiterbauelement, das Metallgateelektrodenstrukturen aufweist
DE102010028465B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit Metallgate und Halbleiterwiderständen, die auf der Grundlage eines Austauschgateverfahrens hergestellt sind
DE102008030864A1 (de) Doppelgate- und Tri-Gatetransistor, die auf einem Vollsubstrat aufgebaut sind und Verfahren zur Herstellung des Transistors
DE102010003454B4 (de) Halbleiterbauelement mit Metallgateelektrodenstrukturen mit großem ε und E-Sicherungen, die in dem Halbleitermaterial hergestellt sind
DE102010028460A1 (de) Reduzierte Defektrate in Kontakten eines Halbleiterbauelements, das Austauschgateelektrodenstrukturen unter Anwendung einer Zwischendeckschicht aufweist
DE102010002451A1 (de) Kontaktelemente von Halbleiterbauelementen, die einen kontinuierlichen Übergang zu Metallleitungen einer Metallisierungsschicht besitzen
DE102010003451B4 (de) Austauschgateverfahren für Metallgatestapel mit großem ε durch Vermeiden eines Polierprozesses zum Freilegen des Platzhaltermaterials
DE102009043328B4 (de) Herstellung von Halbleiterwiderständen in einem Halbleiterbauelement mit Metallgatestrukturen durch Erhöhen der Ätzwiderstandsfähigkeit der Widerstände
DE102009046260B4 (de) Halbleiterbauelement und Verfahren zur Herstellung eines Halbleiterbauelements
DE102010030765B4 (de) Halbleiterbauelement mit Metallgateelektrodenstrukturen mit großem ε und Präzisions-eSicherungen, die in dem aktiven Halbleitermaterial hergestellt sind, und Herstellungsverfahren
DE102009046248A1 (de) Halbleitersicherungen in einem Halbleiterbauelement mit Metallgates
DE102009039421B4 (de) Doppelkontaktmetallisierung mit stromloser Plattierung in einem Halbleiterbauelement

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee