DE102013211553A1 - Monitorstrukturen und verfahren zu ihrer bildung - Google Patents

Monitorstrukturen und verfahren zu ihrer bildung Download PDF

Info

Publication number
DE102013211553A1
DE102013211553A1 DE102013211553A DE102013211553A DE102013211553A1 DE 102013211553 A1 DE102013211553 A1 DE 102013211553A1 DE 102013211553 A DE102013211553 A DE 102013211553A DE 102013211553 A DE102013211553 A DE 102013211553A DE 102013211553 A1 DE102013211553 A1 DE 102013211553A1
Authority
DE
Germany
Prior art keywords
opening
workpiece
monitor
forming
possibly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE102013211553A
Other languages
English (en)
Inventor
Albert Birner
Tobias Herzig
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of DE102013211553A1 publication Critical patent/DE102013211553A1/de
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/32Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Nach einer Ausführungsform der vorliegenden Erfindung beinhaltet ein Verfahren zum Bilden eines elektronischen Bauelements Bilden einer ersten Öffnung und einer zweiten Öffnung in einem Werkstück. Die erste Öffnung ist tiefer als die zweite Öffnung. Das Verfahren beinhaltet ferner Bilden eines Füllmaterials innerhalb der ersten Öffnung, um einen Teil einer Durchkontaktierung zu bilden, und Bilden des Füllmaterials innerhalb der zweiten Öffnung.

Description

  • GEBIET DER TECHNIK
  • Die vorliegende Erfindung betrifft allgemein elektronische Bauelemente und insbesondere Monitorstrukturen und Verfahren zu ihrer Bildung.
  • ALLGEMEINER STAND DER TECHNIK
  • Halbleiterbauelemente werden in vielen elektronischen und anderen Anwendungen verwendet. Halbleiterbauelemente umfassen möglicherweise integrierte Schaltkreise, die auf Halbleiterscheiben gebildet werden. Alternativ können Halbleiterbauelemente als monolithische Bauelemente, z. B. diskrete Bauelemente, gebildet werden. Halbleiterbauelemente werden auf Halbleiterscheiben durch Auftragen vieler Typen dünner Filme von Materialien über den Halbleiterscheiben, Strukturieren der dünnen Filme von Material, Dotieren selektiver Bereiche der Halbleiterscheiben und andere Prozesse gebildet.
  • In einem herkömmlichen Halbleiterherstellungsprozess wird innerhalb und/oder über einem einzelnen Wafer eine große Zahl von Halbleiterbauelementen hergestellt. Ein Halbleiterbauelement umfasst möglicherweise eine oder mehrere Silicium-Durchkontaktierungen zur Information oder Stromleitung durch einen Siliciumchip und zum Verbinden mit der Chiprückseite. Insbesondere Silicium-Interposer mit Metallisierungsschichten auf der Vorder- und der Rückseite, die angepasste Durchkontakte aufweisen, die durch Silicium-Durchkontaktierungen hergestellt werden. Jedes der Halbleiterbauelemente umfasst möglicherweise mehrere Durchkontaktierungen. Ähnlich beinhalten Halbleitergehäuse, die diese Halbleiterbauelemente umfassen, möglicherweise auch mehrere Durchkontaktierungen.
  • Jedoch hat Bilden von Durchkontaktierungen verschiedene Prozessschwierigkeiten zur Folge, aus denen ein erheblicher Ausbeuteverlust resultieren kann.
  • KURZE DARSTELLUNG DER ERFINDUNG
  • Durch veranschaulichende Ausführungsformen der vorliegenden Erfindung werden diese und andere Probleme allgemein gelöst oder umgangen und technische Vorteile allgemein erzielt.
  • Nach einer Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren zum Bilden eines elektronischen Bauelements Bilden einer ersten Öffnung und einer zweiten Öffnung in einem Werkstück. Die erste Öffnung ist tiefer als die zweite Öffnung. Das Verfahren umfasst ferner Bilden eines Füllmaterials innerhalb der ersten Öffnung, um einen Teil einer Durchkontaktierung zu bilden, und Bilden des Füllmaterials innerhalb der zweiten Öffnung.
  • Nach einer Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren zum Bilden eines Halbleiterbauelements Bilden einer ersten Öffnung für eine Durchkontaktierung in einem ersten Werkstück und einer zweiten Öffnung für eine Monitorstruktur. Die erste Öffnung ist tiefer als die zweite Öffnung. Das Verfahren umfasst ferner Auftragen eines Füllmaterials innerhalb der zweiten Öffnung bis zu einer Fülltiefe und Verwenden einer Messung der Fülltiefe innerhalb der zweiten Öffnung und Auftragen des Füllmaterials innerhalb der ersten Öffnung.
  • Nach einer Ausführungsform der vorliegenden Erfindung umfasst ein elektronisches Bauelement eine funktionstüchtige leitfähige Struktur, die in einem Werkstück angeordnet ist, und eine nicht funktionstüchtige Monitorstruktur, die im Werkstück angeordnet ist. Die Monitorstruktur ist zur Verwendung beim Überwachen der Herstellung der funktionstüchtigen leitfähigen Struktur ausgebildet.
  • Nach einer Ausführungsform der vorliegenden Erfindung umfasst ein Bauelement einen elektronischen Chip, ein Verkappungsmaterial nahe dem elektronischen Chip und eine im Verkappungsmaterial angeordnete leitfähige Struktur. Die leitfähige Struktur ist nicht an den elektronischen Chip gekoppelt. Das Verkappungsmaterial weist etwa eine gleiche Dicke auf wie der elektronische Chip.
  • Vorstehend wurden ziemlich grob die Merkmale einer Ausführungsform der vorliegenden Erfindung umrissen, damit die ausführliche Beschreibung der Erfindung, die folgt, besser verständlich wird. Zusätzliche Merkmale und Vorteile von Ausführungsformen der Erfindung, die den Gegenstand der Ansprüche der Erfindung bilden, werden nachfolgend beschrieben. Für den Fachmann sollte es sich verstehen, dass der Erfindungsgedanke und spezifische Ausführungsformen, die offenbart werden, ohne Weiteres als Grundlage zum Modifizieren oder Ausgestalten anderer Strukturen oder Prozesse zum Ausführen derselben Zwecke der vorliegenden Erfindung eingesetzt werden können. Der Fachmann sollte auch erkennen, dass solche äquivalenten Konstruktionen nicht vom Gedanken und vom Schutzbereich der Erfindung, wie in den beigefügten Ansprüchen dargelegt, abweichen.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Zu einem umfassenderen Verständnis der vorliegenden Erfindung und ihrer Vorteile wird nun Bezug auf die folgenden Beschreibungen in Verbindung mit der beigefügten Zeichnung genommen, in der:
  • 1, welche die 1A1B beinhaltet, ein Halbleiterbauelement nach einer Ausführungsform der Erfindung veranschaulicht, wobei 1A eine Schnittdraufsicht veranschaulicht und wobei 1B eine Querschnittsansicht veranschaulicht;
  • 2, welche die 2A2C beinhaltet, ein alternatives Halbleiterbauelement nach einer Ausführungsform der Erfindung veranschaulicht, wobei 2A eine Schnittdraufsicht veranschaulicht und wobei die 2B und 2C eine Querschnittsansicht veranschaulichen;
  • 3, welche die 3A3C beinhaltet, ein alternatives Halbleiterbauelement nach einer Ausführungsform der Erfindung veranschaulicht, wobei 3A eine Schnittdraufsicht veranschaulicht und wobei die 3B und 3C eine Querschnittsansicht veranschaulichen;
  • 4 eine Querschnittsdraufsicht auf ein Halbleiterbauelement nach einer Ausführungsform der Erfindung veranschaulicht;
  • 5, welche die 5A und 5B beinhaltet, ein Halbleitergehäuse nach einer Ausführungsform der Erfindung veranschaulicht, wobei 5A eine Schnittdraufsicht veranschaulicht und wobei 5B eine Querschnittsansicht veranschaulicht;
  • 6, welche die 6A und 6B beinhaltet, einen vergrößerten Querschnitt einer Durchkontaktierung und der Monitorstruktur nach Ausführungsformen der Erfindung veranschaulicht;
  • 7, welche die 7A7I beinhaltet, ein Halbleiterbauelement während verschiedener Phasen der Herstellung nach Ausführungsformen der Erfindung veranschaulicht; und
  • 8 Vorgänge während eines Teils des Prozessablaufs nach Ausführungsformen der Erfindung veranschaulicht.
  • Entsprechende Bezugszeichen und Symbole in den unterschiedlichen Figuren beziehen sich allgemein auf entsprechende Teile, sofern nicht anders angegeben. Die Figuren sind gezeichnet, um die relevanten Aspekte der Ausführungsformen klar zu veranschaulichen, und sind nicht zwangsläufig maßstabgerecht gezeichnet.
  • AUSFÜHRLICHE BESCHREIBUNG VERANSCHAULICHENDER AUSFÜHRUNGSFORMEN
  • Die Anfertigung und die Verwendung verschiedener Ausführungsformen werden unten ausführlich erörtert. Es sollte sich jedoch verstehen, dass die vorliegende Erfindung viele anwendbare Erfindungsgedanken bereitstellt, die in vielen verschiedenen spezifischen Kontexten ausgeführt werden können. Die erörterten spezifischen Ausführungsformen sollen spezifische Möglichkeiten zum Anfertigen und Verwenden der Erfindung lediglich veranschaulichen und beschränken nicht den Schutzbereich der Erfindung.
  • Eine strukturelle Ausführungsform eines Halbleiterbauelements wird unter Verwendung von 1 beschrieben. Weitere strukturelle Ausführungsformen des Halbleiterbauelements werden unter Verwendung der 26 beschrieben. Verschiedene Verfahren zum Herstellen des Halbleiterbauelements werden unter Verwendung der 7 und 8 beschrieben.
  • 1, welche die 1A1B beinhaltet, veranschaulicht ein Halbleiterbauelement nach einer Ausführungsform der Erfindung, wobei 1A eine Schnittdraufsicht veranschaulicht und wobei 1B eine Querschnittsansicht veranschaulicht.
  • Unter Bezugnahme auf 1A umfasst ein Halbleiterbauelement einen elektronischen Chip 10. In verschiedenen Ausführungsformen umfasst der elektronische Chip 10 möglicherweise ein beliebiges geeignetes Substratmaterial. In einer oder mehreren Ausführungsformen umfasst der elektronische Chip 10 möglicherweise ein Siliciumsubstrat. In alternativen Ausführungsformen umfasst der elektronische Chip 10 möglicherweise ein Halbleiter-auf-Isolator-Substrat. In einer weiteren alternativen Ausführungsform umfasst der elektronische Chip 10 möglicherweise andere Halbleitersubstrate wie Silicium-Kohlenstoff, Silicium-Germanium, Galliumnitrid, InSb, InP, Galliumarsenid und andere.
  • In verschiedenen Ausführungsformen umfasst der elektronische Chip 10 möglicherweise einen Halbleiterchip. Der elektronische Chip 10 umfasst möglicherweise auch beliebige andere Typen von Chips, zum Beispiel Kunststoff-, organische Bauelemente und andere. In verschiedenen Ausführungsformen umfasst der elektronische Chip 10 möglicherweise eine Funktionsschaltung und umfasst möglicherweise integrierte Schaltkreise. Der elektronische Chip 10 umfasst möglicherweise einen Logikchip, einen Speicherchip, einen Analog-Chip, einen Analog-Digital-Chip, Leistungsbauelemente und andere Schaltungstypen. In einer oder mehreren Ausführungsformen umfasst der elektronische Chip 10 möglicherweise mehrere Bauelemente wie mehrere Transistoren, die Feldeffekttransistoren, Bipolartransistoren, IGBTs, JFETs, Dioden und andere beinhalten.
  • In verschiedenen Ausführungsformen umfasst der elektronische Chip 10 mehrere Durchkontaktierungen 20. Wie in 1B veranschaulicht, erstrecken sich die mehreren Durchkontaktierungen 20 durch den elektronischen Chip 10. Die mehreren Durchkontaktierungen 20 umfassen in verschiedenen Ausführungsformen möglicherweise ein leitfähiges Material. Die mehreren Durchkontaktierungen 20 koppeln in einer oder mehreren Ausführungsformen möglicherweise eine hintere Fläche des elektronischen Chips 10 an eine vordere Fläche des elektronischen Chips 10. Alternativ werden die mehreren Durchkontaktierungen 20 in einigen Ausführungsformen möglicherweise verwendet, um angrenzende (gestapelte) Halbleiterbauelemente zu koppeln.
  • Wie in 1A weiter veranschaulicht, umfasst der elektronische Chip 10 eine Monitorstruktur 30. In verschiedenen Ausführungsformen umfasst die Monitorstruktur 30 möglicherweise ein den mehreren Durchkontaktierungen 20 ähnliches leitfähiges Material. In einer oder mehreren Ausführungsformen umfasst die Monitorstruktur 30 ein Teilkontaktloch, das sich von einer Fläche des Substrats her erstreckt, jedoch ohne sich zur anderen Fläche hin zu erstrecken.
  • Wie in 1B veranschaulicht, weist die Monitorstruktur 30 eine Tiefe auf, die geringer als die Dicke des elektronischen Chips 10 ist. In einer oder mehreren Ausführungsformen wird die Monitorstruktur 30 als Struktur zum Überwachen der Bildung der mehreren Durchkontaktierungen 20 während der Herstellung verwendet. Wie unten ausführlicher beschrieben wird, wird die Monitorstruktur 30 verwendet, um sicherzustellen, dass die Füllhöhe der mehreren Durchkontaktierungen 20 den Prozessparametern entspricht.
  • In einer oder mehreren Ausführungsformen kann die Zahl der Monitorstrukturen 30 relativ zur Zahl der Durchkontaktierungen 20 durchaus kleiner sein. In einer oder mehreren Ausführungsformen kann eine einzelne Monitorstruktur 30 verwendet werden, um mindestens zehn Durchkontaktierungen 20 zu überwachen. In einer weiteren Ausführungsform können mindestens fünfzig Durchkontaktierungen 20 eine einzelne Monitorstruktur 30 aufweisen. In noch einer weiteren Ausführungsform können mindestens hundert Durchkontaktierungen 20 eine gemeinsame Monitorstruktur 30 aufweisen.
  • Als weiteres Beispiel umfasst der elektronische Chip 10 in verschiedenen Ausführungsformen möglicherweise etwa 1 bis etwa 100.000 Durchkontaktierungen 20 und etwa 1 bis etwa 5.000 Monitorstrukturen 30. In verschiedenen Ausführungsformen kann das Verhältnis der Zahl der Monitorstrukturen 30 zur Zahl der Durchkontaktierungen 20 zwischen etwa 1:1 und etwa 1:100.000 schwanken.
  • Somit ist die Zahl der zum Überwachen einer großen Zahl von Durchkontaktierungen 20 benötigten Monitorstrukturen 30 in verschiedenen Ausführungsformen ziemlich minimal.
  • Vorteilhaft nehmen die Monitorstrukturen 30 eine minimale Fläche auf dem Halbleiterbauelement ein.
  • In einer oder mehreren Ausführungsformen ist die Monitorstruktur 30 eventuell an einen Massepotenzialknoten gekoppelt. In alternativen Ausführungsformen ist die Monitorstruktur 30 eventuell nicht an die im elektronischen Chip 10 angeordnete Funktionsschaltung gekoppelt. In einigen Ausführungsformen ist die Monitorstruktur 30 möglicherweise erdfrei.
  • 2, welche die 2A2C beinhaltet, veranschaulicht ein alternatives Halbleiterbauelement nach einer Ausführungsform der Erfindung, wobei 2A eine Schnittdraufsicht veranschaulicht und wobei die 2B und 2C eine Querschnittsansicht veranschaulichen.
  • In verschiedenen Ausführungsformen weist die Monitorstruktur möglicherweise unterschiedliche Formen und Größen auf. In einer oder mehreren Ausführungsformen sind die Form und die Größe der Monitorstruktur möglicherweise von der Form und der Größe der gebildeten Substratdurchkontaktierung abhängig. Wie in 2A veranschaulicht, umfasst der elektronische Chip 10 in einer Ausführungsform möglicherweise mehrere Durchkontaktierungen 20 und eine entsprechende Monitorstruktur 30. Ferner umfasst der elektronische Chip 10 möglicherweise eine zweite Substratdurchkontaktierung 21 und eine entsprechende zweite Monitorstruktur 31. Die zweite Monitorstruktur 31 weist möglicherweise eine andere Höhe oder eine andere Breite (kritische Abmessung) als die Monitorstruktur 30 auf. Dies wird in den 2B und 2C veranschaulicht. 2B veranschaulicht die Monitorstruktur 30 mit einer ersten Höhe H1, während 2C die zweite Monitorstruktur 31 mit einer zweiten Höhe H2 veranschaulicht.
  • 3, welche die 3A3C beinhaltet, veranschaulicht ein alternatives Halbleiterbauelement nach einer Ausführungsform der Erfindung, wobei 3A eine Schnittdraufsicht veranschaulicht und wobei 3B und 3C eine Querschnittsansicht veranschaulichen.
  • 3 veranschaulicht eine alternative Ausführungsform, in der die Form und die Größe der Monitorstruktur von der Dichte der Substratdurchkontaktierungen abhängig sind. Unter Bezugnahme auf 3A umfasst der elektronische Chip 10 möglicherweise einen ersten Bereich 1 und einen zweiten Bereich 2. Jedoch unterscheidet sich die Dichte der Durchkontaktierungen im ersten Bereich 1 von der Dichte der Durchkontaktierungen im zweiten Bereich 2. Zum Beispiel umfasst der erste Bereich 1 möglicherweise eine erste Menge von Durchkontaktierungen 20 mit einem ersten Abstand W1, während der zweite Bereich 2 möglicherweise eine zweite Menge von Substratdurchkontaktierungen 21 mit einem zweiten Abstand W2 umfasst. Wie veranschaulicht, unterscheidet sich der erste Abstand W1 vom zweiten Abstand W2. In einem Fall ist der zweite Abstand W2 kleiner als der erste Abstand W1.
  • Die Monitorstrukturen im ersten Bereich 1 unterscheiden sich möglicherweise von den Monitorstrukturen im zweiten Bereich 2. Wie in 3B veranschaulicht, weist die Monitorstruktur 30 möglicherweise eine erste kritische Abmessung CD1 auf, während die zweite Monitorstruktur 31 möglicherweise eine zweite kritische Abmessung CD2 aufweist. In verschiedenen Ausführungsformen unterscheidet sich die erste kritische Abmessung CD1 möglicherweise von der zweiten kritischen Abmessung CD2. In einer Ausführungsform ist die zweite kritische Abmessung CD2 aufgrund der höheren Dichte der Substratdurchkontaktierungen im zweiten Bereich 2 kleiner als die erste kritische Abmessung CD1.
  • Ähnlich weist die Monitorstruktur 30 möglicherweise eine erste Höhe H1 auf, die sich von der zweiten Höhe H2 der zweiten Monitorstruktur 31 unterscheidet. In einer Ausführungsform ist die zweite Höhe H2 aufgrund der höheren Dichte der Substratdurchkontaktierungen im zweiten Bereich 2 geringer als die erste Höhe H1.
  • Dementsprechend können die Form und die Größe der Monitorstrukturen abhängig von der Form, der Größe und der Dichte der Substratdurchkontaktierungen, die während der Herstellung überwacht werden, in verschiedenen Ausführungsformen variiert werden.
  • 4 veranschaulicht eine Querschnittsdraufsicht auf ein Halbleiterbauelement nach einer Ausführungsform der Erfindung.
  • In verschiedenen Ausführungsformen unterscheidet sich die Form der Monitorstrukturen möglicherweise von der Form der Durchkontaktierungen 20. Unter Bezugnahme auf 4 wird die Monitorstruktur 30 in einer oder mehreren Ausführungsformen möglicherweise als Graben gebildet, auch wenn die Substratdurchkontaktierungen 20 eine bestimmte Kreis- oder Ellipsenform umfassen. In anderen alternativen Ausführungsformen weist die Monitorstruktur 30 möglicherweise andere Formen auf, etwa trapezförmige, sechseckige, achteckige, T-förmige, H-förmige und andere.
  • 5, welche die 5A und 5B beinhaltet, veranschaulicht ein Halbleitergehäuse nach einer Ausführungsform der Erfindung, wobei 5A eine Schnittdraufsicht veranschaulicht und wobei 5B eine Querschnittsansicht veranschaulicht.
  • Die Ausführungsformen der Erfindung beinhalten auch Durchkontaktierungen, die in Halbleitergehäusen, zum Beispiel in einem Embedded-Wafer-Level-Prozess, gebildet werden. Unter Bezugnahme auf 5A beinhaltet das Halbleitergehäuse den darin angeordneten elektronischen Chip 10. Der elektronische Chip 10 kann in verschiedenen Ausführungsformen eine Halbleiterkomponente eines beliebigen Typs sein. In einer oder mehreren Ausführungsformen ist der elektronische Chip 10 möglicherweise ein Logikchip, ein Analog-Chip, ein Analog-Digital-Chip, ein Speicherchip und/oder eine diskrete Komponente.
  • In verschiedenen Ausführungsformen wird der elektronische Chip 10 möglicherweise unter Verwendung einer Kapselungstechnik eines beliebigen Typs gekapselt. Mehrere Durchkontaktierungen 20 sind angrenzend an den elektronischen Chip 10 innerhalb eines Verkappungsmaterials 15 angeordnet. Das Verkappungsmaterial 15 stellt für den elektronischen Chip 10 mechanische Halterung und hermetische Abdichtung bereit. In verschiedenen Ausführungsformen weist das Verkappungsmaterial 15 möglicherweise etwa die gleiche Dicke auf wie der elektronische Chip 10. Zum Beispiel ist über dem Verkappungsmaterial 15 und dem elektronischen Chip 10 möglicherweise eine dielektrische Schicht angeordnet und umfasst möglicherweise Umverteilungsleitungen und kann zum Koppeln an Kontakte verwendet werden.
  • Wie in 5A veranschaulicht, ist innerhalb des Verkappungsmaterials 15 eine Monitorstruktur 30 angeordnet. Wie in 5B ferner veranschaulicht, erstreckt sich die Monitorstruktur 30 nicht vollständig durch das Verkappungsmaterial 15 und ist deshalb keine funktionstüchtige Gehäusedurchkontaktierung. Die Monitorstruktur 30 kann ähnlich den in vorherigen Ausführungsformen beschriebenen Monitorstrukturen ausgestaltet sein. In verschiedenen Ausführungsformen ist die Monitorstruktur 30 möglicherweise mit keiner anderen Komponente verbunden. Mit anderen Worten, die Monitorstruktur 30 ist möglicherweise nicht an den elektronischen Chip 10 gekoppelt und erfüllt daneben, dass sie während der Herstellung der Durchkontaktierungen 20 als Monitor verwendet wird, eventuell keinen anderen Zweck.
  • In einer oder mehreren Ausführungsformen kann die Zahl der Monitorstrukturen 30 zur Zahl der Durchkontaktierungen 20 durchaus kleiner sein. In einer oder mehreren Ausführungsformen können mindestens zehn Durchkontaktierungen 20 eine einzelne Monitorstruktur 30 verwenden. In einer weiteren Ausführungsform können mindestens fünfzig Durchkontaktierungen 20 eine einzelne Monitorstruktur 30 aufweisen. In noch einer weiteren Ausführungsform können mindestens hundert Durchkontaktierungen 20 eine gemeinsame Monitorstruktur 30 aufweisen. Somit ist die Zahl der zum Überwachen einer großen Zahl von Durchkontaktierungen 20 benötigten Monitorstrukturen 30 in verschiedenen Ausführungsformen durchaus minimal. Vorteilhaft erfordern die Monitorstrukturen 30 eine minimale Fläche auf dem Halbleitergehäuse.
  • In einer oder mehreren Ausführungsformen ist die Monitorstruktur 30 eventuell nicht an eine Funktionsschaltung am elektronischen Chip 10 gekoppelt. In einigen Ausführungsformen ist die Monitorstruktur 30 möglicherweise an einen Massepotenzialknoten gekoppelt. In weiteren Ausführungsformen ist die Monitorstruktur 30 möglicherweise erdfrei.
  • 6, welche die 6A und 6B beinhaltet, veranschaulicht einen vergrößerten Querschnitt einer Durchkontaktierung und der Monitorstruktur nach Ausführungsformen der Erfindung.
  • Unter Bezugnahme auf 6A ist innerhalb eines elektronischen Chips 10 (alternativ im Fall eines Halbleitergehäuses innerhalb eines Verkappungsmaterials) eine Durchkontaktierung 20 angeordnet. Die Durchkontaktierung 20 umfasst in verschiedenen Ausführungsformen eine Auskleidung 25 und das Füllmaterial 70. Die Auskleidung 25 umfasst in verschiedenen Ausführungsformen möglicherweise mehrere Schichten. In einer oder mehreren Ausführungsformen umfasst die Auskleidung 25 möglicherweise eine dielektrische Auskleidung 40, eine Metallauskleidung 50 und die Saatschicht 60.
  • Wie in 6A veranschaulicht, sind die Durchkontaktierungen 20 möglicherweise durch eine dielektrische Auskleidung 40 vom elektronischen Chip 10 isoliert. Die dielektrische Auskleidung 40 wird als Abstandhalter an den Seitenwänden der die Durchkontaktierung 20 bildenden Öffnung gebildet. In verschiedenen Ausführungsformen ist die dielektrische Auskleidung 40 möglicherweise eine Oxid-, eine Nitrid-, eine Oxinitrid- oder eine low-k-Dielektrikumsschicht. In einigen Ausführungsformen umfasst die dielektrische Auskleidung 40 möglicherweise ein high-k-Dielektrikum. Die dielektrische Auskleidung 40 ist optional und wird in einigen Ausführungsformen eventuell nicht verwendet.
  • Eine Metallauskleidung 50 ist in verschiedenen Ausführungsformen über der dielektrischen Auskleidung 40 angeordnet. Die Metallauskleidung 50 dient in einigen Ausführungsformen als Metalldiffusionssperre. In einer Ausführungsform umfasst die Metallauskleidung 50 Ta, TaN, TiW, Ti, TiN, Ru, W, WN, WCN oder eine Kombination davon.
  • In verschiedenen Ausführungsformen weist die Metallauskleidung 50 möglicherweise eine Dicke von etwa 20 nm bis etwa 200 nm auf. In einer oder mehreren Ausführungsformen weist die Metallauskleidung 50 möglicherweise eine Dicke von etwa 50 nm bis etwa 150 nm auf. In einer oder mehreren Ausführungsformen weist die Metallauskleidung 50 möglicherweise eine Dicke von etwa 100 nm bis etwa 200 nm auf. In einer oder mehreren Ausführungsformen weist die Metallauskleidung 50 möglicherweise eine Dicke von etwa 100 nm auf.
  • Eine optionale Saatschicht 60 ist über der Metallauskleidung 50 angeordnet. Die Saatschicht 60 kann als Saat für die Auftragung des nachfolgenden Füllmaterials verwendet werden. Die Saatschicht 60 umfasst in einer Ausführungsform möglicherweise Kupfer. Die Saatschicht 60 weist in verschiedenen Ausführungsformen möglicherweise eine Dicke von etwa 30 nm bis etwa 3000 nm auf. Die Saatschicht 60 weist in einer oder mehreren Ausführungsformen möglicherweise eine Dicke von etwa 30 nm bis etwa 300 nm auf. In einer oder mehreren Ausführungsformen weist die Saatschicht 60 möglicherweise eine Dicke von etwa 200 nm bis etwa 400 nm auf. In einer oder mehreren Ausführungsformen weist die Saatschicht 60 möglicherweise eine Dicke von etwa 250 nm bis etwa 350 mit auf. In einer oder mehreren Ausführungsformen weist die Saatschicht 60 möglicherweise eine Dicke von etwa 300 nm auf.
  • Ein Füllmaterial 70 ist in verschiedenen Ausführungsformen über der Metallauskleidung 50 angeordnet. In einer oder mehreren Ausführungsformen ist das Füllmaterial 70 über der Saatschicht 60 angeordnet. In einer oder mehreren Ausführungsformen umfasst das Füllmaterial 70 möglicherweise Kupfer. In alternativen Ausführungsformen umfasst das Füllmaterial 70 möglicherweise Aluminium, Titan, Tantal, Silber, Gold, Nickel, Palladium, Zink, Kobalt, dotiertes Polysilicium, Titannitrid, Tantalnitrid, Wolframnitridsilizide und anderes.
  • Wie in 6A veranschaulicht, füllt das Füllmaterial 70 möglicherweise bis zu einer Dicke einer ersten Füllhöhe FH1. Ein Verhältnis der ersten Füllhöhe FH1 zur Gesamtdicke des elektronischen Chips 10 ist in verschiedenen Ausführungsformen möglicherweise etwa 1:2 bis etwa 1:10.
  • Wie in 6A weiter veranschaulicht, ist in verschiedenen Ausführungsformen innerhalb der Durchkontaktierung 20 möglicherweise ein Hohlraum 80 angeordnet. In einer oder mehreren Ausführungsformen wird der Hohlraum 80 möglicherweise durch nachfolgende dielektrische Schichten abgedichtet, die über dem Substrat des elektronischen Chips 10 gebildet werden. Alternativ umfasst der Hohlraum 80 in einigen Ausführungsformen möglicherweise später aufgetragene Dielektrika.
  • 6B veranschaulicht eine vergrößerte Querschnittsansicht der Monitorstruktur 30 nach Ausführungsformen der Erfindung.
  • Wie in 6B veranschaulicht, umfasst die Monitorstruktur 30 möglicherweise eine Auskleidung 25, die der Auskleidung 25 der Durchkontaktierung 20, wie in 6A beschrieben, ähnelt. Wie oben beschrieben, umfasst die Auskleidung 25 möglicherweise eine dielektrische Auskleidung 40, die Metallauskleidung 50 und eine Saatschicht 60.
  • Im Gegensatz zur in 6A veranschaulichten Durchkontaktierung 20 erstreckt sich die Monitorstruktur 30 teilweise in das Substrat des elektronischen Chips 10. Ferner füllt das Füllmaterial 70 mehr oder weniger die Öffnung der Monitorstruktur 30. In einer Ausführungsform ist eine obere Fläche des Füllmaterials 70 koplanar zur oberen Fläche des Substrats des elektronischen Chips 10. Jedoch befindet sich die obere Fläche des Füllmaterials 70 in alternativen Ausführungsformen möglicherweise über oder unter der oberen Fläche des Substrats des elektronischen Chips 10.
  • Die zweite Füllhöhe FH2 des Füllmaterials 70 in der Monitorstruktur 30 entspricht in einer oder mehreren Ausführungsformen möglicherweise ungefähr der ersten Füllhöhe FH1 des Füllmaterials 70 in der Durchkontaktierung 20. In alternativen Ausführungsformen unterscheidet sich die zweite Füllhöhe FH2 des Füllmaterials 70 in der Monitorstruktur 30 möglicherweise von der ersten Füllhöhe FH1 des Füllmaterials 70 in der Durchkontaktierung 20.
  • In verschiedenen Ausführungsformen ist ein Verhältnis der zweiten Füllhöhe FH2 des Füllmaterials 70 in der Monitorstruktur 30 zur ersten Füllhöhe FH1 des Füllmaterials 70 in der Durchkontaktierung 20 möglicherweise etwa 1:2 bis etwa 2:1. In verschiedenen Ausführungsformen ist ein Verhältnis der zweiten Füllhöhe FH2 des Füllmaterials 70 in der Monitorstruktur 30 zur ersten Füllhöhe FH1 des Füllmaterials 70 in der Durchkontaktierung 20 möglicherweise etwa eingereicht im Rahmen einer formellen Änderung, 1:1,5 bis etwa 1,5:1. In verschiedenen Ausführungsformen ist ein Verhältnis der zweiten Füllhöhe FH2 des Füllmaterials 70 in der Monitorstruktur 30 zur ersten Füllhöhe FH1 des Füllmaterials 70 in der Durchkontaktierung 20 möglicherweise etwa 1:1,2 bis etwa 1,2:1.
  • Die Ausführungsformen der Erfindung können auf eine beliebige Struktur angewendet werden, nicht nur auf Durchkontaktierungen. Zum Beispiel kann die Verwendung von Monitorstrukturen, die oben unter Verwendung der 16 beschrieben wurden, für eine beliebige Struktur mit dem hohen Seitenverhältnis (z. B. größer als etwa 10:1), die teilweise gefüllt ist, vorteilhaft sein. In verschiedenen Ausführungsformen zählen zu Beispielen für solche Strukturen möglicherweise vergrabene Bitleitungen, eine vergrabene Wortleitung, Grabenkondensatoren, tiefe Grabenstrukturen und andere.
  • 7, welche die 7A7I beinhaltet, veranschaulicht ein Halbleiterbauelement während verschiedener Phasen der Herstellung nach Ausführungsformen der Erfindung. 8 veranschaulicht Vorgänge während eines Teils der Prozessablaufherstellung nach Ausführungsformen der Erfindung.
  • 7A veranschaulicht ein Substrat 100 mit mehreren Mikroplättchen nach der gesamten Front-End- und Back-End-Fertigung. Front-End-Fertigung bezieht sich auf die Bildung aktiver Bauelementbereiche, während sich Back-End-Fertigung auf die Bildung von Metallisierungsschichten zum Herstellen von Zwischenverbindungen zwischen den verschiedenen Bauelementen des integrierten Schaltkreises bezieht. Mit anderen Worten, das Substrat 100 ist möglicherweise ein bearbeiteter Wafer mit mehreren Mikroplättchen, die eine darin gebildete Metallisierung beinhalten. Zum Beispiel umfasst das Substrat 100 in einer oder mehreren Ausführungsformen einen Wafer, der eine Gruppierung von Mikroplättchen auf einer Vorderseite aufweist.
  • In verschiedenen Ausführungsformen ist das Substrat 100 möglicherweise Siliciumlegierungen und Verbindungshalbleiter. Das Substrat 100 ist möglicherweise ein Wafer und kann in verschiedenen Ausführungsformen Epitaxialschichten beinhalten. In einer oder mehreren Ausführungsformen ist das Substrat 100 möglicherweise ein Wafer aus massivem Silicium oder ein Silicium-auf-Isolator-Wafer. In einigen Ausführungsformen ist das Substrat 100 möglicherweise ein III-V-Substrat mit Elementen aus Gruppe III und Gruppe V, oder das Substrat 100 ist möglicherweise ein II-VI-Substrat mit Elementen aus Gruppe II und Gruppe VI. In einer oder mehreren Ausführungsformen ist das Substrat 100 möglicherweise ein Silicium-auf-Saphir(SOS)-Substrat. In einer oder mehreren Ausführungsformen ist das Substrat 100 möglicherweise ein Germanium-auf-Isolator(GeOI)-Substrat. In einer oder mehreren Ausführungsformen beinhaltet das Substrat 100 möglicherweise ein oder mehrere Halbleitermaterialien wie Silicium, Silicium-Germanium, Silicium-Kohlenstoff, Germanium, Galliumarsenid, Indiumarsenid, Indiumarsenid, Galliumnitrid, Indiumgalliumarsenid oder Indiumantimonid.
  • Die mehreren Mikroplättchen umfassen möglicherweise unterschiedliche Mikroplättchentypen, einschließlich integrierter Schaltkreise oder diskreter Bauelemente. In einer oder mehreren Ausführungsformen umfassen die mehreren Mikroplättchen im Substrat 100 möglicherweise Logikchips, Speicherchips, Analog-Chips, Analog-Digital-Chips und Kombinationen davon, etwa als System-on-Chip. Die mehreren Mikroplättchen umfassen möglicherweise verschiedene Typen aktiver und passiver Bauelemente, etwa Dioden, Transistoren, Thyristoren, Kondensatoren, Induktoren, Widerstände, optoelektronische Bauelemente, Sensoren, mikroelektromechanische Systeme und andere. In einer alternativen Ausführungsform umfasst das Substrat 100 mehrere Mikroplättchen, wobei jedes Mikroplättchen ein diskretes Bauelement, etwa ein Einzeltransistor, ist. Zu Beispielen für diskrete Bauelemente zählen Leistungsbauelemente, vertikale Bauelemente (von oben nach unten fließender Strom) und andere.
  • In verschiedenen Ausführungsformen sind aktive Bereiche an der die aktiven Bereiche verbindenden Schaltung möglicherweise nahe einer ersten Seite 111 oder nahe einer zweiten Seite 112 angeordnet.
  • In verschiedenen Ausführungsformen ist das Substrat 100 möglicherweise ein rekonstituierter Wafer, der zum Beispiel mehrere in einem Verkappungsmaterial angeordnete Mikroplättchen umfasst. Somit werden die mit Bezug auf 7 beschriebenen Ausführungsformen verwendet, um die in den 16 veranschaulichten Halbleiterbauelemente/Halbleitergehäuse zu bilden.
  • Eine erste dielektrische Schicht 110 ist über dem Substrat 100 angeordnet. In verschiedenen Ausführungsformen umfasst die erste dielektrische Schicht 110 möglicherweise SiO2, Tetraethoxysilan (TEOS), Fluor-TEOS (FTEOS), dotiertes Glas (Borphosphorsilikatglas (BPSG), Phosphorsilikatglas (PSG), Borsilikatglas (BSG)), Organosilikatglas (OSG), Fluorsilikatglas (FSG), Spin On Glass (SOG), SiN, SiON oder low-k-Isoliermaterialien wie SiCOH.
  • Die erste Isolierschicht 110 umfasst in verschiedenen Ausführungsformen möglicherweise eine Dicke von etwa 5000 nm oder weniger. In einer Ausführungsform umfasst die erste Isolierschicht 110 eine Dicke von etwa 50 nm bis etwa 500 nm. In einer anderen Ausführungsform umfasst die erste Isolierschicht 110 eine Dicke von etwa 100 nm bis etwa 1000 nm. In einer anderen Ausführungsform umfasst die erste Isolierschicht 110 eine Dicke von etwa 500 nm bis etwa 2000 nm. In einer Ausführungsform umfasst die erste Isolierschicht 110 eine Dicke von etwa 1000 nm bis etwa 3000 nm. In einer Ausführungsform umfasst die erste Isolierschicht 110 eine Dicke von etwa 2000 nm.
  • Unter erneuter Bezugnahme auf 7A wird eine erste Resistschicht 120 aufgetragen und strukturiert, wodurch eine erste Öffnung 130 gebildet wird. Die Struktur für die erste Öffnung 130 umfasst einen breiteren Abschnitt der Substratdurchkontaktierung, die gebildet wird.
  • In verschiedenen Ausführungsformen umfasst die erste Resistschicht 120 möglicherweise eine einzelne Resistschicht oder ein mehrlagiges Resist wie eine doppellagige Resistschicht oder ein dreilagiges Resist. Zum Beispiel umfasst ein dreilagiger Resiststapel möglicherweise drei Schichten: eine dicke untere Resistschicht über der ersten Isolierschicht 110, eine mittlere Resistschicht über der unteren Resistschicht und eine obere Resistschicht über der mittleren Resistschicht. Zum Beispiel kann die obere Resistschicht ein strukturierbares Resist sein. Die mittlere Resistschicht ist zum Beispiel möglicherweise ein Material wie eine reflexmindernde Beschichtung (Anti-Reflective Coating, ARC) mit hohem Siliciumgehalt (Gehalt an Si BARC Si > 30 Gew.-%). Das Si-BARC-Material umfasst typischerweise eine Verbindung des Typs SiOxCy(Ny), auch wenn ein anderes geeignetes reflexminderndes Material verwendet werden kann, falls bestimmte Ätzselektivitätsanforderungen erfüllt werden. Die ARC wird verwendet, um reflektierte Strahlung von den darunterliegenden Schichten abzublocken. Aus der reflektierten Strahlung von diesen darunterliegenden Schichten resultieren möglicherweise zusätzliche Interferenzstrukturen und resultieren Linienbreitevariationen in der oberen Resistschicht, die der Strahlung exponiert ist. Die untere Resistschicht ist möglicherweise eine nachgehärtete organische dielektrische Schicht (Organic Dielectric Layer, ODL). Die obere Resistschicht dient als Maske zum Ätzen der mittleren Resistschicht, die neben ihrer Funktion als Reflexionsunterdrücker als Maskierungsmaterial zum Ätzen der vergleichsweise dickeren unteren Resistschicht dient. Eine obere ARC-Schicht wird über der oberen Schicht gebildet. Die Bildung der Resistschicht beinhaltet möglicherweise Härtungen oder Ausheilungen nach der Auftragung jeder Schicht.
  • Wie als Nächstes in 7B veranschaulicht, wird die erste Isolierschicht 110 unter Verwendung der ersten Resistschicht 120 strukturiert. Nach dem Strukturieren der ersten Isolierschicht 110 kann jegliche übrige erste Resistschicht 120, zum Beispiel unter Anwendung eines Ätzprozesses, entfernt werden. Dadurch wird in der ersten Isolierschicht 110 eine zweite Öffnung 140 gebildet. Die zweite Öffnung 140 weist möglicherweise eine erste kritische Abmessung W1 auf, wie in 7B veranschaulicht. In verschiedenen Ausführungsformen beträgt die erste kritische Abmessung W1 möglicherweise etwa 10 μm bis etwa 50 μm. In einer oder mehreren Ausführungsformen beträgt die erste kritische Abmessung W1 möglicherweise etwa 10 μm bis etwa 30 μm. In einer Ausführungsform beträgt die erste kritische Abmessung W1 möglicherweise etwa 10 μm.
  • Unter Bezugnahme auf 7C wird über der ersten Isolierschicht 110 eine Ätzstoppschicht 150 aufgetragen. In einer oder mehreren Ausführungsformen umfasst die erste Isolierschicht 110 ein anderes Material als die Ätzstoppschicht 150. In einer oder mehreren Ausführungsformen umfasst die Ätzstoppschicht 150 ein Nitrid oder ein Siliciumoxinitrid. In alternativen Ausführungsformen umfasst die Ätzstoppschicht 150 möglicherweise Titannitrid, Tantalnitrid und/oder Wolframnitrid. Die Ätzstoppschicht 150 kann unter Anwendung eines Aufdampfprozesses, etwa von chemischer Aufdampfung, Plasmaaufdampfung sowie anderen Typen von Auftragungsprozessen, aufgetragen werden.
  • Eine Hartmaskenschicht 160 wird über der Ätzstoppschicht 150 aufgetragen. Die Hartmaskenschicht 160 weist in verschiedenen Ausführungsformen möglicherweise eine Dicke von etwa 1000 nm bis etwa 5000 nm auf. In einer oder mehreren Ausführungsformen weist die Hartmaskenschicht 160 möglicherweise eine Dicke von etwa 1000 nm bis etwa 3000 nm auf. In einer oder mehreren Ausführungsformen weist die Hartmaskenschicht 160 möglicherweise eine Dicke von etwa 1500 nm bis etwa 2000 nm auf. Die Hartmaskenschicht 160 umfasst in verschiedenen Ausführungsformen möglicherweise eine anorganische dielektrische Schicht, etwa eine Siliciumoxidschicht. In einer alternativen Ausführungsform umfasst die Hartmaskenschicht 160 möglicherweise eine Imidschicht.
  • Die Hartmaskenschicht 160 kann in verschiedenen Ausführungsformen eine einzelne Schicht sein oder mehrere Schichten umfassen. Die Hartmaskenschicht 160 schützt die erste Isolierschicht 110 während des nachfolgenden Durch-Graben-Ätz-Prozesses. Das Material der Hartmaskenschicht 160 kann basierend auf der Selektivität der Ätzchemie des Durch-Graben-Ätz-Prozesses ausgewählt werden. Zum Beispiel kann in einer Ausführungsform ein hochdichtes Plasma mit einer Fluorchemie später verwendet werden, um die Durch-Substrat-Öffnung zu ätzen, und die Hartmaskenschicht 160 umfasst eine SiO2-Hartmaske.
  • Unter Bezugnahme auf 7D werden eine erste Hartmaskenöffnung 180 und die zweite Hartmaskenöffnung 190 gebildet. Die zweite Resistschicht 170 wird unter Verwendung herkömmlicher Lithografietechniken aufgetragen und strukturiert. Unter Nutzung der strukturierten zweiten Resistschicht 170 als Ätzmaske werden eine erste Hartmaskenöffnung 180 und die zweite Hartmaskenöffnung 190 in die Hartmaskenschicht 160 geätzt. Die Ätzung der zweiten Hartmaskenöffnung 190 wird nach Erreichen der Ätzstoppschicht 150, die sich über der strukturierten ersten Isolierschicht 110 befindet, beendet. Im Gegensatz dazu kann die Ätzung der ersten Hartmaskenöffnung 180 bis zur Ätzstoppschicht 150, die an die Fläche des Substrats 100 angrenzt, fortgesetzt werden.
  • Die exponierte Ätzstoppschicht 150 wird entfernt, sodass das Substrat 100 in der ersten Hartmaskenöffnung 180 und die erste Isolierschicht 110 in der zweiten Hartmaskenöffnung 190 exponiert werden.
  • Wie als Nächstes in 7E veranschaulicht, wird unter Verwendung der ersten Hartmaskenöffnung 180 und der zweiten Hartmaskenöffnung 190 das Substrat 100 geätzt, um eine erste Öffnung 210 für eine Durchkontaktierung und eine zweite Öffnung 220 für die Monitorstruktur zu bilden.
  • Die Ätzung der zweiten Öffnung 220 geht von der ersten Isolierschicht 110 aus, während die Ätzung der ersten Öffnung 210 von einer oberen Fläche des Substrats 100 ausgeht. In verschiedenen Ausführungsformen wird das Material der ersten Isolierschicht 110 ausgewählt, um langsamer zu ätzen als das Substrat 100. Mit anderen Worten, für ein vorgegebenes Material der ersten Isolierschicht 110 und des Substrats 100 wird ein Ätzprozess derart ausgewählt, dass die Ätzrate der ersten Isolierschicht 110 anders als diejenige des Substrats 100 ist. Somit wird die Ätzung der ersten Isolierschicht 110 so ausgewählt, dass sie langsamer als die Ätzung des Substrats 100 ist.
  • In einer Ausführungsform ist die Ätzselektivität zwischen der ersten Isolierschicht 110 und dem Substrat 100 möglicherweise etwa 1:20, sodass für jedes Mikrometer der ersten Isolierschicht 110, die geätzt wird, mindestens 20 Mikrometer des Substrats 10 geätzt werden. In einer oder mehreren Ausführungsformen ist die Ätzselektivität zwischen der ersten Isolierschicht 110 und dem Substrat 100 möglicherweise etwa 1:10 bis etwa 1:30. In einer oder mehreren Ausführungsformen ist die Ätzselektivität zwischen der ersten Isolierschicht 110 und dem Substrat 100 möglicherweise etwa 1:5 bis etwa 1:20.
  • In verschiedenen Ausführungsformen beträgt die Tiefe der ersten Öffnung 210 möglicherweise etwa 50 μm bis etwa 100 μm. In einer oder mehreren Ausführungsformen beträgt die Tiefe der ersten Öffnung 210 möglicherweise etwa 20 μm bis etwa 200 μm. In einer oder mehreren Ausführungsformen beträgt die Tiefe der ersten Öffnung 210 möglicherweise etwa 20 μm bis etwa 80 μm. In verschiedenen Ausführungsformen beträgt die Tiefe der zweiten Öffnung 220 möglicherweise etwa 10 μm bis etwa 30 μm. In verschiedenen Ausführungsformen beträgt die Tiefe der zweiten Öffnung 220 möglicherweise etwa 5 μm bis etwa 50 μm. In verschiedenen Ausführungsformen beträgt die Tiefe der zweiten Öffnung 220 möglicherweise etwa 20 μm bis etwa 30 μm.
  • In verschiedenen Ausführungsformen ist ein Verhältnis der Tiefe der ersten Öffnung 210 zur Tiefe der zweiten Öffnung 220 etwa 3:1. In verschiedenen Ausführungsformen ist ein Verhältnis der Tiefe der ersten Öffnung 210 zur Tiefe der zweiten Öffnung 220 etwa 2:1 bis etwa 4:1. In verschiedenen Ausführungsformen ist ein Verhältnis der Tiefe der ersten Öffnung 210 zur Tiefe der zweiten Öffnung 220 etwa 2:1 bis etwa 10:1.
  • In verschiedenen Ausführungsformen wird die Ätzung des Substrats 100 möglicherweise unter Anwendung eines Bosch-Prozesses oder durch Anwendung einer vertikalen reaktiven Ionenätzung durchgeführt. Beim Bosch-Prozess werden die Ätzung und die Auftragung alternativ durchgeführt und können mehrmals wiederholt werden. Bei einem ersten Schritt wird eine Plasmaätzung angewendet, um eine Öffnung vertikal zu ätzen, während bei einem zweiten Schritt eine Passivierungsschicht aufgetragen wird, um eine Verbreiterung der Öffnung in bereits geätzten Bereichen zu verhindern. Die Plasmaätzung ist für vertikales Ätzen vorgesehen, z. B. unter Verwendung von Schwefelhexafluorid [SF6] im Plasma. Die Passivierungsschicht wird zum Beispiel unter Verwendung von Octafluorcyclobutan als Quellgas aufgetragen. Jeder individuelle Schritt kann für ein paar Sekunden oder kürzer eingestellt werden. Die Passivierungsschicht schützt das Substrat 100, um laterales Ätzen zu verhindern. Jedoch entfernen die gerichteten Ionen, mit denen das Substrat 100 beschossen wird, während der Plasmaätzungsphase die Passivierungsschicht unten in der gebildeten Öffnung (jedoch nicht entlang den Seiten) und die Ätzung wird fortgesetzt. Der Bosch-Prozess kann Seitenwände erzeugen, die ausgezackt sind.
  • Unter Bezugnahme auf 7F werden die übrige Hartmaskenschicht 160 und die Ätzstoppschicht 150 entfernt. In verschiedenen Ausführungsformen können die Hartmaskenschicht 160 und die Ätzstoppschicht 150 unter Anwendung eines Ätzprozesses wie Nassätzen entfernt werden.
  • Unter Bezugnahme auf 7G wird über der ersten Öffnung 210 und der zweiten öffnung 220 eine Auskleidung 25 gebildet.
  • In verschiedenen Ausführungsformen wird die Auskleidung 25 aus mehreren Schichten gebildet. In einer oder mehreren Ausführungsformen umfasst die Auskleidung 25 möglicherweise eine dielektrische Schicht, eine Sperrschicht und die Saatschicht. Die Sperrschicht kann verwendet werden, um das darunterliegende Substrat 100 vor dem innerhalb der ersten Öffnung 210 und der zweiten Öffnung 220 aufzutragenden Füllmaterial 70 zu schützen. Die dielektrische Schicht und die Saatschicht sind optional und werden in einigen Ausführungsformen eventuell nicht verwendet.
  • In verschiedenen Ausführungsformen umfasst die Auskleidung 25 Schichten aus Tantalnitrid und Tantal. In einigen Ausführungsformen umfasst die Auskleidung 25 Wolfram und äußere Auskleidungen aus Titan und Titannitrid oder andere Metallauskleidungen oder Auskleidungskombinationen. In einer Ausführungsform umfasst die Auskleidung 25 einen TiW/Cu-Schichtstapel oder einen Ti/Cu/Ni-Schichtstapel. In verschiedenen Ausführungsformen umfasst die Auskleidung 25 Ti, TiN, Ta, TaN, Cu, Ni, Cr, Cr/Cu, Ni/V, Ti/Cu, TiW, Au, Ni/Au, Ni/Pd/Au, W, WN, WCN und Kombinationen davon. In verschiedenen Ausführungsformen wird die Auskleidung 25 möglicherweise unter Anwendung von Aufsputtern, Aufdampfen, Bedrucken und anderer geeigneter Techniken aufgetragen.
  • Unter Bezugnahme auf 7G wird in die erste Öffnung 210 und die zweite Öffnung 220 ein Füllmaterial 70 gebildet.
  • In einer oder mehreren Ausführungsformen umfasst das Füllmaterial 70 Kupfer. In einer anderen Ausführungsform umfasst das Füllmaterial 70 Wolfram. Falls das Füllmaterial 70 Wolfram umfasst, kann eine zweilagige Saatschicht, die CVD-Titannitrid und siliciumdotiertes Wolfram umfasst, verwendet werden. Ähnlich können in einigen Ausführungsformen dotiertes Polysilicium, Silber, Gold und/oder Aluminium innerhalb der ersten Öffnung 210 aufgetragen werden, um die Substrat-Durchkontaktierung 20 zu bilden.
  • Das Füllmaterial 70 kann in einer Ausführungsform unter Anwendung eines elektrochemischen Auftragungsprozesses aufgetragen werden. In einer alternativen Ausführungsform kann das Füllmaterial 70 unter Anwendung eines stromlosen Auftragungsprozesses aufgetragen werden. In noch anderen Ausführungsformen kann das Füllmaterial 70 unter Anwendung anderer Auftragungsprozesse wie Aufdampfen, Sputtern etc. aufgetragen werden.
  • In verschiedenen Ausführungsformen füllt das Füllmaterial 70 die erste Öffnung 210 teilweise. Eine Teilfüllung ist vorteilhaft, da sie weniger Zeit für die Auftragung (Elektroplattieren) erfordert und die Spannung während der nachfolgenden Bearbeitung verringert.
  • Jedoch ist es schwierig, das Ausmaß der Füllung, d. h. die erste Füllhöhe FH1 des Füllmaterials 70 in der ersten Öffnung 210, zu überwachen. Im Gegensatz dazu ist es einfacher, die zweite Füllhöhe FH2 des Füllmaterials 70 in der zweiten Öffnung 220 zu überwachen. Somit kann durch Überwachen der Rate des Füllens der zweiten Öffnung 220 dazu beigetragen werden, die Rate des Füllens der ersten Öffnung 210 zu bestimmen. Jedoch kann zwischen der Rate des Füllens der ersten Öffnung 210 und der Rate des Füllens der zweiten Öffnung 220 irgendeine Differenz bestehen. Diese Differenz lässt sich jedoch periodisch bestimmen, zum Beispiel mittels Testläufen, und kann deshalb ausgeglichen werden.
  • In verschiedenen Ausführungsformen kann die zweite Füllhöhe FH2 des Füllmaterials 70 in der zweiten Öffnung 220 unter Verwendung mechanischer Kontaktmesssensoren überwacht werden. Zum Beispiel kann ein stiftbasiertes Oberflächenmessgerät verwendet werden, um Stufenhöhen des Füllmaterials 70 während des Füllprozesses zu messen. Der Stift kann in Kontakt mit dem Füllmaterial 70 platziert und vorsichtig entlang der Fläche des Füllmaterials 70 gezogen werden. Die Vertikalablenkung des Stifts kann verwendet werden, um die Stufenhöhenänderung zu messen. In einer Ausführungsform kann unter Verwendung eines solchen Hilfsmittels die Stufenhöhe Y2 der oberen Fläche des Füllmaterials 70 in der zweiten Öffnung 220 gemessen werden. In verschiedenen Ausführungsformen weist das Füllmaterial 70 in der zweiten Öffnung 220 möglicherweise eine Stufenhöhe auf, d. h. weist möglicherweise eine obere Fläche auf, die zu einer oberen Fläche des Substrats 100 nicht koplanar ist. In verschiedenen Ausführungsformen werden möglicherweise andere Typen von Messwerkzeugen verwendet.
  • Wie in 7H veranschaulicht, weist das Füllmaterial 70 in einer Ausführungsform in der zweiten Öffnung 220 möglicherweise eine negative Stufenhöhe auf, sodass die obere Fläche des Füllmaterials 70 in der zweiten Öffnung 220 sich unter der oberen Fläche der angrenzenden Auskleidung 25 und/oder der ersten Isolierschicht 110 befindet.
  • Als Nächstes kann in einer Ausführungsform unter Verwendung eines anderen Hilfsmittels, etwa einer Ellipsometrie, die Tiefe der zweiten Öffnung 220 X2 gemessen werden. Die Ellipsometrie wird in einigen Ausführungsformen möglicherweise bei einem früheren Prozessschritt durchgeführt. Die Differenz zwischen der Tiefe der zweiten Öffnung 220 X2 und der Stufenhöhe Y2 stellt die zweite Füllhöhe H2 bereit.
  • In verschiedenen Ausführungsformen kann während des Füllens der ersten Öffnung 210 und der zweiten Öffnung 220 die zweite Füllhöhe FH2 kontinuierlich überwacht werden (8, Felder 310 und 320). Falls die zweite Füllhöhe FH2 zufriedenstellend ist, d. h. innerhalb eines Sollbereichs liegt, ist der Füllprozess abgeschlossen. Demgegenüber, falls die zweite Füllhöhe FH2 niedriger als eine Sollfüllhöhe ist, kann die Auftragung des Füllmaterials 70 z. B. für längere Zeit fortgesetzt werden. In einem anderen Fall, falls die zweite Füllhöhe FH2 höher als eine Sollfüllhöhe ist, kann die Auftragung des Füllmaterials 70 sofort beendet werden. Ferner können diese Informationen in das Prozesshilfsmittel eingegeben werden, damit nachfolgende Wafer im Satz durch Abzielen auf eine niedrigere Füllhöhe bearbeitet werden können, z. B. durch Verkürzen der Auftragungszeit (8, Feld 330).
  • Unter Bezugnahme auf 7I als Nächstes wird das Füllmaterial 70 somit von der ersten Öffnung 210 und der zweiten Öffnung 220 her gebildet, um eine Durchkontaktierung 20 bzw. die Monitorstruktur 30 zu bilden.
  • Somit ermöglichen die Ausführungsformen der vorliegenden Erfindung eine unmittelbare Überwachung des Füllens der Durchkontaktierungen 20. In verschiedenen Ausführungsformen kann die nachfolgende Fertigung wie bei der herkömmlichen Halbleiterfertigung fortgesetzt werden.
  • Wie in verschiedenen Ausführungsformen beschrieben, ist ein Material, das ein Metall umfasst, zum Beispiel möglicherweise ein Reinmetall, eine Metalllegierung, eine Metallverbindung, eine intermetallische Verbindung und andere, d. h. ein beliebiges Material, das Metallatome beinhaltet. Zum Beispiel ist Kupfer möglicherweise ein Reinkupfer oder ein beliebiges Material, das Kupfer beinhaltet, wie unter anderem eine Kupferlegierung, eine Kupferverbindung, eine intermetallische Kupferverbindung, ein Isolator, der Kupfer umfasst, und ein Halbleiter, der Kupfer umfasst.
  • Wenngleich diese Erfindung mit Bezug auf veranschaulichende Ausführungsformen beschrieben wurde, sollte diese Beschreibung nicht einschränkend ausgelegt werden. Der Fachmann erkennt bei der Bezugnahme auf die Beschreibung verschiedene Modifikationen und Kombinationen der veranschaulichenden Ausführungsformen sowie andere Ausführungsformen der Erfindung. Zur Veranschaulichung können die in 7 beschriebenen Ausführungsformen mit den in den 16 beschriebenen Ausführungsformen kombiniert werden. Es ist daher vorgesehen, dass die beigefügten Ansprüche jegliche solchen Modifikationen oder Ausführungsformen einschließen.
  • Auch wenn die vorliegende Erfindung und ihre Vorteile ausführlich beschrieben wurden, sollte es sich verstehen, dass verschiedene Änderungen, Ersetzungen und Abwandlungen hierin vorgenommen werden können, ohne vom Gedanken und vom Schutzbereich der Erfindung, wie von den beigefügten Ansprüchen definiert, abzuweichen. Zum Beispiel versteht der Fachmann ohne Weiteres, dass viele der Merkmale, der Funktionen, der Prozesse und der Materialien, die hierin beschrieben werden, variiert werden können und trotzdem immer noch in den Schutzbereich der vorliegenden Erfindung fallen.
  • Des Weiteren soll der Schutzbereich der vorliegenden Anmeldung nicht beschränkt sein auf die konkreten Ausführungsformen des Prozesses, der Maschine, der Fertigung, der Materialzusammensetzung, der Mittel, der Verfahren und der Schritte, die in der Patentschrift beschrieben werden. Wie der Durchschnittsfachmann anhand der Offenbarung der vorliegenden Erfindung ohne Weiteres erkennt, können Prozesse, Maschinen, Fertigungsweisen, Materialzusammensetzungen, Mittel, Verfahren oder Schritte, die es bereits gibt oder später noch entwickelt werden, welche im Wesentlichen dieselbe Funktion erfüllen oder im Wesentlichen dasselbe Ergebnis erzielen wie die hierin beschriebenen entsprechenden Ausführungsformen, nach der vorliegenden Erfindung eingesetzt werden. Dementsprechend ist vorgesehen, dass der Schutzumfang der beigefügten Ansprüche solche Prozesse, Maschinen, Fertigungsweisen, Materialzusammensetzungen, Mittel, Verfahren oder Schritte beinhaltet.

Claims (35)

  1. Verfahren zum Bilden eines elektronischen Bauelements, wobei das Verfahren Folgendes umfasst: Bilden einer ersten Öffnung und einer zweiten Öffnung in einem Werkstück, wobei die erste Öffnung tiefer als die zweite Öffnung ist; und Bilden eines Füllmaterials innerhalb der ersten Öffnung, um einen Teil einer Durchkontaktierung zu bilden, und Bilden des Füllmaterials innerhalb der zweiten Öffnung.
  2. Verfahren nach Anspruch 1, wobei die zweite Öffnung in einem Halbleiterbereich des Werkstücks angeordnet ist.
  3. Verfahren nach Anspruch 1 oder 2, wobei das Werkstück eine Halbleiterscheibe umfasst.
  4. Verfahren nach einem der Ansprüche 1 bis 3, wobei das Werkstück einen rekonstituierten Wafer umfasst, der mehrere Mikroplättchen in einem Verkappungsmaterial umfasst, und wobei Bilden einer ersten Öffnung Bilden der ersten Öffnung im Verkappungsmaterial umfasst.
  5. Verfahren nach einem der Ansprüche 1 bis 4, wobei die zweite Öffnung, die das Füllmaterial umfasst, zum Überwachen des Bildens der Durchkontaktierung verwendet wird.
  6. Verfahren nach einem der Ansprüche 1 bis 5, wobei das Füllmaterial in der ersten Öffnung und der zweiten Öffnung unter Anwendung eines gemeinsamen Prozesses gebildet wird.
  7. Verfahren nach einem der Ansprüche 1 bis 6, wobei das Füllmaterial in der ersten Öffnung und der zweiten Öffnung gleichzeitig gebildet wird.
  8. Verfahren nach einem der Ansprüche 1 bis 7, das ferner Messen einer Füllhöhe des Füllmaterials in der zweiten Öffnung umfasst.
  9. Verfahren nach Anspruch 8, das ferner Ändern einer Zeit zum Bilden des Füllmaterials in der ersten Öffnung basierend auf der Messung umfasst.
  10. Verfahren nach Anspruch 8 oder 9, das ferner Folgendes umfasst: Bereitstellen eines zweiten Werkstücks; und Bilden eines Füllmaterials innerhalb einer Öffnung im zweiten Werkstück basierend auf der Messung.
  11. Verfahren nach einem der Ansprüche 1 bis 10, das ferner Dünnen des Werkstücks umfasst.
  12. Verfahren zum Bilden eines Halbleiterbauelements, wobei das Verfahren Folgendes umfasst: Bilden einer ersten Öffnung für eine Durchkontaktierung in einem ersten Werkstück und einer zweiten Öffnung für eine Monitorstruktur, wobei die erste Öffnung tiefer als die zweite Öffnung ist; Auftragen eines Füllmaterials innerhalb der zweiten Öffnung bis zu einer Fülltiefe; und Verwenden einer Messung der Fülltiefe innerhalb der zweiten Öffnung, Auftragen des Füllmaterials innerhalb der ersten Öffnung.
  13. Verfahren nach Anspruch 12, wobei die erste Öffnung nach dem Auftragen des Füllmaterials innerhalb der ersten Öffnung durch das Füllmaterial teilweise gefüllt ist.
  14. Verfahren nach Anspruch 12 oder 13, wobei die zweite Öffnung im ersten Werkstück gebildet wird.
  15. Verfahren nach Anspruch 14, wobei das Füllmaterial innerhalb der ersten Öffnung und der zweiten Öffnung bei einem gemeinsamen Prozessschritt aufgetragen wird, und wobei eine Auftragung des Füllmaterials innerhalb der ersten Öffnung basierend auf der Messung beendet wird.
  16. Verfahren nach einem der Ansprüche 12 bis 15, wobei die zweite Öffnung in einem zweiten Werkstück gebildet wird.
  17. Verfahren nach einem der Ansprüche 12 bis 16, wobei das erste Werkstück einen rekonstituierten Wafer umfasst, der mehrere Mikroplättchen in einem Verkappungsmaterial umfasst, und wobei Bilden einer ersten Öffnung Bilden der ersten Öffnung im Verkappungsmaterial umfasst.
  18. Verfahren nach einem der Ansprüche 12 bis 17, wobei das erste Werkstück eine Halbleiterscheibe umfasst, und wobei Bilden einer ersten Öffnung Bilden der ersten Öffnung in der Halbleiterscheibe umfasst.
  19. Elektronisches Bauelement, das Folgendes umfasst: eine funktionstüchtige leitfähige Struktur, die in einem Werkstück angeordnet ist; und eine nicht funktionstüchtige Monitorstruktur, die im Werkstück angeordnet ist, wobei die Monitorstruktur zur Verwendung beim Überwachen der Herstellung der funktionstüchtigen leitfähigen Struktur ausgebildet ist.
  20. Elektronisches Bauelement nach Anspruch 19, wobei die funktionstüchtige leitfähige Struktur eine im Werkstück angeordnete Durchkontaktierung ist.
  21. Elektronisches Bauelement nach Anspruch 20, wobei eine kritische Abmessung der funktionstüchtigen leitfähigen Struktur ungefähr einer kritischen Abmessung der Monitorstruktur entspricht.
  22. Elektronisches Bauelement nach Anspruch 20 oder 21, wobei die funktionstüchtige leitfähige Struktur ein Füllmaterial mit einer Füllhöhe umfasst, die geringer als eine Höhe der funktionstüchtigen leitfähigen Struktur ist.
  23. Elektronisches Bauelement nach Anspruch 22, wobei ein Verhältnis der Füllhöhe der funktionstüchtigen leitfähigen Struktur zu einer Höhe der Monitorstruktur etwa 10:1 bis etwa 2:1 ist.
  24. Elektronisches Bauelement nach einem der Ansprüche 19 bis 23, das ferner mehrere im Werkstück angeordnete Durchkontaktierungen umfasst, wobei die Monitorstruktur ausgebildet ist, um zum Überwachen der Herstellung der mehreren Durchkontaktierungen verwendet zu werden.
  25. Elektronisches Bauelement nach Anspruch 24, wobei das Werkstück mindestens eine Monitorstruktur für mindestens hundert der mehreren Durchkontaktierungen umfasst.
  26. Elektronisches Bauelement nach einem der Ansprüche 19 bis 25, wobei das Werkstück ein massives Halbleitersubstrat umfasst.
  27. Elektronisches Bauelement nach einem der Ansprüche 19 bis 26, wobei das Werkstück ein Halbleiter-auf-Isolator-Substrat umfasst.
  28. Bauelement, das Folgendes umfasst: einen elektronischen Chip; ein Verkappungsmaterial nahe dem elektronischen Chip; und eine im Verkappungsmaterial angeordnete leitfähige Struktur, wobei die leitfähige Struktur nicht an den elektronischen Chip gekoppelt ist, und wobei das Verkappungsmaterial etwa eine gleiche Dicke wie der elektronische Chip aufweist.
  29. Bauelement nach Anspruch 28, wobei die leitfähige Struktur ausgebildet ist, um zum Überwachen der Herstellung eines Kontaktlochs verwendet zu werden.
  30. Bauelement nach Anspruch 28 oder 29, das ferner ein im Verkappungsmaterial angeordnetes Kontaktloch umfasst.
  31. Bauelement nach Anspruch 30, wobei das Kontaktloch eine Durchkontaktierung ist.
  32. Bauelement nach Anspruch 30 oder 31, wobei eine kritische Abmessung des Kontaktlochs ungefähr einer kritischen Abmessung der leitfähigen Struktur entspricht.
  33. Bauelement nach einem der Ansprüche 30 bis 32, wobei das Kontaktloch bis zu einer Füllhöhe teilweise gefüllt ist.
  34. Bauelement nach Anspruch 33, wobei ein Verhältnis der Füllhöhe des Kontaktlochs zu einer Höhe der leitfähigen Struktur etwa 10:1 bis etwa 2:1 ist.
  35. Bauelement nach einem der Ansprüche 30 bis 34, das ferner mehrere im Verkappungsmaterial angeordnete Durchkontaktierungen umfasst, wobei das Verkappungsmaterial mindestens eine leitfähige Struktur für mindestens hundert der mehreren Durchkontaktierungen umfasst.
DE102013211553A 2012-06-22 2013-06-19 Monitorstrukturen und verfahren zu ihrer bildung Withdrawn DE102013211553A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/531,129 US9147610B2 (en) 2012-06-22 2012-06-22 Monitor structures and methods of formation thereof
US13/531,129 2012-06-22

Publications (1)

Publication Number Publication Date
DE102013211553A1 true DE102013211553A1 (de) 2013-12-24

Family

ID=49713883

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102013211553A Withdrawn DE102013211553A1 (de) 2012-06-22 2013-06-19 Monitorstrukturen und verfahren zu ihrer bildung

Country Status (4)

Country Link
US (3) US9147610B2 (de)
KR (2) KR101556036B1 (de)
CN (2) CN103515310B (de)
DE (1) DE102013211553A1 (de)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5685762B2 (ja) * 2011-03-07 2015-03-18 みずほ情報総研株式会社 プラズマ加工形状シミュレーション装置及びプログラム
US9147610B2 (en) * 2012-06-22 2015-09-29 Infineon Technologies Ag Monitor structures and methods of formation thereof
US9997443B2 (en) * 2013-02-25 2018-06-12 Infineon Technologies Ag Through vias and methods of formation thereof
TWI548030B (zh) * 2014-04-15 2016-09-01 矽品精密工業股份有限公司 導電盲孔結構及其製法
US9595493B2 (en) * 2015-08-10 2017-03-14 Globalfoundries Inc. Reducing liner corrosion during metallization of semiconductor devices
US9589897B1 (en) 2015-08-18 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Trench liner for removing impurities in a non-copper trench
US9761509B2 (en) * 2015-12-29 2017-09-12 United Microelectronics Corp. Semiconductor device with throgh-substrate via and method for fabrication the semiconductor device
CN107068611A (zh) * 2016-12-23 2017-08-18 苏州能讯高能半导体有限公司 半导体芯片、半导体晶圆及半导体晶圆的制造方法
CN108538838B (zh) * 2017-03-01 2019-11-26 联华电子股份有限公司 制作半导体元件的方法
WO2020060837A1 (en) * 2018-09-20 2020-03-26 Applied Materials, Inc. Systems and methods for improving within die co-planarity uniformity
TWI737523B (zh) * 2020-10-26 2021-08-21 力晶積成電子製造股份有限公司 矽穿孔結構及其製作方法

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4494697A (en) 1983-01-11 1985-01-22 Geberth John Daniel Jun Spray control valve
US5494697A (en) 1993-11-15 1996-02-27 At&T Corp. Process for fabricating a device using an ellipsometric technique
JP3457123B2 (ja) * 1995-12-07 2003-10-14 株式会社リコー 半導体装置
US5702956A (en) 1996-08-26 1997-12-30 Taiwan Semiconductor Manufactoring, Company Ltd Test site and a method of monitoring via etch depths for semiconductor devices
US5900644A (en) 1997-07-14 1999-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Test site and a method of monitoring via etch depths for semiconductor devices
US6284622B1 (en) * 1999-10-25 2001-09-04 Advanced Micro Devices, Inc. Method for filling trenches
JP3485081B2 (ja) * 1999-10-28 2004-01-13 株式会社デンソー 半導体基板の製造方法
US6808944B1 (en) * 2000-07-24 2004-10-26 Cypress Semiconductor Corporation Structure and method for monitoring a semiconductor process, and method of making such a structure
US6589594B1 (en) * 2000-08-31 2003-07-08 Micron Technology, Inc. Method for filling a wafer through-via with a conductive material
US6441396B1 (en) * 2000-10-24 2002-08-27 International Business Machines Corporation In-line electrical monitor for measuring mechanical stress at the device level on a semiconductor wafer
JP2003100832A (ja) 2001-09-25 2003-04-04 Mitsubishi Electric Corp 半導体装置の検査方法およびプログラム
US6684172B1 (en) * 2001-12-27 2004-01-27 Advanced Micro Devices, Inc. Sensor to predict void free films using various grating structures and characterize fill performance
US7038224B2 (en) 2002-07-30 2006-05-02 Applied Materials, Israel, Ltd. Contact opening metrology
US7361533B1 (en) * 2002-11-08 2008-04-22 Amkor Technology, Inc. Stacked embedded leadframe
US6905914B1 (en) * 2002-11-08 2005-06-14 Amkor Technology, Inc. Wafer level package and fabrication method
US6821865B2 (en) * 2002-12-30 2004-11-23 Infineon Technologies Ag Deep isolation trenches
SG137651A1 (en) 2003-03-14 2007-12-28 Micron Technology Inc Microelectronic devices and methods for packaging microelectronic devices
US20050170661A1 (en) * 2004-02-04 2005-08-04 International Business Machines Corporation Method of forming a trench structure
US6989317B1 (en) * 2004-10-22 2006-01-24 International Business Machines Corporation Trench formation in semiconductor integrated circuits (ICs)
US7727363B2 (en) * 2005-02-02 2010-06-01 Ut-Battelle, Llc Microfluidic device and methods for focusing fluid streams using electroosmotically induced pressures
US7572681B1 (en) * 2005-12-08 2009-08-11 Amkor Technology, Inc. Embedded electronic component package
TW200733842A (en) * 2005-12-16 2007-09-01 Ibiden Co Ltd Multilayer printed wiring board and method for producing the same
US7619901B2 (en) * 2007-06-25 2009-11-17 Epic Technologies, Inc. Integrated structures and fabrication methods thereof implementing a cell phone or other electronic system
JP5313474B2 (ja) * 2007-09-28 2013-10-09 スパンション エルエルシー 半導体装置およびその製造方法
US7772123B2 (en) 2008-06-06 2010-08-10 Infineon Technologies Ag Through substrate via semiconductor components
US8021907B2 (en) * 2008-06-09 2011-09-20 Stats Chippac, Ltd. Method and apparatus for thermally enhanced semiconductor package
US7936052B2 (en) 2008-09-30 2011-05-03 Infineon Technologies Ag On-chip RF shields with backside redistribution lines
US8178953B2 (en) 2008-09-30 2012-05-15 Infineon Technologies Ag On-chip RF shields with front side redistribution lines
US7838337B2 (en) 2008-12-01 2010-11-23 Stats Chippac, Ltd. Semiconductor device and method of forming an interposer package with through silicon vias
US8097964B2 (en) 2008-12-29 2012-01-17 Texas Instruments Incorporated IC having TSV arrays with reduced TSV induced stress
US10181454B2 (en) 2010-03-03 2019-01-15 Ati Technologies Ulc Dummy TSV to improve process uniformity and heat dissipation
JP5728187B2 (ja) 2010-09-17 2015-06-03 ラピスセミコンダクタ株式会社 半導体装置の製造方法
US8492241B2 (en) * 2010-10-14 2013-07-23 International Business Machines Corporation Method for simultaneously forming a through silicon via and a deep trench structure
KR20120103025A (ko) 2011-03-09 2012-09-19 삼성전자주식회사 식각 모니터링 테그 및 이를 이용한 반도체 소자의 제조 방법
GB201105953D0 (en) * 2011-04-07 2011-05-18 Metryx Ltd Measurement apparatus and method
CN102915999B (zh) * 2011-08-03 2016-08-03 无锡华润上华半导体有限公司 沟槽多晶硅过腐蚀台阶测试图形及其形成方法
CN103094067B (zh) * 2011-10-31 2015-10-14 上海华虹宏力半导体制造有限公司 一种半导体器件的制造方法
US9147610B2 (en) * 2012-06-22 2015-09-29 Infineon Technologies Ag Monitor structures and methods of formation thereof
US9362191B2 (en) * 2013-08-29 2016-06-07 Infineon Technologies Austria Ag Encapsulated semiconductor device
US9543219B2 (en) * 2014-12-02 2017-01-10 Globalfoundries Inc. Void monitoring device for measurement of wafer temperature variations

Also Published As

Publication number Publication date
US10014230B2 (en) 2018-07-03
CN103515310B (zh) 2016-12-28
CN104979311A (zh) 2015-10-14
US20150364402A1 (en) 2015-12-17
KR20140088056A (ko) 2014-07-09
CN103515310A (zh) 2014-01-15
US9147610B2 (en) 2015-09-29
KR101556474B1 (ko) 2015-10-13
CN104979311B (zh) 2018-11-20
KR101556036B1 (ko) 2015-09-25
US9530720B2 (en) 2016-12-27
KR20140000165A (ko) 2014-01-02
US20130341620A1 (en) 2013-12-26
US20170069554A1 (en) 2017-03-09

Similar Documents

Publication Publication Date Title
DE102013211553A1 (de) Monitorstrukturen und verfahren zu ihrer bildung
DE102014118969B4 (de) Verfahren zum Herstellen einer 3DIC Dichtungsring-Struktur
DE102009000625B4 (de) Verfahren zur Herstellung einer Halbleiterkomponente und eines Halbleiterbauelements sowie zugehöriger Zweifach-Damascene-Prozess
DE102013103206B4 (de) Durchkontaktierungsstruktur sowie Verfahren
DE102008053427B4 (de) Durchsubstratverbindungskontakt-Halbleiterkomponenten und Verfahren zur Herstellung dazu
DE102013104048B4 (de) Verfahren zum Ausbilden von Halbleiterbauelementen
DE102009044961A1 (de) Chipintegrierte HF-Abschirmungen mit rückseitigen Umverdrahtungsleitungen
DE102013104112A1 (de) Verbindungsstruktur und -verfahren
DE102018122789A1 (de) Rissbeständige tiefe Grabenisolationsstrukturren
DE102019127076B4 (de) Filmstruktur für bondkontaktstelle und verfahren zu ihrer herstellung
DE102016100258B4 (de) Verfahren zur Bildung einer Zwischenschicht für Kupferstrukturierung
DE102017219677B4 (de) Kontaktschema zum Landen auf verschiedenen Kontaktbereichsebenen
DE102009012594A1 (de) Durch-Substrat-Via-Halbleiterkomponenten
DE102020116884B4 (de) Übergrosse Durchkontaktierung als Substratdurchkontaktierungsstoppschicht (TSV-Stoppschicht)
DE102016101089B4 (de) Mehrfachaufprallprozess zum Bonden
DE102015119536A1 (de) Kondensatoren mit dielektrischen Barriereschichten und Verfahren zu deren Ausbildung
DE102004005697A1 (de) Widerstandsfähige Via-Struktur und zugehöriges Herstellungsverfahren
DE102010017371A1 (de) Teststrukturen und -verfahren für Halbleiterbauelemente
DE102019125790A1 (de) Integriertes schaltkreis-package und verfahren
DE102021100457B4 (de) Rückseiten- oder vorderseiten-substratdurchkontaktierungslandung (tsv-landung) auf metall
US20130241064A1 (en) Semiconductor structure and method of forming the same
DE102021112653A1 (de) Halbleiter-Package und Verfahren zur Herstellung eines Halbleiter-Packages
DE102014116262B4 (de) Halbleitervorrichtungen und Verfahren zu ihrer Herstellung
DE102020119947A1 (de) Struktur und verfahren zum bilden eines integrierten mim-kondensators mit hoher dichte
DE102017109218B4 (de) Mehrschichtige Metallpads und Verfahren zu ihrer Herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee