DE102013104112A1 - Verbindungsstruktur und -verfahren - Google Patents

Verbindungsstruktur und -verfahren Download PDF

Info

Publication number
DE102013104112A1
DE102013104112A1 DE201310104112 DE102013104112A DE102013104112A1 DE 102013104112 A1 DE102013104112 A1 DE 102013104112A1 DE 201310104112 DE201310104112 DE 201310104112 DE 102013104112 A DE102013104112 A DE 102013104112A DE 102013104112 A1 DE102013104112 A1 DE 102013104112A1
Authority
DE
Germany
Prior art keywords
dielectric layer
layer
dielectric
over
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE201310104112
Other languages
English (en)
Inventor
Hsin-Yu Chen
Ku-Feng Yang
Tasi-Jung Wu
Lin-Chih Huang
Yuan-Hung Liu
Tsang-Jiuh Wu
Wen-Chih Chiou
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102013104112A1 publication Critical patent/DE102013104112A1/de
Ceased legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]

Abstract

Eine Vorrichtung umfasst eine dielektrische Zwischenschicht, die auf einer ersten Seite eines Substrats ausgebildet wird, eine erste Metallisierungsschicht, die über der ersten dielektrischen Zwischenschicht ausgebildet wird, wobei die erste Metallisierungsschicht eine erste Metallleitung und eine dielektrische Schicht umfasst, die über der ersten Metallisierungsschicht ausgebildet wird, wobei die dielektrische Schicht eine Metallstruktur aufweist, die eine untere Fläche umfasst, die koplanar mit einer oberen Fläche der ersten Metallleitung ist.

Description

  • HINTERGRUND
  • Die Halbleiterbranche hat ein schnelles Wachstum aufgrund von ständigen Verbesserungen in der Integrationsdichte einer Vielzahl von elektronischen Komponenten (z. B. Transistoren, Dioden, Widerständen, Kondensatoren etc.) erfahren. In den meisten Fällen rührte diese Verbesserung in der Integrationsdichte von wiederholten Verkleinerungen der minimalen Merkmalgröße her, was es ermöglicht, mehr Komponenten in eine vorgegeben Fläche zu integrieren. Während die Nachfrage nach noch kleineren elektronischen Vorrichtungen in der letzten Zeit gestiegen ist, ist ein Bedarf nach kleineren und kreativeren Kapselungstechniken von Halbleiterchips gewachsen.
  • Eine solche kreative Kapselungstechnik ist die Herstellung von Verbindungsstrukturen, z. B. Durchkontaktierungen (Vias) und Metallleitungen. Eine Komplementäre-Metalloxid-Halbleiter-(Complementary Metal Oxide Semicondunctor, CMOS)-Vorrichtung kann eine Vielzahl von Halbleiterstrukturen, wie etwa Transistoren, Kondensatoren, Widerständen und/oder Ähnlichem, umfassen. Eine oder mehrere leitende Schichten, die Metallleitungen aufweisen, werden über den Halbleiterstrukturen ausgebildet und durch angrenzende dielektrische Schichten getrennt. Durchkontaktierungen werden in den dielektrischen Schichten ausgebildet, um eine elektrische Verbindung zwischen angrenzenden Metallleitungen bereitzustellen. Insgesamt verbinden die Metallleitungen und Durchkontaktierungen die Halbleiterstrukturen und stellen einen leitenden Kanal zwischen den Halbleiterstrukturen und den externen Kontakten der CMOS-Vorrichtung bereit.
  • Eine Metallleitung und ihre angrenzenden Durchkontaktierungen können ausgebildet werden, indem ein duales Damaszierverfahren (Dual-Damascene-Prozess) verwendet wird. Gemäß dem Fertigungsverfahren einer dualen Damaszierstruktur wird eine duale Damaszieröffnung, die einen Durchkontaktierungs-Bereich und einen Grabenbereich umfasst, innerhalb einer dielektrischen Schicht ausgebildet. Die duale Damaszieröffnung kann durch Photolithographietechniken, die dem Fachmann bekannt sind, ausgebildet werden. Im Allgemeinen umfasst Photolithographie das Ablagern eines Photoresistmaterials und daraufhin das Bestrahlen (Aussetzen) und Entwickeln in Übereinstimmung mit einer festgelegten Struktur, um einen Teil des Photoresistmaterials zu entfernen. Das verbleibende Photoresistmaterial schützt das darunter liegende Material vor nachfolgenden Verfahrensschritten, wie etwa Ätzung. Das Ätzverfahren kann durch ein nasses oder trockenes, anisotropes oder isotropes Ätzverfahren gebildet werden, besteht aber vorzugsweise in einem anisotropen Trockenätzverfahren. Nach dem Ätzverfahren kann das verbleibende Photoresistmaterial entfernt werden. Man beachte auch, dass die Damaszier-Verbindungs-Öffnung durch einen oder mehrere alternative Verfahrensschritte (z. B. ein Damaszierverfahren bei dem zuerst die Durchkontaktierungen oder zuerst die Gräben ausgebildet werden) ausgebildet werden kann.
  • Nachdem die duale Damaszieröffnung ausgebildet wurde, können eine Sperrschicht und eine Keimschicht auf den Seitenwänden und der Unterseite der dualen Damaszieröffnung ausgebildet werden. Weiter kann ein Galvanisierverfahren auf die duale Damaszieröffnung angewandt werden. Im Ergebnis ist die duale Damaszieröffnung mit einem leitenden Material gefüllt. Das leitende Material kann Kupfer umfassen, obwohl andere geeignete Materialien, wie etwa Aluminium, Legierungen, Wolfram, Silber, dotiertes Polysilizium, Kombinationen daraus und/oder Ähnliches alternativ verwendet werden können.
  • ABRISS
  • Die vorliegende Erfindung betrifft eine Vorrichtung, die Folgendes umfasst: eine dielektrische Zwischenschicht, die auf einer ersten Seite eines Substrats ausgebildet ist; eine erste Metallisierungsschicht, die über der dielektrischen Zwischenschicht ausgebildet ist, wobei die erste Metallisierungsschicht eine erste Metallleitung aufweist; und eine dielektrische Schicht, die über der ersten Metallisierungsschicht ausgebildet ist, wobei die dielektrische Schicht eine Metallstruktur aufweist, die eine untere Fläche umfasst, die koplanar mit einer oberen Fläche der ersten Metallleitung ist.
  • In einer Ausführung besteht die Metallstruktur aus einer dualen Damaszierstruktur (Dual-Damascene-Struktur), die Folgendes umfasst: einen Durchkontaktierungs-Abschnitt (Via-Abschnitt), der über der ersten Metallleitung ausgebildet ist; und einen Metallleitungs-Abschnitt, der über dem Via-Abschnitt ausgebildet ist. Hier ist vorzugsweise eine untere Fläche des Via-Abschnitt koplanar mit einer oberen Fläche der ersten Metallleitung. Vorzugsweise werden der Via-Abschnitt und der Metallleitungs-Abschnitt mit einem gleichen dielektrischen Material ausgebildet. Das dielektrische Material kann beispielsweise durch ein lichtempfindliches Polymermaterial gebildet werden.
  • In einer anderen oder der vorgenannten Ausführung kann die Vorrichtung weiter Folgendes umfassen: eine Erste-Seite-Verbindungsstruktur, die über der ersten Metallisierungsschicht ausgebildet ist; und eine Zweite-Seite-Verbindungsstruktur, die über einer zweiten Seite des Substrats ausgebildet ist, wobei die Zweite-Seite-Verbindungsstruktur mit der Ersten-Seite-Verbindungsstruktur durch eine durchgehende Durchkontaktierung (Durchgangs-Via) verbunden ist.
  • In einer oder mehreren der vorgenannten Ausführungen kann die Metallstruktur aus Kupfer ausgebildet sein.
  • Die vorliegende Erfindung betrifft weiter ein Verfahren, das Folgendes umfasst: Ausbilden einer dielektrischen Zwischenschicht über einer ersten Seite eines Substrats; Ablagern einer ersten dielektrischen Schicht über der dielektrischen Zwischenschicht; Ausbilden einer ersten Via-Öffnung in der ersten dielektrischen Schicht; Ablagern einer zweiten dielektrischen Schicht über der ersten dielektrischen Schicht, wobei die zweite dielektrische Schicht aus dem gleichen Material wie die erste dielektrische Schicht besteht; Ausbilden einer ersten Damaszieröffnung in der ersten dielektrischen Schicht und der zweiten dielektrischen Schicht; Anwenden eines ersten Aushärteverfahrens auf die erste dielektrische Schicht und die zweite dielektrische Schicht; und Füllen der ersten Damaszieröffnung mit einem ersten leitenden Material.
  • In einer Ausführung umfasst das Verfahren weiter Folgendes: Ausbilden einer Sperrschicht auf den Seitenwänden und einer Unterseite der ersten Damaszieröffnung; und Ausbilden einer Keimschicht über der Sperrschicht.
  • In einer anderen oder der vorgenannten Ausführung kann das Verfahren weiter Folgendes umfassen: Ablagern einer dritten dielektrischen Schicht über der zweiten dielektrischen Schicht; Ausbilden einer zweiten Via-Öffnung in der dritten dielektrischen Schicht; Ablagern einer vierten dielektrischen Schicht über der dritten dielektrischen Schicht, wobei die vierte dielektrische Schicht aus dem gleichen Material wie die dritte dielektrische Schicht besteht; Ausbilden einer zweiten Damaszieröffnung in der dritten dielektrischen Schicht und der vierten dielektrischen Schicht; Anwenden eines zweiten Aushärteverfahrens auf die dritte dielektrische Schicht und die vierte dielektrische Schicht; und Füllen der zweiten Damaszieröffnung mit einem zweiten leitenden Material.
  • In einer oder mehreren der vorgenannten Ausführungen kann das Verfahren weiter Folgendes umfassen: Ausbilden einer Ersten-Seite-Verbindungsstruktur über der ersten Seite des Substrats; und Anwenden eines Ausdünnungsverfahrens auf eine zweite Seite des Substrats, bis ein leitendes Material einer durchgehenden Durchkontaktierung (Durchgangs-Via) von der zweiten Seite des Substrats freigelegt ist.
  • In einer oder mehreren der vorgenannten Ausführungen können das erste leitende Material beispielsweise durch Kupfer gebildet werden.
  • In einer oder mehreren der vorgenannten Ausführungen kann die erste dielektrische Schicht und die zweite dielektrische Schicht beispielsweise aus einem lichtempfindlichen Material ausgebildet werden.
  • In einer oder mehreren der vorgenannten Ausführungen kann eine untere Fläche der ersten Damaszieröffnung koplanar mit einer oberen Fläche der dielektrischen Zwischenschicht sein.
  • Die vorliegende Erfindung kann auch ein Verfahren betreffen, das Folgendes umfasst: Ablagern einer ersten dielektrischen Schicht über einer dielektrischen Zwischenschicht eines Substrats; Ausbilden einer ersten Via-Öffnung in der ersten dielektrischen Schicht; Ablagern einer zweiten dielektrischen Schicht über der ersten dielektrischen Schicht, wobei die zweite dielektrische Schicht aus dem gleichen Material wie die erste dielektrische Schicht besteht; Strukturieren der ersten dielektrischen Schicht und der zweiten dielektrischen Schicht; Entwickeln eines freiliegenden Bereichs, um eine erste Damaszieröffnung auszubilden; Anwenden eines ersten Aushärteverfahrens auf die erste dielektrische Schicht und die zweite dielektrische Schicht; Ablagern einer Sperrschicht über den Seitenwänden und einer Unterseite der ersten Damaszieröffnung; Ablagern einer Keimschicht über der Sperrschicht; und Füllen der ersten Damaszieröffnung mit einem ersten leitenden Material mittels eines elektrochemischen Galvanisierverfahrens, wobei eine untere Fläche der ersten Damaszieröffnung koplanar mit einer oberen Fläche der dielektrischen Zwischenschicht ist.
  • In einer Ausführung umfasst das Verfahren weiter Folgendes: Ablagern einer dritten dielektrischen Schicht über der zweiten dielektrischen Schicht; Ausbilden einer zweiten Via-Öffnung in der dritten dielektrischen Schicht; Ablagern einer vierten dielektrischen Schicht über der dritten dielektrischen Schicht, wobei die vierte dielektrische Schicht aus dem gleichen Material wie die dritte dielektrische Schicht besteht; Ausbilden einer zweiten Damaszieröffnung in der dritten dielektrischen Schicht und der vierten dielektrischen Schicht; Anwenden eines zweiten Aushärteverfahrens auf die dritte dielektrische Schicht und die vierte dielektrische Schicht; und Füllen der zweiten Damaszieröffnung mit einem zweiten leitenden Material.
  • In einer anderen oder der vorgenannten Ausführung kann das leitende Material aus Kupfer bestehen.
  • In einer oder mehreren der vorgenannten Ausführungen können die erste dielektrische Schicht und die zweite dielektrische Schicht aus einem lichtempfindlichen Material ausgebildet werden.
  • In einer oder mehreren der vorgenannten Ausführungen kann das Verfahren weiter Folgendes umfassen: Ausbilden eines Zweiten-Seite-Kontakts auf einer zweiten Seite des Substrats. Hier kann das Verfahren weiter Folgendes umfassen: Ablagern einer Zweiten-Seite-Passivierschicht, wobei der Zweite-Seite-Kontakt in der Zweiten-Seite-Passivierschicht eingebettet ist.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Für ein vollständigeres Verständnis der vorliegenden Offenbarung und ihrer Vorteile wird nun auf die folgenden Beschreibungen Bezug genommen, zusammengenommen mit den beigefügten Figuren, wobei in Übereinstimmung mit einer oder mehreren Ausgestaltungen
  • 1 eine Schnittdarstellung einer Halbleitervorrichtung darstellt;
  • 2 eine Halbleitervorrichtung darstellt, nachdem eine Vielzahl von elektrischen Schaltungen in dem Substrat ausgebildet wurden;
  • 3 eine Schnittdarstellung der Halbleitervorrichtung darstellt, die in 2 gezeigt ist, nachdem eine dielektrische Schicht über dem Substrat ausgebildet wurde;
  • 4 eine Schnittdarstellung der Halbleitervorrichtung darstellt, die in 3 gezeigt ist, nachdem eine Öffnung in der ersten dielektrischen Schicht ausgebildet wurde;
  • 5 eine Schnittdarstellung der Halbleitervorrichtung darstellt, die in 4 gezeigt ist, nachdem eine zweite dielektrische Schicht über der ersten dielektrischen Schicht ausgebildet wurde;
  • 6 eine Schnittdarstellung der Halbleitervorrichtung darstellt, die in 5 gezeigt ist, nachdem eine duale Damaszierstruktur in der dielektrischen Schicht ausgebildet wurde;
  • 7 eine Schnittdarstellung der Halbleitervorrichtung darstellt, die in 6 gezeigt ist, nachdem eine Sperrschicht und eine Keimschicht ausgebildet wurden;
  • 8 eine Schnittdarstellung der Halbleitervorrichtung darstellt, die in 7 gezeigt ist, nachdem ein leitendes Material in die Öffnungen gefüllt wurde;
  • 9 eine Schnittdarstellung der Halbleitervorrichtung darstellt, die in 8 gezeigt ist, nachdem eine zusätzliche Damaszierstruktur ausgebildet wurde;
  • 10 eine Schnittdarstellung der Halbleitervorrichtung darstellt, die in 9 gezeigt ist, nachdem eine Passivierschicht über dem Substrat ausgebildet wurde;
  • 11 eine Schnittdarstellung der Halbleitervorrichtung darstellt, die in 10 gezeigt ist, nachdem eine erste Polymerschicht auf der Oberseite der Passivierschicht ausgebildet wurde;
  • 12 eine Schnittdarstellung der Halbleitervorrichtung darstellt, die in 11 gezeigt ist, nachdem ein Strukturierverfahren auf die Oberfläche der ersten Polymerschicht angewandt wurde;
  • 13 eine Schnittdarstellung der Halbleitervorrichtung darstellt, die in 12 gezeigt ist, nachdem eine Keimschicht auf der Oberseite der ersten Polymerschicht ausgebildet wurde;
  • 14 eine Schnittdarstellung der Halbleitervorrichtung darstellt, die in 13 gezeigt ist, nachdem eine Umverteilerleitung auf der Oberseite der Keimschicht ausgebildet wurde;
  • 15 eine Schnittdarstellung der Halbleitervorrichtung darstellt, die in 14 gezeigt ist, nachdem eine zweite Polymerschicht über dem Halbleitersubstrat ausgebildet wurde;
  • 16 eine Schnittdarstellung der Halbleitervorrichtung darstellt, die in 15 gezeigt ist, nachdem eine Öffnung in der zweiten Polymerschicht ausgebildet wurde;
  • 17 eine Schnittdarstellung der Halbleitervorrichtung darstellt, die in 16 gezeigt ist, nachdem eine Unter-Bondhügel-Metallisierungs(Under Bump Metallization, UBM)-Keimschicht auf der Oberseite der zweiten Polymerschicht ausgebildet wurde;
  • 18 eine Schnittdarstellung der Halbleitervorrichtung darstellt, die in 17 gezeigt ist, nachdem eine zweite leitende Schicht auf der Oberseite der UBM-Keimschicht ausgebildet wurde;
  • 19 eine Schnittdarstellung der Halbleitervorrichtung darstellt, die in 18 gezeigt ist, nachdem ein Verbindungs-Bondhügel auf der UBM-Struktur ausgebildet wurde;
  • 20 ist eine Schnittdarstellung der Halbleitervorrichtung, die in 19 dargestellt ist, nachdem ein Ausdünnungsverfahren auf die zweite Seite des Substrats angewandt wurde;
  • 21 eine Schnittdarstellung der Halbleitervorrichtung darstellt, die in 20 gezeigt ist, nachdem ein rückseitiger Kontakt auf der zweiten Seite des Substrats ausgebildet wurde;
  • 22 eine Schnittdarstellung einer anderen Halbleitervorrichtung darstellt, die der Halbleitervorrichtung, die in 1 gezeigt ist, ähnelt; und
  • 23 eine Schnittdarstellung von einer weiteren Halbleitervorrichtung darstellt, die der Halbleitervorrichtung, die in 1 gezeigt ist, ähnelt.
  • Entsprechende Bezugszeichen und Symbole in den verschiedenen Figuren beziehen sich im Allgemeinen auf entsprechende Teile, außer es ist anderweitig angezeigt. Die Figuren sind so gezeichnet, dass sie die relevanten Aspekte der verschiedenen Ausführungen klar darstellen; sie sind nicht notwendigerweise im Maßstab gezeichnet.
  • DETAILLIERTE BESCHREIBUNG VON ERLÄUTERNDEN AUSFÜHRUNGEN
  • Die Herstellung und Verwendung der vorliegenden Ausführungen werden unten im Detail behandelt. Man sollte jedoch erkennen, dass die vorliegende Offenbarung viele anwendbare erfinderische Konzepte bereitstellt, die in einer großen Vielzahl von speziellen Kontexten implementiert werden können. Die behandelten speziellen Ausführungen erläutern nur spezielle Arten, die Ausführungen der Offenbarung herzustellen und zu verwenden, und beschränken nicht den Schutzumfang der Offenbarung.
  • Die vorliegende Offenbarung wird mit Bezug auf Ausführungen in einem speziellen Kontext beschrieben, d. h. einer Verbindungsstruktur einer Halbleitervorrichtung. Die Ausführungen der Offenbarung können jedoch auch auf einer Vielzahl von Halbleitervorrichtungen der Halbleiterbranche angewandt werden. Im Folgenden werden verschiedene Ausführungen mit Bezug auf die beigefügten Figuren detailliert beschrieben.
  • Die 1 stellt eine Schnittdarstellung einer Halbleitervorrichtung dar, in Übereinstimmung mit einer Ausführung. Die Halbleitervorrichtung 100 umfasst eine Mehrzahl von Vorderseiten-Verbindungsstrukturen, wie etwa Metallleitungen (z. B. die Metallleitungen 134 und 144), Durchkontaktierungen (Vias) (z. B. die Vias 132 und 142), metallischen Kontaktstellen (z. B. die metallische Kontaktstelle 156), Umverteilerleitungen (z. B. die Umverteilerleitung 166), Bondhügeln (z. B. der Bondhügel 176) und Ähnlichem. Wie in der 1 gezeigt ist, werden die Vorderseiten-Verbindungsstrukturen über einer ersten Seite 101 eines Substrats 102 ausgebildet. Das Substrat 102 kann eine Vielzahl von elektrischen Schaltungen (z. B. den Transistor 105) und Durchgangs-Vias (z. B. Durchgangs-Via 204) umfassen. Die elektrischen Schaltungen werden innerhalb und auf der ersten Seite 101 des Substrats 102 ausgebildet. Die Halbleitervorrichtung 100 umfasst weiter Rückseiten-Verbindungsstrukturen (z. B. die Rückseiten-Kontaktstelle 2102). Wie in 1 gezeigt ist, wird die Rückseiten-Kontaktstelle 2102 über einer zweiten Seite 103 des Substrats 102 ausgebildet.
  • Das Durchgangs-Via 204 wird in dem Substrat 102 ausgebildet. Insbesondere erstreckt sich das Durchgangs-Via 204 von der ersten Seite 101 des Substrats 102 zu der zweiten Seite des Substrats 103 durch das Substrat 102. Wie in 1 gezeigt ist, stellt das Durchgangs-Via 204 einen leitenden Kanal zwischen den Vorderseiten-Verbindungsstrukturen und den Rückseiten-Verbindungsstrukturen bereit.
  • Im Vergleich mit herkömmlichen Verbindungsstrukturen werden die Metallleitungen (z. B. die Metallleitung 134) und ihre angrenzenden Vias (z. B. das Via 132) der Halbleitervorrichtung 100 in einer dualen Damaszierstruktur (Dual-Damascene-Struktur) ausgebildet. Insbesondere werden die Metallleitung 134 und ihr angrenzendes Via 132 durch ein Galvanisierverfahren ohne einen Ätzschritt ausgebildet, was üblicherweise in einem dualen Damaszierverfahren verwendet wird. Als Ergebnis ist es nicht nötig, eine Ätzstoppschicht unter den Metallleitungen (z. B. der Metallleitung 134) vorzusehen.
  • Zusätzlich gibt es keine Vertiefung in der Metallleitung (z. B. der Metallleitung 206), auf der die duale Damaszierstruktur, die das Metall-Via 132 und die Metallleitung 134 aufweist, ausgebildet wird. Mit anderen Worten steht die untere Fläche der dualen Damaszierstruktur in direktem Kontakt mit der oberen Fläche der Metallleitung 206 unter der dualen Damaszierstruktur. Wie in 1 gezeigt ist, ist die untere Fläche der dualen Damaszierstruktur koplanar mit der oberen Fläche der Metallleitung 206. Das detaillierte Ausbildungsverfahren der dualen Damaszierstruktur sowie anderer Verbindungsstrukturen wird unten mit Bezug auf die 2 bis 21 beschrieben.
  • Die 2 bis 21 stellen, in Übereinstimmung mit einer Ausführung, Zwischenschritte zur Herstellung der Halbleitervorrichtung dar, die in 1 gezeigt ist. Die 2 stellt eine Halbleitervorrichtung dar, nachdem eine Vielzahl von elektrischen Schaltungen in dem Substrat ausgebildet wurden, in Übereinstimmung mit einer Ausführung. Das Substrat 102 kann aus Silizium ausgebildet werden, obwohl es auch aus Elementen der Gruppe-III-, Gruppe-IV- und/oder Gruppe-V, wie etwa Silizium, Germanium, Gallium, Arsen und Kombinationen daraus, ausgebildet werden kann.
  • Das Substrat 102 kann auch in der Form eines Silizium-auf-Isolator (Silicon-On-Insulator, SOI) ausgebildet werden. Das SOI-Substrat kann eine Schicht eines Halbleitermaterials (z. B. Silizium, Germanium und/oder Ähnlichem) umfassen, die über einer Isolierschicht (z. B. vergrabenem Oxid oder Ähnlichem) ausgebildet wird, die in einem Siliziumsubstrat ausgebildet wird. Zusätzlich umfassen andere Substrate, die verwendet werden können, Mehrschicht-Substrate, Gradientsubstrate, Hybridorientierungs-Substrate und/oder Ähnliches.
  • Das Substrat 102 kann weiter eine Vielzahl von elektrischen Schaltungen (nicht gezeigt) umfassen. Die elektrischen Schaltungen, die auf dem Substrat 102 ausgebildet werden, können aus jeder Art von Schaltungen bestehen, die für eine bestimmte Anwendung geeignet sind. In Übereinstimmung mit einer Ausführung können die elektrischen Schaltungen verschiedene n-Metalloxid-Halbleiter(Metal-Oxid Semiconductor, NMOS)- und/oder p-Metalloxid-Halbleiter(PMOS)-Vorrichtungen, wie etwa Transistoren, Kondensatoren, Dioden, Photodioden, Sicherungen und/oder Ähnliches, umfassen. Die elektrischen Schaltungen können verbunden sein, um eine oder mehrere Funktionen auszuführen. Die Funktionen können Speicherstrukturen, Verarbeitungsstrukturen, Sensoren, Verstärker, Leistungsverteilung, Eingangs-/Ausgangsschaltungen und/oder Ähnliches umfassen.
  • Wie in 2 gezeigt ist, werden ein Metalloxid-Halbleiter-(MOS)-Transistor 105 und sein zugehöriger Kontaktstecker 118 verwendet, um die elektrischen Schaltungen der Halbleitervorrichtung zu repräsentieren. Der MOS-Transistor 105 wird in dem Substrat 102 ausgebildet. Der MOS-Transistor 105 umfasst zwei Drain-/Source-Bereiche 106. Wie in der 2 gezeigt ist, werden die Drain-/Source-Bereiche 106 auf entgegengesetzten Seiten eines Gate-Stapels ausgebildet. Der Gate-Stapel umfasst eine dielektrische Gate-Schicht 112, die über dem Substrat 102 ausgebildet wird, eine Gate-Elektrode, die über der dielektrischen Gate-Schicht 112 ausgebildet wird, und Gate-Abstandhalter 116. Wie in 2 gezeigt ist, können zwei Isolierbereiche 104 vorgesehen sein, die auf entgegengesetzten Seiten des MOS-Transistors 105 ausgebildet werden.
  • Die Isolierbereiche 104 können durch Flacher-Graben-Isolier-(Shallow Trench Isolation, STI)-Bereiche gebildet werden und können ausgebildet werden, indem das Substrat 102 geätzt wird, um einen Graben auszubilden, und der Graben mit einem dielektrischen Material gefüllt wird, wie dem Fachmann bekannt ist. Die Isolierbereiche 104 können beispielsweise mit einem dielektrischen Material, wie etwa einem Oxidmaterial, einem Hohe-Dichte-Plasma(HDP)-Oxid und/oder Ähnlichem, gefüllt werden. Ein Planarisierverfahren, wie etwa ein CMP-Verfahren, können auf die obere Fläche angewandt werden, so dass im Ergebnis das überflüssige dielektrische Material entfernt werden kann.
  • Das Gate-Dielektrikum 112 kann aus einem dielektrischen Material bestehen, wie etwa Siliziumoxid, Siliziumoxinitrid, einem Oxid, einem Oxid, das Stickstoff enthält, einer Kombination daraus und/oder Ähnlichem. Das Gate-Dielektrikum 112 kann eine relative Dielektrizitätskonstante aufweisen, die größer als etwa 4 ist. Andere Beispiele solcher Materialien umfassen Aluminiumoxid, Lanthanoxid, Hafniumoxid, Zirkoniumoxid, Hafniumoxinitrid, Kombinationen daraus und/oder Ähnliches. In einer Ausführung, in der das Gate-Dielektrikum 112 eine Oxidschicht aufweist, können die Gate-Dielektrika 112 durch einen PECVD-Verfahren mittels Tetraäthoxysilan (TEOS) und Sauerstoff als einem Vorprodukt ausgebildet werden. In Übereinstimmung mit einer Ausführung kann das Gate-Dielektrikum 112 eine Dicke im Bereich von etwa 0,8 nm bis etwa 20 nm (etwa 8 Å bis etwa 200 Å) aufweisen.
  • Die Gate-Elektrode 114 kann eine leitendes Material aufweisen, wie etwa ein Metall (z. B. Tantal, Titan, Molybdän, Wolfram, Platin, Aluminium, Hafnium, Ruthen), ein Metallsilizid (z. B. Titansilizid, Kobaldsilizid, Nickelsilizid, Tantalsilizid), ein Metallnitrid (z. B. Titannitrid, Tantalnitrid), dotiertes polykristallines Silizium, andere leitende Materialien, Kombinationen daraus und/oder Ähnliches. In einer Ausführung, in der die Gate-Elektrode 114 aus Polysilizium besteht, kann die Gate-Elektrode ausgebildet werden, indem dotiertes oder undotiertes Polysilizium durch eine chemische Niederdruck-Dampfphasenabscheidung (Low-Pressure Chemical Vapor Deposition, LPCVD) mit einer Dicke im Bereich von etwa 40 nm bis etwa 240 nm (etwa 400 Å bis etwa 2,400 Å) abgelagert wird.
  • Die Abstandshalter 116 können ausgebildet werden, indem eine oder mehrere Abstandsschichten (nicht gezeigt) über der Gate-Elektrode 114 und dem Substrat 102 deckend abgelagert werden. Die Abstandsschichten 116 können geeignete dielektrische Materialien umfassen, wie etwa SiN, Oxinitrid, SiC, SiON, Oxid und/oder Ähnliches. Die Abstandsschichten 116 können durch allgemein angewandte Techniken ausgebildet werden, wie etwa CVD, PECVD, Sputtern und/oder Ähnliches.
  • Die Drain-/Source-Bereiche 106 können in dem Substrat 102 auf entgegengesetzten Seiten des Gate-Dielektrikums 112 ausgebildet werden. In einer Ausführung, in der das Substrat 102 aus einem n-Substrat besteht, können die Drain-/Source-Bereiche 106 ausgebildet werden, indem geeignete p-Dotierungsmittel, wie etwa Bor, Gallium, Indium und/oder Ähnliches, implantiert werden. Alternativ können in einer Ausführung, in der das Substrat 102 aus einem p-Substrat besteht, die Drain-/Source-Bereiche 106 ausgebildet werden, indem geeignete n-Dotierungsmittel, wie etwa Phosphor, Arsen und/oder Ähnliches, implantiert werden.
  • Eine dielektrische Zwischenschicht 115 wird oben auf dem Substrat 102 ausgebildet. Die dielektrische Zwischenschicht 115 kann beispielsweise aus einem Low-k-Dielektrikum ausgebildet werden, wie etwa Siliziumoxid. Die dielektrische Zwischenschicht 115 kann durch jedes geeignete Verfahren, das dem Fachmann bekannt ist, ausgebildet werden, wie etwa Schleudern (Spinning), chemische Dampfphasenabscheidung (CVD) und plasmaunterstützte chemische Dampfphasenabscheidung (Plasma Enhanced Chemical Vapor Deposition, PECVD). Man beachte auch, dass ein Fachmann erkennen wird, dass, während die 2 eine einzelne dielektrische Zwischenschicht darstellt, die dielektrische Zwischenschicht 115 eine Mehrzahl von dielektrischen Schichten umfassen kann.
  • Wie in 2 gezeigt ist, wird die dielektrische Zwischenschicht 115 über dem Substrat 102 ausgebildet. Es kann ein Kontaktstecker 118 vorgesehen sein, der in der dielektrischen Zwischenschicht 115 ausgebildet wird. Der Kontaktstecker 118 wird durch die dielektrische Zwischenschicht 115 ausgebildet, um eine elektrische Verbindung zwischen dem MOS-Transistor 105 und den Vorderseiten-Verbindungsstrukturen (nicht gezeigt, aber in der 21 dargestellt), die über der dielektrischen Zwischenschicht 115 ausgebildet sind, bereitzustellen.
  • Der Kontaktstecker 118 kann ausgebildet werden, indem Photolithographieverfahren verwendet werden, um ein Photoresistmaterial auf der dielektrischen Zwischenschicht 115 abzulagern und zu strukturieren. Ein Teil des Photoresist wird gemäß der Position und der Form des Kontaktsteckers 118 belichtet. Ein Ätzverfahren, wie etwa ein anisotropes Trockenätzverfahren, kann verwendet werden, um eine Öffnung in der dielektrischen Zwischenschicht 115 zu erzeugen.
  • Eine leitende Auskleidung kann abgelagert werden, bevor das Kontaktstecker-Loch gefüllt wird. Die leitende Auskleidung ist vorzugsweise gleichmäßig und kann eine einzelne Schicht aus Ta, TaN, WN, WSi, TiN, Ru und jede Kombination daraus umfassen. Die leitende Auskleidung kann üblicherweise als eine Sperrschicht verwendet werden, um zu verhindern, dass das leitende Material, wie etwa Kupfer, in das darunter liegende Substrat 102 diffundiert. Die leitende Auskleidung kann abgelagert werden, indem ein geeignetes Ablagerungsverfahren verwendet wird, wie etwa CVD, PVD, Atomlagenablagerung (Atomic Lager Deposition, ALD) und/oder Ähnliches.
  • Ein leitendes Material wird dann in die Öffnung gefüllt. Das leitende Material kann abgelagert werden, indem CVD, PVD oder ALD verwendet wird. Das leitende Material wird über der leitenden Auskleidung abgelagert, um die Kontaktstecker-Öffnung auszufüllen. Überflüssige Anteile des leitenden Materials werden von der oberen Fläche der dielektrischen Zwischenschicht 115 mittels eines Planarisierverfahrens, wie etwa CMP, entfernt. Das leitende Material kann aus Kupfer, Wolfram, Aluminium, Silber, Titan, Titannitrid, Tantal und Kombinationen daraus und/oder Ähnlichem bestehen.
  • Die Halbleitervorrichtung kann eine Durchgangs-Via 204 aufweisen. Die Via 204 wird in einer Via-Öffnung ausgebildet, die sich tiefer als die Tiefe der elektrischen Schaltungen (z. B. des MOS-Transistors 105) der Halbleitervorrichtung erstreckt. Um das leitende Material der Durchgangs-Via 204 von dem Substrat 102 zu isolieren, wird eine Auskleidungsschicht 122 auf den Seitenwänden und der Unterseite der Via-Öffnung ausgebildet. Eine Sperrschicht 124 wird über der Auskleidungsschicht 122 ausgebildet. Weiter kann, um das Galvanisierverfahren zu erleichtern, eine Keimschicht 126 über der Sperrschicht 124 ausgebildet werden. Ein leitendes Material, wie etwa Kupfer, kann die Via-Öffnung ausfüllen, um das Durchgangs-Kontaktloch 204 durch ein Galvanisierverfahren auszubilden.
  • Eine dielektrische Zwischen-Metall-Schicht 210 wird über der dielektrischen Zwischenschicht 115 ausgebildet. Es können zwei Metallleitungen 206 und 208 vorgesehen sein, die in der Zwischen-Metall-Schicht 210 eingebettet sind. Insbesondere ist die Metallleitung 208 mit dem oberen Anschlusspunkt der Durchgangs-Via 204 verbunden. Man beachte, dass die Metallleitungen 206 und 208 im gleichen Schritt wie die Durchgangs-Via 204 ausgebildet werden können. Daher können die Metallleitungen 206 und 208 dieselbe Sperrschicht und Keimschicht wie die Durchgangs-Via 204 aufweisen.
  • Die dielektrische Zwischen-Metall-Schicht 210 kann aus einem Low-k-Dielektrikum, wie etwa Fluorsilikat-Glas (FSG) und/oder Ähnlichem, ausgebildet werden. Die dielektrische Zwischen-Metall-Schicht 210 kann durch eine geeignete Ablagerungstechnik ausgebildet werden, wie etwa PECVD-Techniken, Hohe-Dichte-Plasma-unterstützte chemischen Dampfphasenabscheidung (High-Density Plasma Chemical Vapor Deposition, HDPCVD) und/oder Ähnliches.
  • Die 3 stellt eine Schnittdarstellung der Halbleitervorrichtung dar, die in 2 gezeigt ist, nachdem eine erste dielektrische Schicht auf dem Substrat ausgebildet wurde, in Übereinstimmung mit einer Ausführung. Die erste dielektrische Schicht 302 kann ein lichtempfindliches Material aufweisen. In der ganzen Beschreibung kann die erste dielektrische Schicht 302 alternativ als erste lichtempfindliche Schicht 302 bezeichnet werden.
  • Das lichtempfindliche Material kann Polybenzoxazol (PBO), lichtempfindliches SU-8-Epoxy, filmartige Polymermaterialien und/oder Ähnliches umfassen. Man beachte, dass, während die 3 eine Schicht aus lichtempfindlichem Material darstellt, die erste Schicht aus lichtempfindlichem Material 302 aus Polymermaterialien ausgebildet werden kann, die nicht lichtempfindliche Materialien umfassen, wie etwa Formmasse, Gummi, Glas, Si, Substratmaterialien und/oder Ähnliches. In Übereinstimmung mit einer Ausführung wird das lichtempfindliche Material auf dem Substrat 102 abgelagert, indem geeignete Techniken verwendet werden, wie etwa Aufschleudern und/oder Ähnliches.
  • Die 4 stellt eine Schnittdarstellung der Halbleitervorrichtung dar, die in 3 gezeigt ist, nachdem eine Öffnung in der ersten dielektrischen Schicht ausgebildet wurde, in Übereinstimmung mit einer Ausführung. Unter Berücksichtigung elektrischer und thermischer Anforderungen werden ausgewählte Bereiche der ersten Schicht aus lichtempfindlichem Material 302 belichtet. Die physikalischen Eigenschaften der lichtempfindlichen Bereiche, die belichtet werden, ändern sich dadurch. Gemäß einer Ausführung bewirkt die Änderung der physikalischen Eigenschaften der belichteten Bereiche, dass die belichteten Bereiche während eines Entwicklungsschrittes entfernt werden. Im Ergebnis wird eine Öffnung 402 ausgebildet.
  • Die 5 stellt eine Schnittdarstellung der Halbleitervorrichtung dar, die in 4 gezeigt ist, nachdem eine zweite dielektrische Schicht auf der ersten dielektrischen Schicht ausgebildet wurde, in Übereinstimmung mit einer Ausführung. Eine zweite dielektrische Schicht 502 wird auf der ersten dielektrischen Schicht abgelagert. Die zweite dielektrische Schicht 502 kann das gleiche Material aufweisen wie die erste dielektrische Schicht 302. In Übereinstimmung mit einer Ausführung kann die zweite dielektrische Schicht Polybenzoxazol (PBO), lichtempfindliches SU-8-Epoxy, filmartige Polymermaterialien und/oder Ähnliches aufweisen. Die zweite dielektrische Schicht 502 kann durch geeignete Herstellungstechniken, wie etwa Aufschleudern und/oder Ähnliches, ausgebildet werden. Da die erste und die zweite dielektrische Schicht aus dem gleichen Material ausgebildet werden können, die erste dielektrische Schicht 302 und die zweite dielektrische Schicht 502 in eine einzige dielektrische Schicht verbunden werden, wie in 5 gezeigt ist.
  • Man beachte, dass während des Vorgangs des Ausbildens der zweiten dielektrischen Schicht 502 die Öffnung 402 (die in 4 gezeigt ist) mit dem dielektrischen Material gefüllt werden kann, wie durch das gestrichelte Rechteck 504 angezeigt ist. Damit eine Via, auch als Durchkontaktierung bezeichnet, ausgebildet werden kann, kann das dielektrische Material in dem gestrichelten Rechteck 504 während eines nachfolgenden Schrittes entfernt werden, der unten mit Bezug auf 6 beschrieben wird. Als solcher kann der Herstellungsschritt, der in 4 gezeigt ist, für manche Anwendungen optional sein. Wenn die Via (z. B. die Öffnung 402) jedoch einen kleinen Durchmesser aufweist, wie etwa eine Via mit hoher Auflösung, ist es notwendig, die Öffnung 402 auszubilden, nachdem die erste dielektrische Schicht ausgebildet wurde. Wenn im Gegensatz dazu die Via-Öffnung nicht ausgebildet wurde, bevor die zweite dielektrische Schicht abgelagert wurde, kann der Entfernungsvorgang des lichtempfindlichen Materials keine Via mit hoher Auflösung ausbilden, weil sich die Dicke des dielektrischen Materials erhöht. Im Ergebnis kann eine gute Auflösung nicht erreicht werden.
  • Die 6 stellt eine Schnittdarstellung der Halbleitervorrichtung dar, die in 5 gezeigt ist, nachdem eine duale Damaszierstruktur in der dielektrischen Schicht ausgebildet wurde, in Übereinstimmung mit einer Ausführung. Ein Strukturierverfahren wird auf die dielektrische Schicht 138 angewandt, in Übereinstimmung mit einer Ausführung. Unter Beachtung der Position der Metallleitungen und der Durchgangs-Via werden ausgewählte Bereiche der dielektrischen Schicht 138 belichtet. Ein Entwickler wird auf die freiliegenden Bereiche angewandt. Im Ergebnis werden Teile der dielektrischen Schicht 138 entfernt, um die Via-Öffnung 602, die Metallleitungs-Öffnung 604 und die Metallleitungs-Öffnung 606 auszubilden. Man beachte auch, dass die Damaszier-Verbindungsöffnung durch einen oder mehrere alternative Verfahrensschritte ausgebildet werden kann (z. B. ein Damaszierverfahren, bei dem zuerst die Via ausgebildet wird oder bei dem zuerst ein Graben ausgebildet wird).
  • Nachdem die duale Damaszierstruktur ausgebildet wurde, kann ein Aushärteverfahren auf die Halbleitervorrichtung angewandt werden, so dass eine feste duale Damaszierstruktur erreicht werden kann, indem das lichtempfindliche Material der dielektrischen Schicht 138 ausgehärtet wird. In Übereinstimmung mit einer Ausführung kann die Aushärtetemperatur der Halbleitervorrichtung im Bereich von etwa 150 Grad bis etwa 400 Grad liegen.
  • Die 7 stellt eine Schnittdarstellung der Halbleitervorrichtung dar, die in 6 gezeigt ist, nachdem eine Sperrschicht und eine Keimschicht ausgebildet wurden, in Übereinstimmung mit einer Ausführung. Eine dünne Schicht 702 wird auf den Seitenwänden und der Unterseite der dualen Damaszierstruktur ausgebildet. Die dünne Schicht 702 umfasst eine Sperrschicht und eine Keimschicht, die über der Sperrschicht ausgebildet wird.
  • Die Sperrschicht kann aus Titan, Titannitrid, Tantal, Tantalnitrid und Kombinationen daraus und/oder Ähnlichem ausgebildet werden. Die Sperrschicht kann mittels geeigneter Herstellungstechniken, wie etwa ALD, PECVD, plasmaunterstützte chemische Dampfphasenabscheidung (Plasma Enhanced Physical Vapor Deposition, PEPVD) und/oder Ähnlichem, ausgebildet werden.
  • Die Keimschicht kann aus Kupfer, Nickel, Gold, irgendeiner Kombination daraus und/oder Ähnlichem ausgebildet werden. Die Keimschicht kann durch geeignete Ablagerungstechniken, wie etwa PVD, CVD und/oder Ähnliches, ausgebildet werden. Die Keimschicht kann eine Dicke im Bereich von etwa 5 nm bis etwa 100 nm (etwa 50 Å bis etwa 1,000 Å) aufweisen.
  • Zusätzlich kann die Keimschicht mit einem Material legiert werden, das die Hafteigenschaften der Keimschicht verbessert, so dass sie als eine Haftschicht wirken kann. Die Keimschicht kann beispielsweise mit einem Material, wie etwa Mangan oder Aluminium, legiert werden, das in die Grenzfläche zwischen der Keimschicht und der Sperrschicht wandert und die Haftung zwischen den beiden Schichten verbessert. Das Legierungsmaterial kann während der Ausbildung der Keimschicht eingeführt werden. Das Legierungsmaterial kann nicht z. B. mehr als etwa 10% der Keimschicht umfassen.
  • Die 8 stellt eine Schnittdarstellung der Halbleitervorrichtung dar, die in 7 gezeigt ist, nachdem ein leitendes Material in die Öffnungen gefüllt wurde, in Übereinstimmung mit einer Ausführung. Die duale Damaszieröffnung sowie die Metallleitungs-Öffnung können mit einem leitenden Material gefüllt werden. Das leitende Material kann Kupfer umfassen, obwohl andere geeignete Materialien, wie etwa Aluminium, Legierungen, Wolfram, Silber, dotiertes Polysilizium, Kombinationen daraus und/oder Ähnliches, alternativ verwendet werden können. Das leitende Material kann in die Öffnung durch geeignete Techniken, wie etwa ein Galvanisierverfahren, gefüllt werden.
  • Ein Vorteil davon ist, dass die Metallleitungen durch das gezeigte Herstellungsverfahren ausgebildet werden, liegt darin, dass das Ausbilden der Metallleitungen kein Ätzverfahren benötigt. Im Ergebnis wird der Schritt des Ausbildens einer Ätzstoppschicht eingespart. Im Vergleich mit herkömmlichen auf Ätzung beruhenden Techniken wird die Gesamt-Herstellungszeit der Halbleitervorrichtung verringert. Darüber hinaus kann ohne ein Ätzverfahren, wie es üblicherweise in herkömmlichen Herstellungsverfahren verwendet wird, die Auflösung der Metallleitungen und Vias verbessert werden. Im Ergebnis können Feinraster-Verbindungsstrukturen erreicht werden, indem die oben gezeigten Herstellungsschritte verwendet werden.
  • Die 9 stellt eine Schnittdarstellung der Halbleitervorrichtung dar, die in 8 gezeigt ist, nachdem eine zusätzliche duale Damaszierstruktur ausgebildet wurde, in Übereinstimmung mit einer Ausführung. Eine weitere dielektrische Schicht 148 wird über der dielektrischen Schicht 138 ausgebildet. Die dielektrische Schicht 148 kann aus dem gleichen Material wie die dielektrische Schicht 138 ausgebildet werden. Metallleitungen 144, 146 und ein Kontaktloch 142 können aus metallischen Materialien, wie etwa Kupfer, Kupferlegierungen, Aluminium, Silber, Gold, irgendwelche Kombinationen daraus und/oder Ähnlichem, ausgebildet werden. Das Ausbildungsverfahren der metallischen Verbindungsstrukturen der dielektrischen Schicht 148 ähnelt dem Ausbildungsverfahren der metallischen Verbindungsstrukturen der dielektrischen Schicht 138 und wird daher nicht weiter erläutert, um Wiederholungen zu vermeiden.
  • Die 10 stellt eine Schnittdarstellung der Halbleitervorrichtung dar, die in 9 gezeigt ist, nachdem eine Passivierschicht auf dem Substrat ausgebildet wurde, in Übereinstimmung mit einer Ausführung. Die Passivierschicht 154 wird aus nichtorganischen Materialien, wie etwa undotiertem Silikatglas, Siliziumnitrid, Siliziumoxid, Siliziumoxinitrid, mit Bor dotiertem Siliziumoxid, mit Phosphor dotiertem Siliziumoxid und/oder Ähnlichem, ausgebildet. Alternativ kann die Passivierschicht 154 aus Low-k-Dielektrika ausgebildet werden, wie etwa mit Kohlenstoff dotiertem Oxid und/oder Ähnlichem. Zusätzlich können Extremely-Low-k-(ELK)-Dielektrika, wie etwa poröses mit Kohlenstoff dotiertes Siliziumdioxid, verwendet werden, um die Passivierschicht 154 auszubilden. Die Passivierschicht 154 kann durch jede geeignete Technik, wie etwa CVD, ausgebildet werden.
  • Wie in 10 gezeigt ist, kann eine Öffnung in der Passivierschicht 154 ausgebildet sein. Die Öffnung wird verwendet, um eine metallische Kontaktstelle 156 aufzunehmen. Wie in der 10 gezeigt ist, ist die metallische Kontaktstelle 156 in der Passivierschicht 154 eingebettet. Insbesondere stellt die metallische Kontaktstelle 156 einen leitenden Kanal zwischen den Metallleitungen (z. B. der Metallleitung 144) und einer Nach-Passivier-Verbindungsstruktur (z. B. der Umverteilerleitung 166 und dem Bondhügel 176, die nicht gezeigt, aber in 21 dargestellt sind) bereit. Die metallische Kontaktstelle 156 kann aus metallischen Materialien, wie etwa Kupfer, Kupferlegierungen, Aluminium, Silber, Gold und irgendwelchen Kombination daraus und/oder Mehrschicht-Strukturen daraus, hergestellt werden. Die metallische Kontaktstelle 156 kann durch geeignete Techniken, wie etwa CVD, ausgebildet werden. Alternativ kann die metallische Kontaktstelle 156 durch Sputtern, Galvanisieren und/oder Ähnlichem ausgebildet werden.
  • Die 11 stellt eine Schnittdarstellung der Halbleitervorrichtung dar, die in 10 gezeigt ist, nachdem eine erste Polymerschicht auf der Oberseite der Passivierschicht ausgebildet wurde, in Übereinstimmung mit einer Ausführung. Die erste Polymerschicht 158 wird auf der Oberseite der Passivierschicht 154 ausgebildet. Die erste Polymerschicht 158 kann aus Polymermaterialien, wie etwa Epoxid, Polyimid, Polybenzoxazol (PBO), Silizium, Benzocyclobuten (BCB), Formmasse und/oder Ähnlichem, hergestellt werden. In Übereinstimmung mit einer Ausführung kann die erste Polymerschicht 158 aus PBO ausgebildet werden. Die erste Polymerschicht 158 kann durch geeignete Ablagerungsverfahren, die dem Fachmann bekannt sind, hergestellt werden, wie etwa Aufschleudern.
  • Die 12 stellt eine Schnittdarstellung der Halbleitervorrichtung dar, die in 11 gezeigt ist, nachdem ein Strukturierverfahren auf die Oberfläche der ersten Polymerschicht angewandt wurde, in Übereinstimmung mit einer Ausführung. Wie in 12 gezeigt ist, kann die Öffnung 1202 durch geeignete Strukturiertechniken ausgebildet werden, wie etwa einem Ätzverfahren, einem Laser-Ablationsverfahren und/oder Ähnlichem. Alternativ kann die Öffnung 1202 durch einen Entwicklungsschritt ausgebildet werden, der oben mit Bezug auf die 4 und 6 beschrieben wurde.
  • Die 13 stellt eine Schnittdarstellung der Halbleitervorrichtung dar, die in 12 gezeigt ist, nachdem eine Keimschicht auf der Oberseite der ersten Polymerschicht ausgebildet wurde, in Übereinstimmung mit einer Ausführung. Die Keimschicht 164 wird über der ersten Polymerschicht 158 ausgebildet. Die Keimschicht 164 kann zwei Abschnitte aufweisen, nämlich eine untere Keimschicht (nicht gezeigt) und eine obere Keimschicht (nicht gezeigt). Die untere Keimschicht kann aus einer Titanschicht, einer Titannitridschicht, einer Tantalschicht, einer Tantalnitridschicht und/oder Ähnlichem bestehen. Die obere Keimschicht kann aus Kupfer, Kupferlegierungen und/oder Ähnlichem ausgebildet werden. In Übereinstimmung mit einer Ausführung kann die Keimschicht 164 mittels irgendeiner geeigneten Technik, wie etwa CVD, PVD und/oder Ähnlichem, ausgebildet werden.
  • Die 14 stellt eine Schnittdarstellung der Halbleitervorrichtung dar, die in 13 gezeigt ist, nachdem eine Umverteilerleitung auf der Oberseite der Keimschicht ausgebildet wurde, in Übereinstimmung mit einer Ausführung. Wie in 14 gezeigt ist, kann ein leitendes Material in die Öffnung (z. B. die Öffnung 1202, die in 12 gezeigt ist) gefüllt werden, um die Umverteilerleitung 166 auszubilden. Das leitende Material kann aus Kupfer bestehen, kann aber auch aus allen geeigneten leitenden Materialien, wie etwa Kupferlegierungen, Aluminium, Wolfram, Silber, allen Kombinationen daraus und/oder Ähnlichem, bestehen. Die Umverteilerleitung 166 kann durch geeignete Techniken, wie etwa einem stromlosen Plattierungsverfahren, CVD, Galvanisieren und/oder Ähnlichem, ausgebildet werden.
  • Wie in 14 gezeigt ist, verbindet die Umverteilerleitung 166 die metallische Kontaktstelle 156. Insbesondere stellt die Umverteilerleitung 166 einen leitenden Pfad zwischen den Metallleitungen (z. B. der Metallleitung 144) und dem Eingangs-/Ausgangs-Anschlusspunkt der Halbleitervorrichtung (z. B. dem Bondhügel 176, der in der 21 gezeigt ist) bereit. Die Betriebsweise von Umverteilerleitungen ist dem Fachmann wohlbekannt und wird daher hier nicht im Detail behandelt.
  • Die 15 stellt eine Schnittdarstellung der Halbleitervorrichtung dar, die in 14 gezeigt ist, nachdem eine zweite Polymerschicht über der Halbleitervorrichtung ausgebildet wurde, in Übereinstimmung mit einer Ausführung. Die zweite Polymerschicht 162 wird auf der Oberseite der ersten Polymerschicht 158 ausgebildet. Die zweite Polymerschicht 162 wird aus Polymermaterialien, wie etwa Epoxid, Polyimid, Polybenzoxazole (PBO), Silizium, Benzocyclobuten (BCB), Formmasse und/oder Ähnlichem, hergestellt. Die zweite Polymerschicht 162 kann auf der ersten Polymerschicht 158 mittels geeigneter Ablagerungstechniken, wie etwa Aufschleudern, abgelagert werden.
  • Die 16 stellt eine Schnittdarstellung der Halbleitervorrichtung dar, die in 15 gezeigt ist, nachdem eine Öffnung in der zweiten Polymerschicht ausgebildet wurde, in Übereinstimmung mit einer Ausführung. Wie in 16 gezeigt ist, wird die Öffnung 1602 durch geeignete Techniken, wie etwa ein Ätzverfahren, ausgebildet. Alternativ kann die Öffnung 1602 ausgebildet werden, indem ein Entwicklungsverfahren mit lichtempfindlichem Material verwendet wird.
  • Die 17 stellt eine Schnittdarstellung der Halbleitervorrichtung dar, die in 16 gezeigt ist, nachdem eine Unter-dem-Bondhügel-Metallisierungs-(UBM)-Keimschicht auf der Oberseite der zweiten Polymerschicht ausgebildet wurde, in Übereinstimmung mit einer Ausführung. Die UBM-Keimschicht 172 wird auf der zweiten Polymerschicht 162 abgelagert. Die UBM-Keimschicht 172 kann leitende Materialien, wie etwa Kupfer und/oder Ähnliches, aufweisen. Die UBM-Keimschicht 172 kann implementiert werden, indem geeignete Herstellungstechniken verwendet werden, wie etwa Sputtern, CVD und/oder Ähnliches.
  • Die 18 stellt eine Schnittdarstellung der Halbleitervorrichtung dar, die in 17 gezeigt ist, nachdem eine zweite leitende Schicht auf der Oberseite der UBM-Keimschicht ausgebildet wurde, in Übereinstimmung mit einer Ausführung. Wie in 18 gezeigt ist, können, um eine zuverlässige UBM-Struktur zu erhalten, zusätzliche leitende Materialien in einer gleichmäßigen Weise auf der Oberseite der UBM-Keimschicht 172 abgelagert werden, um eine zusätzliche leitende Schicht 174 auszubilden. Das leitende Material kann aus Kupfer bestehen, kann aber auch aus allen geeigneten leitenden Materialien bestehen, wie etwa Kupferlegierungen, Aluminium, Wolfram, Silber, allen Kombinationen daraus und/oder Ähnlichem. Die leitende Schicht 174 kann durch geeignete Techniken ausgebildet werden, wie etwa einem stromlosen Plattierverfahren.
  • Die 19 stellt eine Schnittdarstellung der Halbleitervorrichtung dar, die in 18 gezeigt ist, nachdem ein Verbindungs-Bondhügel auf der UBM-Struktur ausgebildet wurde, in Übereinstimmung mit einer Ausführung. Der Verbindungs-Bondhügel 176 bietet eine wirksame Art, um die Halbleitervorrichtung mit externen Schaltungen (nicht gezeigt) zu verbinden. In Übereinstimmung mit einer Ausführung kann der Verbindungs-Bondhügel 176 aus einer Lotkugel bestehen. Die Lotkugel 176 kann aus irgendwelchen geeigneten Materialien gefertigt werden. In Übereinstimmung mit einer Ausführung kann die Lotkugel 176 SAC405 aufweisen. SAC405 umfasst 95,5% Sn, 4,0% Ag und 0,5% Cu.
  • In Übereinstimmung mit einer anderen Ausführung kann der Verbindungs-Bondhügel 176 aus einem Kupfer-Bondhügel bestehen. Der Kupfer-Bondhügel kann eine Höhe von ungefähr 45 μm aufweisen. Der Kupfer-Bondhügel kann ausgebildet werden, indem eine Vielzahl von Halbleiter-Packungstechniken verwendet werden, wie etwa Sputtern, Galvanisieren und/oder Ähnliches.
  • Die 20 stellt eine Schnittdarstellung der Halbleitervorrichtung dar, die in 19 gezeigt ist, nachdem ein Ausdünnungsverfahren auf die zweite Seite des Substrats angewandt wurde, in Übereinstimmung mit einer Ausführung. Gemäß dem Herstellungsverfahren von Durchgangs-Vias wird die zweite Seite (d. h. die Rückseite) des Substrats 102 dünngeätzt, bis das leitende Material der Durchgangs-Via 204 freigelegt ist.
  • Das Ausdünnungsverfahren kann implementiert werden, indem geeignete Techniken verwendet werden, wie etwa Schleifen, Polieren und/oder chemisches Ätzen oder eine Kombination von Ätz- und Schleiftechniken. In Übereinstimmung mit einer Ausführung kann das Ausdünnungsverfahren implementiert werden, indem ein CMP-Verfahren verwendet wird. In dem CMP-Verfahren werden eine Kombination von Ätzmaterialien und Abtragematerialien in Kontakt mit der Rückseite des Substrats gebracht und ein Schleifkissen (nicht gezeigt) wird verwendet, um die Rückseite des Substrats 102 abzuschleifen, bis das leitende Material der Durchgangs-Via 204 offengelegt ist.
  • Die 21 stellt eine Schnittdarstellung der Halbleitervorrichtung dar, die in 20 gezeigt ist, nachdem ein Rückseiten-Kontakt auf der zweiten Seite des Substrats ausgebildet wurde, in Übereinstimmung mit einer Ausführung. Ein Reinigungsverfahren kann angewandt werden, um jeden verbleibenden Überrest, wie etwa Kupferoxid, auf der Rückseite des Substrats 102 zu entfernen, und ein Rückseiten-Kontakt 2102 kann auf der zweiten Seite des Substrats 102 in elektrischem Kontakt mit dem leitenden Material, das in dem Durchgangs-Kontaktloch angeordnet ist, ausgebildet werden.
  • Der Rückseiten-Kontakt 2102 kann ein leitendes Material aufweisen. Das leitende Material kann Aluminium aufweisen und kann durch ein Sputter-Ablagerungsverfahren ausgebildet werden. Andere Materialien, wie etwa Nickel, Kupfer und/oder Ähnliches, können alternativ verwendet werden. Zusätzlich können andere Ausbildungsverfahren, wie etwa Galvanisieren oder stromloses Plattieren, alternativ verwendet werden, um die leitenden Schicht auszubilden.
  • Eine Rückseiten-Passivierschicht 2104 kann teilweise über dem Rückseiten-Kontakt 2102 ausgebildet werden, um den Rückseiten-Kontakt 2102 zu versiegeln und zu schützen. Die Rückseiten-Passivierschicht 2104 kann ein dielektrisches Material, wie etwa ein Oxid oder ein Siliziumnitrid, umfassen, obwohl andere geeignete Dielektrika, wie etwa ein High-k-Dielektrikum, alternativ verwendet werden können.
  • Die Rückseiten-Passivierschicht 2104 kann mittels eines PECVD-Verfahrens ausgebildet werden, obwohl jedes andere geeignete Verfahren alternativ verwendet werden kann. Sobald die Rückseiten-Passivierschicht 2104 auf der zweiten Seite des Substrats abgelagert wurde, kann ein Strukturierverfahren auf die Rückseiten-Passivierschicht 2104 angewandt werden, um zumindest einen Teil des Rückseiten-Kontakts 2102 freizulegen. Eine geeignete Ätztechnik kann auf die Rückseiten-Passivierschicht 2104 angewandt werden, so dass der Rückseiten-Kontakt 2102 offengelegt wird. Im Ergebnis können äußere Vorrichtungen (nicht gezeigt) mit dem Rückseiten-Kontakt 2102 verbunden werden.
  • Die 22 stellt eine Schnittdarstellung einer anderen Halbleitervorrichtung dar, die der Halbleitervorrichtung, die in 1 gezeigt ist, ähnelt. Die Halbleitervorrichtung 2200 ähnelt der Halbleitervorrichtung 100, die in 1 gezeigt ist, außer dass die Halbleitervorrichtung 2200 Verbindungsstrukturen aufweist, die durch die einzelne Damasziertechnik (Single-Damascene-Technik) ausgebildet werden. Das Herstellungsverfahren, das oben mit Bezug auf die 2 bis 21 beschrieben ist, kann auch auf die Ausbildung von einzelnen Damaszierstrukturen angewandt werden. Insgesamt können die Metallleitungen (z. B. die Metallleitungen 2202, 2204, 2212 und 2214) und Vias (z. B. die Vias 2206 und 2216), die in der 22 gezeigt sind, ausgebildet werden, indem leitende Materialien in Öffnungen in Schichten lichtempfindlichen Materials gefüllt werden, ohne dass ein Ätzschritt angewandt wird.
  • Die 23 stellt eine Schnittdarstellung von noch einer anderen Halbleitervorrichtung dar, die der Halbleitervorrichtung ähnelt, die in 1 gezeigt ist. Die Halbleitervorrichtung 2300 ähnelt der Halbleitervorrichtung 100, die in 1 gezeigt ist, außer dass die duale Damaszierstruktur der Halbleitervorrichtung 2300 auf einer dielektrischen Zwischenschicht ausgebildet werden kann. Bezieht man sich wieder auf die 7, dann kann die duale Damaszierstruktur auf einer Metallleitung (z. B. Metallleitung 206) oder auf anderen Strukturen ausgebildet werden. Die 23 stellt ein Beispiel dar, wie eine duale Damaszierstruktur 2302 auf der Oberseite einer dielektrischen Zwischenschicht ausgebildet wird.
  • Obwohl Ausführungen der vorliegenden Offenbarung und ihrer Vorteile im Detail beschrieben wurden, versteht es sich, dass verschiedene Änderungen, Ersetzungen und Modifizierungen vorgenommen werden können, ohne von dem Geist und Schutzumfang der Offenbarung, wie er durch die beigefügten Ansprüche bestimmt ist, abzuweichen.
  • Des Weiteren soll der Schutzumfang der vorliegenden Anmeldung nicht auf die speziellen Ausführungen des Verfahrens, der Vorrichtung, des Herstellungsverfahrens, der Zusammenstellung von Themen, Mitteln, Methoden und Schritten, die in der Beschreibung dargestellt sind, beschränkt sein. Wie ein Fachmann leicht aus der vorliegenden Offenbarung erkennen wird, können Verfahren, Vorrichtungen, Herstellungsverfahren, Zusammenstellung von Gegenständen, Mitteln, Methoden oder Schritten, die gegenwärtig vorliegen oder später entwickelt werden sollen, die im Wesentlichen die gleiche Funktion ausführen oder im Wesentlichen das gleiche Ergebnis erzielen, wie die hier beschriebenen Ausführungen, gemäß der vorliegenden Offenbarung verwendet werden. Somit sollen die beigefügten Ansprüche in ihrem Schutzumfang solche Verfahren, Vorrichtungen, Herstellungsverfahren, Zusammenstellung von Gegenständen, Mitteln, Methoden oder Schritten umfassen.

Claims (10)

  1. Vorrichtung, die Folgendes umfasst: eine dielektrische Zwischenschicht, die auf einer ersten Seite eines Substrats ausgebildet ist; eine erste Metallisierungsschicht, die über der dielektrischen Zwischenschicht ausgebildet ist, wobei die erste Metallisierungsschicht eine erste Metallleitung umfasst; und eine dielektrische Schicht, die über der ersten Metallisierungsschicht ausgebildet ist, wobei die dielektrische Schicht eine Metallstruktur aufweist, die eine untere Fläche umfasst, die koplanar mit einer oberen Fläche der ersten Metallleitung ist.
  2. Vorrichtung von Anspruch 1, wobei die Metallstruktur durch eine duale Damaszierstruktur gebildet wird, die Folgendes umfasst: einen Durchkontaktierungsabschnitt, der über der ersten Metallleitung ausgebildet wird; und einen Metallleitungs-Abschnitt, der über dem Durchkontaktierungsabschnitt ausgebildet wird, und/oder wobei die Metallstruktur aus Kupfer ausgebildet wird.
  3. Vorrichtung von Anspruch 2, wobei eine untere Fläche des Durchkontaktierungsabschnitts koplanar mit einer oberen Fläche der ersten Metallleitung ist und/oder wobei der Durchkontaktierungsabschnitt und der Metallleitungs-Abschnitt in einem gleichen dielektrischen Material ausgebildet werden, wobei das dielektrische Material vorzugsweise durch ein lichtempfindliches Polymermaterial gebildet wird.
  4. Vorrichtung nach einem der vorausgehenden Ansprüche, die weiter Folgendes umfasst: eine Erste-Seite-Verbindungsstruktur, die über der ersten Metallisierungsschicht ausgebildet ist; und eine Zweite-Seite-Verbindungsstruktur, die über einer zweiten Seite des Substrats ausgebildet ist, wobei die Zweite-Seite-Verbindungsstruktur mit der Ersten-Seite-Verbindungsstruktur durch eine Durchkontaktierung verbunden ist.
  5. Verfahren, das Folgendes umfasst: Ausbilden einer dielektrischen Zwischenschicht über einer ersten Seite eines Substrats; Ablagern einer ersten dielektrischen Schicht über der dielektrischen Zwischenschicht; Ausbilden einer ersten Durchkontaktierungs-Öffnung in der ersten dielektrischen Schicht; Ablagern einer zweiten dielektrischen Schicht über der ersten dielektrischen Schicht, wobei die zweite dielektrische Schicht aus dem gleichen Material ist wie die erste dielektrische Schicht besteht; Ausbilden einer ersten Damaszieröffnung in der ersten dielektrischen Schicht und der zweiten dielektrischen Schicht; Anwendung eines ersten Aushärteverfahrens auf die erste dielektrische Schicht und die zweite dielektrische Schicht; und Ausfüllen der ersten Damaszieröffnung mit einem ersten leitenden Material.
  6. Verfahren von Anspruch 5, das weiter Folgendes umfasst: Ausbilden einer Sperrschicht auf Seitenwänden und einer Unterseite der ersten Damaszieröffnung; und Ausbilden einer Keimschicht über der Sperrschicht; und/oder das weiter Folgendes umfasst: Ablagern einer dritten dielektrischen Schicht über der zweiten dielektrischen Schicht; Ausbilden einer zweiten Durchkontaktierungs-Öffnung in der dritten dielektrischen Schicht; Ablagern einer vierten dielektrischen Schicht über der dritten dielektrischen Schicht, wobei die vierte dielektrische Schicht aus dem gleichen Material wie die dritte dielektrische Schicht besteht; Ausbilden einer zweiten Damaszieröffnung in der dritten dielektrischen Schicht und der vierten dielektrischen Schicht; Anwenden eines zweiten Aushärteverfahrens auf die dritte dielektrische Schicht und die vierte dielektrische Schicht; und Füllen der zweiten Damaszieröffnung mit einem zweiten leitenden Material; und/oder das weiter Folgendes umfasst: Ausbilden einer Ersten-Seite-Verbindungsstruktur über der ersten Seite des Substrats; und Anwenden eines Ausdünnungsverfahrens auf eine zweite Seite des Substrats, bis ein leitendes Material einer Durchkontaktierung auf der zweiten Seite des Substrats offengelegt ist.
  7. Verfahren von Anspruch 5 oder 6, wobei das erste leitende Material aus Kupfer besteht und/oder wobei die erste dielektrische Schicht und die zweite dielektrische Schicht aus einem lichtempfindlichen Material ausgebildet werden und/oder wobei eine untere Fläche der ersten Damaszieröffnung koplanar mit einer oberen Fläche der dielektrischen Zwischenschicht ist.
  8. Verfahren, das Folgendes umfasst: Ablagern einer ersten dielektrischen Schicht über einer dielektrischen Zwischenschicht eines Substrats; Ausbilden einer ersten Durchkontaktierungs-Öffnung in der ersten dielektrischen Schicht; Ablagern einer zweiten dielektrischen Schicht über der ersten dielektrischen Schicht, wobei die zweite dielektrische Schicht aus dem gleichen Material wie die erste dielektrische Schicht besteht; Strukturieren der ersten dielektrischen Schicht und der zweiten dielektrischen Schicht; Entwickeln eines freiliegenden Bereichs, um eine erste Damaszieröffnung auszubilden; Anwenden eines ersten Aushärteverfahrens auf die erste dielektrische Schicht und die zweite dielektrische Schicht; Ablagern einer Sperrschicht über Seitenwänden und einer Unterseite der ersten Damaszieröffnung; Ablagern einer Keimschicht über der Sperrschicht; und Ausfüllen der ersten Damaszieröffnung mit einem ersten leitenden Material mittels eines elektrochemischen Galvanisierverfahrens, wobei eine untere Fläche der ersten Damaszieröffnung koplanar mit einer oberen Fläche der dielektrischen Zwischenschicht ist.
  9. Verfahren von Anspruch 8, das weiter Folgendes umfasst: Ablagern einer dritten dielektrischen Schicht über der zweiten dielektrischen Schicht; Ausbilden einer zweiten Durchkontaktierungs-Öffnung in der dritten dielektrischen Schicht; Ablagern einer vierten dielektrischen Schicht über der dritten dielektrischen Schicht, wobei die vierte dielektrische Schicht aus dem gleichen Material wie die dritte dielektrische Schicht besteht; Ausbilden einer zweiten Damaszieröffnung in der dritten dielektrischen Schicht und der vierten dielektrischen Schicht; Anwenden eines zweiten Aushärteverfahrens auf die dritte dielektrische Schicht und die vierte dielektrische Schicht; und Füllen der zweiten Damaszieröffnung mit einem zweiten leitenden Material.
  10. Verfahren von Anspruch 8 oder 9, wobei die erste dielektrische Schicht und die zweite dielektrische Schicht aus einem lichtempfindlichen Material ausgebildet werden; und/oder wobei das Verfahren weiter Folgendes umfasst: Ausbilden eines Zweite-Seite-Kontakts auf einer zweiten Seite des Substrats, wobei eine Zweite-Seite-Passivierschicht vorzugsweise abgelagert wird, wobei der Zweite-Seite-Kontakt in der Zweite-Seite-Passivierschicht eingebettet ist.
DE201310104112 2012-08-31 2013-04-24 Verbindungsstruktur und -verfahren Ceased DE102013104112A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/601,265 US9006101B2 (en) 2012-08-31 2012-08-31 Interconnect structure and method
US13/601,265 2012-08-31

Publications (1)

Publication Number Publication Date
DE102013104112A1 true DE102013104112A1 (de) 2014-03-06

Family

ID=50098537

Family Applications (1)

Application Number Title Priority Date Filing Date
DE201310104112 Ceased DE102013104112A1 (de) 2012-08-31 2013-04-24 Verbindungsstruktur und -verfahren

Country Status (3)

Country Link
US (2) US9006101B2 (de)
CN (2) CN103681597A (de)
DE (1) DE102013104112A1 (de)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9142533B2 (en) 2010-05-20 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate interconnections having different sizes
US9646923B2 (en) 2012-04-17 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, methods of manufacture thereof, and packaged semiconductor devices
US9425136B2 (en) 2012-04-17 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Conical-shaped or tier-shaped pillar connections
US9299674B2 (en) 2012-04-18 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Bump-on-trace interconnect
US9111817B2 (en) * 2012-09-18 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structure and method of forming same
US9379077B2 (en) 2012-11-08 2016-06-28 Nantong Fujitsu Microelectronics Co., Ltd. Metal contact for semiconductor device
WO2014071815A1 (zh) * 2012-11-08 2014-05-15 南通富士通微电子股份有限公司 半导体器件及其形成方法
CN102915986B (zh) 2012-11-08 2015-04-01 南通富士通微电子股份有限公司 芯片封装结构
JP2014107304A (ja) * 2012-11-22 2014-06-09 Renesas Electronics Corp 半導体装置およびその製造方法
US8951838B2 (en) 2013-03-14 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Low cost and ultra-thin chip on wafer on substrate (CoWoS) formation
TWI544555B (zh) * 2014-02-11 2016-08-01 東琳精密股份有限公司 半導體封裝結構及其製造方法
US9768066B2 (en) * 2014-06-26 2017-09-19 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming conductive vias by direct via reveal with organic passivation
US9520371B2 (en) * 2014-10-27 2016-12-13 Globalfoundries Singapore Pte. Ltd. Planar passivation for pads
US10157823B2 (en) 2014-10-31 2018-12-18 Qualcomm Incorporated High density fan out package structure
US9478626B2 (en) * 2014-12-19 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with an interconnect structure and method for forming the same
CN106158823B (zh) * 2015-04-10 2018-09-07 稳懋半导体股份有限公司 金属化穿透孔结构及其制造方法
US9653406B2 (en) * 2015-04-16 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive traces in semiconductor devices and methods of forming same
KR102319186B1 (ko) * 2015-06-12 2021-10-28 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US9425129B1 (en) * 2015-07-01 2016-08-23 Globalfoundries Inc. Methods for fabricating conductive vias of circuit structures
US20170092412A1 (en) * 2015-09-26 2017-03-30 Mathew J. Manusharow Package integrated power inductors using lithographically defined vias
US9859213B2 (en) * 2015-12-07 2018-01-02 Dyi-chung Hu Metal via structure
US10504821B2 (en) * 2016-01-29 2019-12-10 United Microelectronics Corp. Through-silicon via structure
US10134708B2 (en) * 2016-08-05 2018-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package with thinned substrate
CN107887285A (zh) * 2016-09-30 2018-04-06 中芯国际集成电路制造(北京)有限公司 焊垫结构及其制造方法、及图像传感器
US10276428B2 (en) * 2017-08-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of fabricating semiconductor package
KR102450580B1 (ko) * 2017-12-22 2022-10-07 삼성전자주식회사 금속 배선 하부의 절연층 구조를 갖는 반도체 장치
US10903151B2 (en) * 2018-05-23 2021-01-26 Advanced Semiconductor Engineering, Inc. Semiconductor device package and method of manufacturing the same
KR20200029835A (ko) * 2018-09-11 2020-03-19 삼성전자주식회사 반도체 소자의 배선 형성 방법 및 이에 의한 반도체 소자의 배선
US11037874B2 (en) * 2018-10-29 2021-06-15 Intel Corporation Plane-less voltage reference interconnects
US11404534B2 (en) * 2019-06-28 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Backside capacitor techniques
US20210098373A1 (en) * 2019-09-26 2021-04-01 Intel Corporation Integrated circuit structures having differentiated interconnect lines in a same dielectric layer
DE102020124131A1 (de) * 2020-03-26 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11658069B2 (en) 2020-03-26 2023-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device having an interconnect structure over a substrate
US11552084B2 (en) 2020-03-31 2023-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Shared bit lines for memory cells
US11096271B1 (en) * 2020-04-09 2021-08-17 Raytheon Company Double-sided, high-density network fabrication
US11239325B2 (en) * 2020-04-28 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having backside via and method of fabricating thereof

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4663414A (en) * 1985-05-14 1987-05-05 Stauffer Chemical Company Phospho-boro-silanol interlayer dielectric films and preparation
US5877076A (en) * 1997-10-14 1999-03-02 Industrial Technology Research Institute Opposed two-layered photoresist process for dual damascene patterning
TWI220774B (en) * 2003-11-03 2004-09-01 Univ Nat Sun Yat Sen Method for patterning low dielectric constant film and method for manufacturing dual damascene structure
US7365001B2 (en) * 2003-12-16 2008-04-29 International Business Machines Corporation Interconnect structures and methods of making thereof
US7049170B2 (en) * 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
JP2006049804A (ja) * 2004-07-07 2006-02-16 Shinko Electric Ind Co Ltd 配線基板の製造方法
US7122465B1 (en) 2004-12-02 2006-10-17 Spansion Llc Method for achieving increased control over interconnect line thickness across a wafer and between wafers
JP5096669B2 (ja) * 2005-07-06 2012-12-12 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US8084862B2 (en) 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US8476758B2 (en) * 2008-01-09 2013-07-02 International Business Machines Corporation Airgap-containing interconnect structure with patternable low-k material and method of fabricating
JP2010171081A (ja) * 2009-01-20 2010-08-05 Toshiba Corp 半導体装置及びその製造方法
US7955968B2 (en) * 2009-03-06 2011-06-07 Freescale Semiconductor, Inc. Pseudo hybrid structure for low K interconnect integration
US8344513B2 (en) * 2009-03-23 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier for through-silicon via
US8674513B2 (en) * 2010-05-13 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures for substrate
KR101739939B1 (ko) * 2011-03-16 2017-05-26 삼성전자주식회사 반도체 장치의 제조 방법

Also Published As

Publication number Publication date
CN103681597A (zh) 2014-03-26
US9953920B2 (en) 2018-04-24
US20150235940A1 (en) 2015-08-20
CN109560038A (zh) 2019-04-02
US20140061924A1 (en) 2014-03-06
US9006101B2 (en) 2015-04-14

Similar Documents

Publication Publication Date Title
DE102013104112A1 (de) Verbindungsstruktur und -verfahren
DE102013103206B4 (de) Durchkontaktierungsstruktur sowie Verfahren
DE102009000625B4 (de) Verfahren zur Herstellung einer Halbleiterkomponente und eines Halbleiterbauelements sowie zugehöriger Zweifach-Damascene-Prozess
DE102014117338B4 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
DE102014118969B4 (de) Verfahren zum Herstellen einer 3DIC Dichtungsring-Struktur
DE102005052000B3 (de) Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
DE102009012594B4 (de) Durch-Substrat-Via-Halbleiterkomponenten
US10811374B2 (en) Interconnect structure and method of forming same
DE10351875A1 (de) Integriertes Schaltkreisbauelement und Halbleiterbauelement mit MIM-Kondensator
DE102014111783A1 (de) Gestapelte integrierte schaltungen mit umverteilungsleitungen
DE102015107271A1 (de) Ätzstoppschicht in integrierten Schaltungen
DE102010037339A1 (de) Durchsubstratmerkmale in Halbleitersubstraten
DE112007000267T5 (de) Halbleiteranordnung und Verfahren zur Herstellung derselben
DE102009006798B4 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102005024912A1 (de) Technik zur Herstellung von kupferenthaltenden Leitungen, die in einem Dielektrikum mit kleinem ε eingebettet sind, durch Vorsehen einer Versteifungsschicht
DE102017124072B4 (de) Zusammenschaltungsstruktur für halbleitervorrichtung und verfahren zu ihrer herstellung
DE102016100764B4 (de) Halbleiter-bauelementstruktur
DE102016100323B4 (de) Verringern der Dual-Damascene-Verwerfung in integrierten Schaltkreisstrukturen
DE102013211553A1 (de) Monitorstrukturen und verfahren zu ihrer bildung
DE102010037426A1 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
DE102016100258A1 (de) Zwischenschicht für Kupferstrukturierung und Verfahren zur Bildung derselben
DE112004000396T5 (de) Verfahren zur Herstellung einer Halbleiterkomponente mit einer barrierenschichtausgekleideten Öffnung
DE102015119536A1 (de) Kondensatoren mit dielektrischen Barriereschichten und Verfahren zu deren Ausbildung
DE102021100457B4 (de) Rückseiten- oder vorderseiten-substratdurchkontaktierungslandung (tsv-landung) auf metall

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R002 Refusal decision in examination/registration proceedings
R003 Refusal decision now final