DE112004000396T5 - Verfahren zur Herstellung einer Halbleiterkomponente mit einer barrierenschichtausgekleideten Öffnung - Google Patents

Verfahren zur Herstellung einer Halbleiterkomponente mit einer barrierenschichtausgekleideten Öffnung Download PDF

Info

Publication number
DE112004000396T5
DE112004000396T5 DE112004000396T DE112004000396T DE112004000396T5 DE 112004000396 T5 DE112004000396 T5 DE 112004000396T5 DE 112004000396 T DE112004000396 T DE 112004000396T DE 112004000396 T DE112004000396 T DE 112004000396T DE 112004000396 T5 DE112004000396 T5 DE 112004000396T5
Authority
DE
Germany
Prior art keywords
layer
electrically conductive
conductive material
opening
tantalum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE112004000396T
Other languages
English (en)
Inventor
Pin-Chin Connie Menlo Park Wang
Richard J. Cupertino Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of DE112004000396T5 publication Critical patent/DE112004000396T5/de
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren zur Herstellung einer Halbleiterkomponente (10), mit:
Bereitstellen eines Halbleitersubstrats (12) mit einer Hauptoberfläche (16);
Bereitstellen einer Verbindungsschicht über der Hauptoberfläche (16);
Bilden eines dielektrischen Materials (30, 34) über der Verbindungsschicht;
Bilden einer Öffnung (50, 52, 54) in dem dielektrischen Material (30, 34), wobei die Öffnung Seitenwände (55, 56, 57) aufweist;
Bilden einer mehrschichtigen Barriere (60) in der Öffnung (50, 52, 54), um eine mit Barrierenmaterial ausgekleidete Öffnung zu bilden, wobei die mehrschichtige Barriere (60) eine erste Schicht (62) und eine zweite (64) Schicht aus elektrisch leitendem Material aufweist und wobei die zweite Schicht (64) aus elektrisch leitendem Material auf der ersten Schicht (62) aus elektrisch leitendem Material angeordnet ist; und
Füllen der mit Barrierenmaterial ausgekleideten Öffnung mit einem elektrisch leitenden Material (66).

Description

  • GEBIET DER ERFINDUNG
  • Die vorliegende Erfindung betrifft im Allgemeinen ein Metallisierungssystem, das zur Verwendung in einer Halbleiterkomponente geeignet ist, und betrifft insbesondere eine Halbleiterkomponente mit einem Metallisierungssystem mit geringem Widerstand und betrifft ferner ein Verfahren zur Herstellung der Komponente.
  • Hersteller von Halbleiterkomponenten streben ständig danach, die Geschwindigkeit ihrer Komponenten zu erhöhen. Da eine Halbleiterkomponente, etwa ein Mikroprozessor, bis zu einer Milliarde Transistoren oder Bauelemente umfasst, lag bisher der Brennpunkt für das Erhöhen der Geschwindigkeit darin, die Gateverzögerungen der Halbleiterbauelemente zu reduzieren, die die Halbleiterkomponente bilden. Als Folge davon wurden die Gateverzögerungen bis zu einem Punkt reduziert, an welchem nunmehr die Geschwindigkeit im Wesentlichen durch die Ausbreitungsverzögerung des Metallisierungssystem begrenzt ist, das verwendet wird, um die Halbleiterbauelemente miteinander und mit Elementen außerhalb der Halbleiterkomponente zu verbinden. Metallisierungssysteme sind typischerweise aus einer Vielzahl von Verbindungsschichten aufgebaut, die vertikal voneinander mittels eines dielektrischen Materials getrennt sind und elektrisch durch metallgefüllte Durchführungen oder leitende Pfropfen verbunden sind. Jede Schicht enthält Metallleitungen, metallgefüllte Durchführungen oder Kombinationen davon, die durch ein isolierendes Material getrennt sind. Eine Größe, die die Verzögerung des Metallisierungssystems beschreibt, ist die Widerstands-Kapazitäts-(RC) Verzögerung. Die RC-Verzögerung kann aus dem Widerstand der Metallschicht und der damit verknüpften Kapazität innerhalb und zwischen unterschiedlichen Schichten aus Metall in dem Metallisierungssystem ermittelt werden. Insbesondere ist die RC-Verzögerung gegeben durch: RC = (ρ × ε × I2/(tm × tdiel))wobei:
  • ρ
    der Widerstand der metallischen Verbindungsschicht ist;
    ε
    die dielektrische Konstante oder Permittivität des dielektrischen Materials ist;
    I
    die Länge der metallischen Verbindung ist;
    tm
    die Dicke des Metalls ist; und
    tdiel
    die Dicke des dielektrischen Materials ist.
  • Die RC-Verzögerung kann verringert werden, indem der Widerstand und/oder die Kapazität des Metallisierungssystems verringert werden. Zwei häufig angewendete Techniken zum Reduzieren dieser Parameter sind der Einzel-Damaszener-Prozess und der Dual-Damaszener-Prozess. In dem Einzel-Damaszener-Prozess werden Gräben und/oder Kontaktlöcher in eine erste dielektrische Schicht geätzt und nachfolgend mit Metall gefüllt. Es wird dann eine zweite dielektrische Schicht über der ersten dielektrischen Schicht gebildet und Gräben und/oder Kontaktlöcher werden darin ausgebildet. Die Gräben und/oder Kontaktlöcher in der zweiten dielektrischen Schicht werden dann mit Metall gefüllt, das mit dem Metall in ausgewählten Kontaktlöchern oder Gräben in der ersten dielektrischen Schicht in Kontakt kommt. In dem Dual-Damaszener-Prozess werden zwei Ebenen an Gräben und/oder Kontaktlöchern hergestellt, wobei eine oder mehrere Schichten aus dielektrischem Material verwendet werden. Die Gräben und/oder Kontaktdurchführungen bzw. Kontaktlöcher werden dann in einem einzigen Schritt mit Metall gefüllt, so dass das Metall in einem Bereich der Kontaktdurchführungen mit dem Metall in einem Bereich der Gräben in Kontakt ist. Nach der Herstellung der Gräben und vor dem Befüllen mit Metall werden die Gräben und/oder Kontaktdurchführungen typischerweise mit einer elektrisch leitenden Einzelschichtbarriere ausgekleidet, die eine Diffusion von Kupfer durch die Seitenwände der Gräben und/oder Kontaktdurchführungen verhindert. Der Widerstand des Metallisierungssystems ist teilweise durch die Kombination der Metallfüllung der Gräben und/oder Kontaktdurchführungen und der Einzelschichtbarriere bestimmt. Da der Widerstand von Kupfer wesentlich geringer ist als jener der Barrierenschicht, besteht eine Vorgehensweise zum Verringern des Widerstand des Metallisierungssystems darin, die Einzelschichtbarriere so dünn wie möglich machen, wobei eine Plasmadampfabscheidung (PVD) angewendet wird. Ein Nachteil dieser Technik besteht darin, dass Lücken bei der Abdeckung durch die Einzelschichtbarriere auftreten, was zu einem Kontakt von Kupfer mit dem darunter liegenden Material führen kann. Kupfer diffundiert dann in das darunter liegende Material, wodurch die Zuverlässigkeit der Halbleiterkomponenten beeinträchtigt wird. Des weiteren vergrößert das Fehlen der Einzelschichtbarriere über einer darunter liegenden Kupferschicht die Wahrscheinlichkeit für Fehler auf Grund von Elektromigration. Ein weiterer Nachteil bei auftretenden Lücken in der Einzelschichtbarriere besteht darin, dass das abgeschiedene Kupfer dazu neigt, schlecht an der darunter liegenden Schicht zu haften, die durch die Lücken freigelegt ist, wodurch in Bereichen des Metallisierungssystems ein Ablösen von der Halbleiterkomponente auftreten kann, was zu einem Ausfall führt. Ein noch weiterer Nachteil besteht darin, dass die Einzelschichtbarriere typischerweise ungleichförmig ist, und dadurch Hohlräume oder „Löcher" in der Metallfüllung der Gräben oder Kontaktdurchführungen auftreten können, wodurch der Widerstand des Metallisierungssystems erhöht wird.
  • Es besteht daher ein Bedarf für eine Halbleiterkomponente mit einem Metallisierungssystem mit einer Barriere mit gleichförmiger Dicke und ohne Lücken, und es besteht ein Bedarf zur Herstellung der Halbleiterkomponente.
  • ÜBERBLICK ÜBER DIE ERFINDUNG
  • Die vorliegende Erfindung löst die zuvor genannte Aufgabe, indem eine Halbleiterkomponente und ein Verfahren zur Herstellung der Halbleiterkomponente mit einer Mehrschichtbarrierenstruktur bereitgestellt werden. Gemäß einem Aspekt umfasst die vorliegende Erfindung das Bereitstellen eines Halbleitersubstrats mit einer Hauptoberfläche und einer Verbindungsschicht über der Hauptoberfläche. Es wird ein dielektrisches Material über der Verbindungsschicht ausgebildet und eine Öffnung wird in dem dielektrischen Material hergestellt. Es wird eine Mehrschichtbarrierenstruktur in der Öffnung ausgebildet, wobei eine Atomlagenabscheidung verwendet wird, um eine mehrschichtige mit einem Barrierenmaterial ausgekleidete oder beschichtete Öffnung zu bilden. Die mehrschichtige mit Barrierenmaterial ausgekleidete Öffnung wird mit einem elektrisch leitenden Material gefüllt.
  • Gemäß einem weiteren Aspekt umfasst die vorliegende Erfindung das Herstellen einer Damaszener-Struktur über einer tiefer liegenden Metallebene, wobei die Damaszener-Struktur ein isolierendes Material aufweist, das eine Hauptoberfläche besitzt und eine Öffnung enthält, die sich in das isolierende Material erstreckt. Es wird eine mehrschichtige Barriere in der Öffnung gebildet und elektrisch leitendes Material wird über der mehrschichtigen Barriere gebildet.
  • Gemäß einem noch weiteren Aspekt umfasst die vorliegende Erfindung ein Verfahren zum Reduzieren der Elektromigration in einer Halbleiterkomponente. Es wird eine Damaszener-Struktur über einer tieferliegenden elektrisch leitenden Ebene vorgesehen, wobei die Damaszener-Struktur ein dielektrisches Material mit einer Hauptoberfläche und einer Öffnung, die sich in das dielektrische Material erstreckt, aufweist. Die Öffnung und ein Teil der Hauptoberfläche der ersten Schicht aus elektrisch leitendem Material sind mit einem Barrierenmaterial beschichtet, um eine barrierenbeschichtete Öffnung zu bilden. Die erste Schicht aus elektrisch leitendem Material ist mit einer zweiten Schicht aus elektrisch leitendem Material beschichtet, so dass die erste und die zweite Schicht aus elektrisch leitendem Material zusammenwirken, um einen Mehrschichtbarrierenfilm zu bilden. Es wird ein Metall über den mehrschichtigen Barrierenfilm abgeschieden und dieses füllt die mehrschichtige mit Barrierenmaterial beschichtete Öffnung.
  • Gemäß einem noch weiteren Aspekt umfasst die vorliegende Erfindung eine Halbleiterkomponente mit einer Damaszener-Struktur über einer tieferliegenden elektrisch leitenden Ebene bzw. Schicht, wobei die Damaszener-Struktur ein dielektrisches Material mit einer Hauptfläche und einer Öffnung aufweist, die sich in das dielektrische Material erstreckt. Eine Mehrschichtbarriere kleidet die Öffnung aus und bedeckt einen Teil der Hauptoberfläche. Ein elektrisch leitendes Material ist auf der Mehrschichtbarriere in der Öffnung angeordnet.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Die vorliegende Erfindung kann durch Studium der folgenden detaillierten Beschreibung in Verbindung mit den begleitenden Zeichnungen besser verstanden werden, in denen gleiche Bezugszeichen gleiche Elemente bezeichnen und in denen:
  • 1-4 vergrößerte Querschnittsseitenansichten einer Halbleiterkomponente während der Herstellung gemäß einer Ausführungsform der vorliegenden Erfindung zeigen.
  • DETAILLIERTE BESCHREIBUNG
  • Im Allgemeinen stellt die vorliegende Erfindung eine Halbleiterkomponente mit einem Metallisierungssystem mit einer dünnen konformen mehrschichtigen Barrierenstruktur bereit, die die Elektromigration verringert und die Ausbildung von Kupfer- (oder anderen geeigneten Metall-) Verbindungsleitungen mit einer vergrößerten Querschnittsfläche und einem geringen Widerstand ermöglicht. Das Metallisierungssystem kann beispielsweise unter Anwendung eines Damaszener-Prozesses hergestellt werden, indem ein Graben und/oder eine Kontaktdurchführung in einem dielektrischen Stapel mit einer isolierenden Schicht mit einer antireflektierenden Beschichtung, die darauf angeordnet ist, gebildet wird. Der Graben und/oder die Kontaktdurchführung werden mit einer mehrschichtigen konformen Barriere ausgekleidet und dann mit einem elektrisch leitenden Material, etwa beispielsweise Kupfer, gefüllt. Gemäß einem Aspekt der vorliegenden Erfindung umfasst die konforme mehrschichtige Barriere eine Schutzschicht, die konform die Gräben und/oder Kontaktdurchführungen auskleidet und eine Deckschicht, die über der Schutzschicht angeordnet ist. Die Schutzschicht und die Deckschicht werden unter Anwendung einer Atomlagenabscheidetechnik in Verbindung mit einem Vorstufenmaterial ohne Halogenide oder mit einem organometallischen Vorstufenmaterial gebildet. Die Schutzschicht besitzt eine Dicke von ungefähr 5 Angstrom (A) bis ungefähr 60 Angstrom und die konforme Deckschicht besitzt eine Dicke im Bereich von einer Monoschicht bis ungefähr 10 Angstrom. Vorzugsweise weist die Deckschicht eine Dicke im Bereich von ungefähr 1 Angstrom bis ungefähr 5 Angstrom auf. Die Schutzschicht und die Deckschicht wirken zusammen, um die konforme Mehrschichtbarriere zu bilden. Das elektrisch leitende Material, das über der konformen Mehrschichtbarriere angeordnet ist, wird eingeebnet (oder poliert), um gefüllte Gräben und/oder Kontaktdurchführungen, beispielsweise kupfergefüllte Gräben zu bilden, wenn das elektrisch leitende Material Kupfer ist. Ein Vorteil des Ausbildens einer mehrschichtigen Barriere unter Anwendung der Atomlagenabscheidung besteht darin, dass die mehrschichtige Barriere eine dünne konforme Struktur mit einem geringen Widerstand ist. Ein weiterer Vorteil der vorliegenden Erfindung besteht darin, dass die Elektromigration reduziert wird.
  • 1 ist eine vergrößerte Querschnittsseitenansicht einer Halbleiterkomponente 10 während eines Zwischenstadiums der Herstellung gemäß einer Ausführungsform der vorliegenden Erfindung. In 1 ist ein Halbleitersubstrat 12 gezeigt, in welchem ein Halbleiterbauelement 14 hergestellt ist. Das Halbleitersubstrat 12 besitzt eine Hauptoberfläche 16. Es sollte beachtet werden, dass das Halbleiterbauelement 14 keine Einschränkung für die vorliegende Erfindung darstellt. Zu geeigneten Halbleiterbauelementen gehören aktive Elemente, etwa beispielsweise Feldeffekttransistoren mit isoliertem Gate, komplementäre Feldeffekttransistoren mit isoliertem Gate, Feldeffekttransistoren mit Übergang, bipolare Transistoren, Dioden und dergleichen sowie passive Elemente, etwa beispielsweise Kondensatoren, Widerstände und Induktivitäten. In gleicher Weise ist das Material das Halbleitersubstrats 12 keine Beschränkung der vorliegenden Erfindung. Das Substrat 12 kann Silizium sein, Silizium auf-Isolator (SOI), Silizium-auf-Saphir (SOS), Silizium/Germanium, Germanium, eine Epitaxieschicht aus Silizium, die auf einem Siliziumsubstrat ausgebildet ist, oder dergleichen. Des weiteren kann das Halbleitersubstrat 12 aus einer Verbindung von Halbleitermaterialien, etwa Gallium/Arsenid, Indium/Phosphid oder dergleichen hergestellt sein.
  • Ein dielektrisches Material 18 mit einer Hauptoberfläche 20 ist auf dem Halbleitersubstrat 12 ausgebildet, und ein elektrisch leitender Bereich 22 mit einer Hauptoberfläche 24 ist auf einem Bereich des dielektrischen Materials 18 ausgebildet. Beispielsweise kann der elektrisch leitende Bereich 22 ein Metall sein. Die Metallschicht 22 kann als Metall-1, als eine untere elektrisch leitende Ebene bzw. Schicht, als eine untere Metallebene, als eine darunter liegende Struktur oder als eine darunter liegende Verbindungsstruktur bezeichnet werden. Die Kombination des dielektrischen Materials 18 und des elektrisch leitenden Bereichs 22 wird als eine Verbindungsschicht bezeichnet. Wenn der elektrisch leitende Bereich 22 Metall ist, wird die Verbindungsschicht auch als eine Metallverbindungsschicht oder eine leitende Ebene bezeichnet. Techniken zur Herstellung der Halbleiterbauelemente, etwa des Bauelements 14, des dielektrischen Materials 18 und der Metallschicht 22 sind dem Fachmann vertraut.
  • Eine Ätzstoppschicht 28 mit einer Dicke im Bereich von ungefähr 5 Angstrom bis ungefähr 1000 Angstrom ist auf den Hauptoberflächen 20 und 24 ausgebildet. Beispielsweise besitzt die Ätzstoppschicht 28 eine Dicke von 500 Angstrom. Zu geeigneten Materialien für die Ätzstoppschicht 28 gehören dielektrische Materialien, beispielsweise Siliziumoxynitrid (SiON), Siliziumnitrid (SiN), siliziumreiches Nitrid (SiRN), Siliziumkarbid (SiC), hydrogenisiertes oxidiertes Siliziumkohlenstoffmaterial (SiCOH), oder dergleichen.
  • Eine Schicht aus dielektrischem oder isolierendem Material 30 mit einer Dicke im Bereich von ungefähr 1000 Angstrom bis ungefähr 20000 Angstrom ist auf der Ätzstoppschicht 28 ausgebildet. Vorzugsweise besitzt die isolierende Schicht 30 eine Dicke im Bereich von 4000 Angstrom bis 12000 Angstrom. Z. B. weist die isolierende Schicht 30 eine Dicke von ungefähr 10000 Angstrom auf und enthält ein Material mit einer dielektrischen Konstante (ε), die kleiner als jene von Siliziumdioxid, Siliziumnitrid oder hydrogenisiertem oxidierten Siliziumkarbonmaterial (SiCOH). Obwohl die isolierende Schicht 30 eine Siliziumdioxidschicht, eine Siliziumnitridschicht oder eine SiCOH-Schicht sein kann, führt die Verwendung von Materialien für die isolierende Schicht 30 mit einer geringeren Dielektrizitätskonstante als die von jenen Materialien zu einer kleineren Kapazität des Metallisierungssystems und verbessert damit das Leistungsverhalten der Halbleiterkomponente 10. Zu geeigneten organischen dielektrischen Materialien mit kleinem ε gehören, ohne einschränkend zu sein, Polyimid, aufgeschleuderte Polymere, Poly(arylenether) (PAE), Parylen, Xerogel, fluorinierter aromatischer Ether (FLARE), fluoriniertes Polyimid (FPI), dichtes SiLK, poröses SiLK (p-SiLK), Polytetrafluoroethylen und Benzozyklobuten (BCB). Zu geeigneten anorganischen dielektrischen Materialien mit kleinem ε gehören, ohne einschränkend zu sein, Wasserstoffsilsesquioxan (HSQ), Methylsilsquioxan (MSQ), fluoriniertes Glas, oder NANOGLAS. Es sollte beachtet werden, dass die Art des dielektrischen Material für die isolierende Schicht 30 keine Einschränkung der vorliegenden Erfindung darstellt und dass andere organische oder anorganische dielektrische Materialien verwendet werden können, insbesondere dielektrische Materialien mit einer Dielektrizitätskonstante, die kleiner ist als jene von Siliziumdioxid. In ähnliche Weise ist das Verfahren zur Herstellung der isolierenden Schicht 30 keine Einschränkung der vorliegenden Erfindung. Beispielsweise kann die isolierende Schicht 30 hergestellt werden, indem u. a. Techniken, wie das Beschichten mit Aufschleudern, das Beschichten mit Aufsprühen, die chemische Dampfabscheidung (CVD), plasmaunterstützte chemische Dampfabscheidung (PECVD), oder physikalische Dampfabscheidung (PVD) verwendet werden.
  • Eine Ätzstoppschicht 32 mit einer Dicke im Bereich von ungefähr 5 Angstrom bis ungefähr 1000 Angstrom ist auf der isolierenden Schicht 30 ausgebildet. Beispielsweise besitzt die Ätzstoppschicht 32 eine Dicke von 500 Angstrom. Zu geeigneten Materialien für die Ätzstoppschicht 32 gehören dielektrische Materialien, etwa beispielsweise Siliziumoxynitrid (SiON), Siliziumnitrid (SiN), siliziumreiches Nitrid (SiRN), Siliziumkarbid (SiC), hydrogenisiertes oxidiertes Siliziumkohlenstoffmaterial (SiCOH), oder dergleichen. Es sollte beachtet werden, dass die Ätzstoppschicht 32 eine optionale Schicht ist. Anders ausgedrückt, die Ätzstoppschicht 32 muss in der Halbleiterkomponente 10 nicht vorgesehen sein.
  • Eine Schicht aus dielektrischem Material 34 mit einer Dicke im Bereich von ungefähr 2000 Angstrom bis ungefähr 20000 Angstrom ist auf der Ätzstoppschicht 32 ausgebildet. Geeignete Materialien und Abscheidetechniken für die dielektrische Schicht 34 sind die gleichen, wie sie zuvor für die isolierende Schicht 30 aufgeführt sind. Obwohl das Material der dielektrischen Schicht 34 das gleiche sein kann, wie das der isolierenden Schicht 30, so sind vorzugsweise diese dielektrischen Materialien unterschiedlich. Ferner ist es vorteilhaft, dass die Materialien der dielektrischen Schicht 34 und der isolierenden Schicht 30 unterschiedliche Ätzraten aufweisen, jedoch ähnliche thermische Ausdehnungskoeffizienten besitzen und in der Lage sind, den Belastungspegeln zu widerstehen, die mit der Verarbeitung und der Verwendung eines fertigen Produkts einhergehen.
  • Gemäß einer Ausführungsform ist das dielektrische Material der isolierenden Schicht 30 p-SILK und das Material der dielektrischen Schicht 34 ist Siliziumoxynitrid (SiON). Zu anderen geeigneten Materialien für die dielektrische Schicht 34 gehören Siliziumkarbid und Ensemble (Ensemble ist eine Zwischenschichtdielektrikumsbeschichtung, die von Dow Chemical Co. verkauft wird). Diese Materialien können unter Anwendung einer Aufschleuder-Beschichtungs-Technik aufgebracht werden und besitzen ähnliche Belastungspegeltoleranzen und Prozesstemperaturtoleranzen. Des weiteren können diese Materialien selektiv oder unterschiedlich in Bezug zueinander geätzt werden. Anders ausgedrückt, es sind Ätzmittel verfügbar, die selektiv das p-SiLK-Material und Siliziumoxynitrid ätzen, d. h. es kann ein Ätzmittel verwendet werden, um p-SiLK zu ätzen, wobei Siliziumoxynitrid nur unwesentlich geätzt wird, und es kann ein weiteres Ätzmittel verwendet werden, um das Siliziumoxynitrid zu ätzen, ohne dass p-SiLK wesentlich geätzt wird.
  • Gemäß einer weiteren Ausführungsform ist das dielektrische Material der isolierenden Schicht 30 ein geschäumtes Polyimid und das dielektrische Material der dielektrischen Schicht 34 ist HSQ. Die Schichten 30, 32 und 34 wirken zusammen, um eine isolierende Struktur zu bilden. Obwohl in diesen Ausführungsformen die Verwendung eines organischen und eines anorganischen dielektrischen Materials in Kombination gezeigt ist, ist dies keine Beschränkung der vorliegenden Erfindung. Die dielektrischen Materialien der isolierenden Schicht 30 und der dielektrischen Schicht 34 können beide organische Materialien oder anorganische Materialien oder eine Kombination davon repräsentieren.
  • Es sei weiterhin auf 1 verwiesen; eine Hartmaske 36 mit einer Dicke im Bereich von ungefähr 100 Angstrom bis ungefähr 5000 Angstrom ist auf der dielektrischen Schicht 34 ausgebildet. Vorzugsweise besitzt die Hartmaske 36 eine Dicke im Bereich zwischen ungefähr 500 Angstrom und ungefähr 1000 Angstrom und weist eine einzelne Schicht aus einem dielektrischen Material, etwa beispielsweise Siliziumoxynitrid (SiON), Siliziumnitrid (SiN), siliziumreiches Nitrid (SiRN), Siliziumkarbid (SiC) oder hydrogenisiertes oxidiertes Siliziumkohlenstoffmaterial (SiCOH) auf. Es sollte beachtet werden, dass die Hartmaske 36 nicht auf ein Einzelschichtsystem beschränkt ist, sondern dass auch ein Mehrschichtsystem vorgesehen werden kann. Die Hartmaske 36 sollte ein Material aufweisen, das eine unterschiedliche Ätzrate oder Selektivität und eine unterschiedliche Dicke als die Ätzstoppschichten 28 und 32 besitzt. Da die Hartmaske 36 die Reflektion von Licht während der photolithographischen Schritte herabsetzt, die bei der Strukturierung einer Photolackschicht 42 verwendet werden, wird diese Schicht auch als eine antireflektierende Beschichtung (ARC) bezeichnet.
  • Die Schicht aus Photolack 42 ist auf der Hartmaske 36 ausgebildet und so strukturiert, dass Öffnungen 44 und 46 gebildet werden, wobei vom Fachmann bekannte Techniken verwendet werden.
  • Es sei nun auf 2 verwiesen; die Bereiche der Hartmaske 36 und der dielektrischen Schicht 34, die nicht von der strukturierten Photolackschicht 42 bedeckt sind, d. h. die Bereiche, die von den Öffnungen 44 und 46 freigelegt sind, werden unter Anwendung eines anisotropen reaktiven Ionenätzprozesses geätzt, um Öffnungen 50 und 52 mit Seitenwänden 50 und 56 zu bilden. Der anisotrope Ätzprozess stoppt oder hält in oder auf der Ätzstoppschicht 32 an. Anders ausgedrückt, die Bereiche der Hartmaske 36 und der dielektrischen Schicht 34, die unter den Öffnungen 44 und 46 liegen oder von diesen freigelegt sind, werden unter Anwendung des anisotropen reaktiven Ionenätzprozesses entfernt, wodurch Bereiche der Ätzstoppschicht 32 freigelegt werden. Die Photolackschicht 42 wird entfernt, wobei für den Fachmann bekannte Techniken eingesetzt werden.
  • Es wird eine weitere Schicht aus Photolack (nicht gezeigt) auf den verbleibenden Bereichen der Hartmaske 36 gebildet und somit werden die Öffnungen 50 und 52 gefüllt. Die Photolackschicht wird strukturiert, um eine Öffnung (nicht gezeigt) zu bilden, die einen Teil der Ätzstoppschicht 32 unter der mit Photolack gefüllten Öffnung 52 freilegt. Der freigelegte Bereich der Ätzstoppschicht 32 und der Bereich der isolierenden Schicht 30, der unter dem freigelegten Bereich der Ätzstoppschicht 32 liegt, werden unter Anwendung eines reaktiven Ionenätzprozesses geätzt, um eine innere Öffnung 54 mit Seitenwänden 57 zu bilden, wobei diese Öffnung einen Bereich der Ätzstoppschicht 28 freilegt. Somit stoppt der reaktive Ionenätzprozess an der Ätzstoppschicht 28, wobei Bereiche der Ätzstoppschicht 28 freigelegt werden. Die Photolackschicht wird dann entfernt.
  • Die freigelegten Bereiche der Ätzstoppschichten 28 und 32 werden unter Anwendung eines reaktiven Ionenätzprozesses geätzt, um Bereiche der isolierenden Schicht 30 und der Metallschicht 22 freizulegen. Vorzugsweise wird die Photolackschicht vor dem Freilegen der isolierenden Schicht 30 entfernt, da dielektrische Materialien mit kleinem ε, die die isolierende Schicht 30 bilden können, empfindlich sind auf Prozesse zur Entfernung von Photolackmaterial und somit geschädigt werden können.
  • Die Öffnung 50 in Verbindung mit den Schichten 30, 32, 34 und 36 bildet eine Einzel-Damaszener-Struktur, wohingegen die Öffnungen 52 und 54 in Verbindung mit den Schichten 28, 30, 32, 34 und 36 eine duale Damaszener-Struktur bilden. Wenn eine Öffnung, etwa die Öffnung 50, verwendet wird, um elektrisch vertikal beabstandete Verbindungsschichten zu verbinden, so wird diese typischerweise als ein Kontaktloch oder eine Kontaktdurchführung oder eine Verbindungskontaktdurchführung bezeichnet, wohingegen, wenn eine Öffnung, etwa die Öffnung 52, verwendet wird, um horizontal elektrisch leitende Leitungen oder Zwischenverbindungen herzustellen, diese typischerweise als ein Graben oder ein Verbindungsgraben bezeichnet wird.
  • Gemäß 3 ist eine Barriere 60 mit einer Dicke im Bereich von ungefähr 5 Angstrom bis ungefähr 65 Angstrom auf der Hartmaske 36 und in den Öffnungen 50, 52 und 54 (in 2 gezeigt) ausgebildet. Die Barriere 60 ist eine Mehrschichtstruktur mit einer konformen Schutzschicht 62 und einer konformen Deckschicht 64. Anders ausgedrückt, die Schutzschicht 62 wirkt mit der Deckschicht 64 zusammen, um die Barriere 60 zu bilden. Die Schutzschicht 62 dient zur Verhinderung von Korrosion von leitenden Schichten, beispielsweise der Schicht 22, wohingegen die Deckschicht 64 zur Vermeidung von Elektromigration vorgesehen ist. Somit wird die Schutzschicht 62 auch als eine korrosionsverhindernde oder vermeidende Schicht und die Deckschicht 64 auch als eine elektromigrationsresistente oder verhindernde Schicht bezeichnet.
  • Die Schutzschicht 62 wird durch konformes Abscheiden eines elektrisch leitenden Materials unter Anwendung eines Vorstufenmaterials auf der Basis eines halogenidfreien Materials in einem Atomlagenabscheide- (ALD) Prozess hergestellt. Beispielsweise ist das Material der Schutzschicht 62 ein Metallnitrid. Zu geeigneten Metallnitridmaterialien für die Schutzschicht 62 gehören Tantalnitrid, Wolframnitrid und Titannitrid. Alternativ kann die Schutzschicht 62 unter Verwendung eines Metallnitrids gebildet werden, das mit Kohlenstoff oder Silizium dotiert ist. Beispielsweise kann die Schutzschicht 62 ein siliziumdotiertes Tantalnitrid (TaSiN), ein kohlenstoffdotiertes Tantalnitrid (TaCN), ein siliziumdotiertes Wolframnitrid (WSiN), ein kohlenstoffdotiertes Wolframnitrid (WCN), ein siliziumdotiertes Titannitrid (TiSiN), ein kohlenstoffdotiertes Titannitrid (TiCN) oder dergleichen sein. Ein Vorteil der Anwendung einer Atomlagenabscheidung besteht darin, dass diese in der Lage ist, eine äußerst verdichtete dünne konforme Schicht oder einen Film unter Verwendung eines Vorstufenmaterials ohne Halogenide zu bilden, etwa beispielsweise mittels eines organometallischen Vorstufenmaterials. Zu Beispielen für geeignete organometallische Vorstufenmaterialien gehören u. a. Pentakis (diethylamido)-Tantal (PDEAT), T-Butylliminotris(diethylamino)- Tantal (TBTDET), Ethyliminotris(diethylamino)- Tantal (EITDET-c), Pentakis(Ethylmethylamido)- Tantal (PEMAT), Tridimethylamintitanat (TDMAT), Tetrakis(diethylamino)- Titan (TDEAT), (Trimethylvinylsilyl)- Hexafluoroazetylazetonato- Kupfer I, oder Wolframhexakohlenstoffmonoxid (W(CO)6). Die Vorstufenmaterialien auf der Grundlage ohne Halogenide bilden keine Nebenprodukte, etwa Tantalpentachlorid oder Tantalpentafluorid, die Metalle, etwa Kupfer, korrodieren. Ferner sind die konformen Schichten, die unter Verwendung dieser Vorstufenmaterialien gebildet werden, ausreichend dicht, so dass diese eine Dicke von lediglich einigen Angstrom erfordern, beispielsweise 3 Angstrom bis 10 Angstrom, um darunter liegende Metallschichten abzudecken oder zu schützen. Da die Schutzschicht so dünn sein kann, besitzen Verbindungsschichten mit einer Barrierenschicht und einem elektrisch leitenden Filmmaterial, etwa Kupfer, die gemäß der vorliegenden Erfindung hergestellt sind, einen sehr geringen Widerstand. Vorzugsweise besitzt die Schutzschicht 62 eine Dicke im Bereich von ungefähr 5 Angstrom bis ungefähr 60 Angstrom.
  • Die Deckschicht 64 wird durch konformes Abscheiden eines elektrisch leitenden Materials unter Anwendung eines ALD-Prozesses gebildet. Zu geeigneten Materialien für die Deckschicht 64 gehören Tantal, Wolfram, Titan, hochschmelzende Metalle oder dergleichen. Beispielsweise ist die Deckschicht 64 ein Tantalfilm, der unter Anwendung des ALD-Prozesses mit einem reduzierenden Mittel gebildet wird, wobei das Tantal aus Tantalpentachlorid (TaCl5) oder Tantalpentafluorid (TaF5) gewonnen wird, und wobei das reduzierende Mittel ein Wasserstoff- (H2) Plasma oder ein Ammonium- (NH3) Plasma ist. Die Deckschicht 64 besitzt eine Dicke im Bereich von ungefähr 1 Angstrom bis ungefähr 10 Angstrom. Die Deckschicht 64 liefert eine äußerst zuverlässige Grenzfläche mit einem nachfolgend abgeschiedenen Metallfilm, etwa beispielsweise Kupfer, und verbessert damit die Widerstandsfähigkeit gegen Elektromigration.
  • Ein Film oder eine Schicht 66 aus einem elektrisch leitenden Material wird auf der Deckschicht 64 gebildet und füllt die Öffnungen 50, 52 und 54, wodurch eine metallgefüllte mit Barrierenmaterial ausgekleidete Öffnung gebildet wird. Beispielsweise ist die Schicht 66 Kupfermaterial, das auf der Deckschicht 64 elektrochemisch aufgebracht wird. Techniken zum elektrochemischen Aufbringen von Kupfer auf eine Deckschicht sind dem Fachmann bekannt. Alternativ kann die Schicht 66 aus Aluminium oder Silber aufgebaut sein.
  • Gemäß 4 wird die Kupferschicht bzw. der Kupferfilm 66 eingeebnet, wobei beispielsweise eine chemisch-mechanische Polier- (CMP) Technik mit einer hohen Selektivität zu der Hartmaske 36 verwendet wird. Somit stoppt der Einebnungsvorgang an der Hartmaske 36. Nach dem Einebnen verbleibt ein Bereich 68 der Kupferschicht 66 in der Öffnung 50 und ein Bereich 70 der Kupferschicht 66 verbleibt in den Öffnungen 52 und 54, wobei diese Öffnungen in 2 gezeigt sind. Der Fachmann weiß, dass chemisch-mechanisches Polieren auch als chemisch-mechanisches Einebnen bezeichnet wird. Das Verfahren zum Einebnen der Kupferschicht 66 ist für die vorliegende Erfindung nicht beschränkend. Andere geeignete Einebnungstechniken einschließlich des Elektropolierens, des elektrochemischen Polierens, des chemischen Polierens und des chemisch unterstützten Einebnens sind ebenso möglich.
  • Optional kann eine Passivierungs- oder Schutzschicht (nicht gezeigt) über den Bereichen 68 und 70 und über der Hartmaske 36 gebildet werden.
  • Somit ist eine Halbleiterkomponente mit einem Metallisierungssystem, das eine konforme mehrschichtige Barrierenstruktur zwischen einer darunter liegenden Struktur und einem elektrisch leitenden Material, bereitgestellt. Die konforme mehrschichtige Barrierenstruktur umfasst eine Deckschicht, die auf einer Schutzschicht angeordnet ist. Die Schutzschicht und die Deckschicht der mehrschichtigen Barrierenstruktur werden unter Anwendung einer Atomlagenabscheidung hergestellt, die das Herstellen dünner konformer Schichten ermöglicht. Ferner wird die Schutzschicht unter Verwendung eines Vorstufenmaterials hergestellt, das keine Nebenprodukte erzeugt, die Metalle, etwa Kupfer, korrodieren. Der Atomlagenabscheideprozess bildet dünne konforme Schichten aus, die keine Lücken hinterlassen oder das darunter liegende Material ungeschützt lässt. Somit verhindert die Schutzschicht eine Metallkontaminierung von darunter liegenden Schichten. Dies ist insbesondere wichtig bei der Herstellung von Kupferverbindungen. Zudem stellt die Ausbildung einer kontinuierlichen Schutzschicht sicher, dass eine starke Bindung oder Haftung von beispielsweise Kupfer zu der Halbleiterkomponente besteht. Die Deckschicht verhindert oder verringert die Elektromigration in der Halbleiterkomponente. Die Deckschicht kann gebildet werden, indem Vorstufenmaterialien auf der Basis von Halogeniden verwenden werden, da die Schutzschicht eine Korrosion oder einen Lochfraß in Materialien, die unter der Schutzschicht liegen, verhindert. Da die mehrschichtige Barrierenstruktur dünn ist, d. h. eine Dicke von weniger als ungefähr 65 Angstrom aufweist, ist der Hauptteil der Verbindungsstruktur aus einem elektrisch leitenden Material, etwa Kupfer aufgebaut, das einen geringen Widerstand besitzt und ein sehr guter thermischer Leiter ist. Das Verfahren ist geeignet zur Integration in Halbleiterbearbeitungstechniken, etwa den Einzel- oder Dual-Damaszener-Prozess. Ein weiterer Vorteil eines Metallisierungssystems, das gemäß der vorliegenden Erfindung hergestellt ist, besteht darin, dass es kostengünstig in Fertigungsprozesse für Halbleiterkomponenten integriert werden kann.
  • Obwohl gewisse bevorzugte Ausführungsformen und Verfahren hierin offenbart sind, erkennt der Fachmann, dass Variationen und Modifizierungen derartiger Ausführungsformen und Verfahren durchgeführt werden können, ohne von dem Grundgedanken und Schutzbereich der Erfindung abzuweichen. Es ist beabsichtigt, dass die Erfindung lediglich in dem Maße eingeschränkt ist, wie dies durch die angefügten Patentansprüche und Regeln und Prinzipien geltenden Gesetze erforderlich ist.
  • Zusammenfassung
  • Eine Halbleiterkomponente (10) mit einem Metallisierungssystem, das eine dünne konforme mehrschichtige Barrierenstruktur (60) aufweist, und ein Verfahren zur Herstellung der Halbleiterkomponente (10) sind beschrieben. Eine Schicht aus dielektrischem Material (30, 34) ist über einer tieferliegenden Verbindungsebene gebildet. Eine Hartmaske (36) wird über der dielektrischen Schicht (30, 34) gebildet, und eine Öffnung (50, 52, 54) wird durch die Hartmaske (36) in die dielektrische Schicht (30, 34) geätzt. Die Öffnung (50, 52, 54) wird mit einer dünnen konformen mehrschichtigen Barriere (60) unter Anwendung einer Atomlagenabscheidung ausgekleidet. Die mit dem mehrschichtigem Barrierematerial ausgekleidete Öffnung wird mit einem elektrisch leitenden Material (66) gefüllt, das anschließend eingeebnet wird.

Claims (10)

  1. Verfahren zur Herstellung einer Halbleiterkomponente (10), mit: Bereitstellen eines Halbleitersubstrats (12) mit einer Hauptoberfläche (16); Bereitstellen einer Verbindungsschicht über der Hauptoberfläche (16); Bilden eines dielektrischen Materials (30, 34) über der Verbindungsschicht; Bilden einer Öffnung (50, 52, 54) in dem dielektrischen Material (30, 34), wobei die Öffnung Seitenwände (55, 56, 57) aufweist; Bilden einer mehrschichtigen Barriere (60) in der Öffnung (50, 52, 54), um eine mit Barrierenmaterial ausgekleidete Öffnung zu bilden, wobei die mehrschichtige Barriere (60) eine erste Schicht (62) und eine zweite (64) Schicht aus elektrisch leitendem Material aufweist und wobei die zweite Schicht (64) aus elektrisch leitendem Material auf der ersten Schicht (62) aus elektrisch leitendem Material angeordnet ist; und Füllen der mit Barrierenmaterial ausgekleideten Öffnung mit einem elektrisch leitenden Material (66).
  2. Verfahren nach Anspruch 1, wobei Bilden der mehrschichtigen Barriere (60) umfasst: Bilden der ersten Schicht (62) aus elektrisch leitendem Material in der Öffnung unter Anwendung einer Atomlagenabscheidung, wobei die erste Schicht (62) aus elektrisch leitendem Material eine Dicke im Bereich von ungefähr 5 Angstrom bis ungefähr 60 Angstrom aufweist.
  3. Verfahren nach Anspruch 2, wobei Bilden der ersten Schicht (62) aus elektrisch leitendem Material umfasst: Verwenden eines organometallsichen Vorstufenmaterials, das aus der Gruppe von Vorstufenmaterialien ausgewählt wird: Pentakis (diethylamido)-Tantal (PDEAT), T-Butyiminotris- (diethylamino)- Tantel (TBTDET), Ethyliminotris (diethylamino)- Tantal (EITDET-C), Pentakis- (ethylmethylamido)- Tantal (PEMAT), Tridimethylamintitanat (TDMAT), Tetrakis(diethylamino)- Titan (TDEAT), (Trimethylsilyl)-Hexafluoroazetylazentonatokupfer I und Wolframhexakohlenstoffmonoxid (W(CO)6).
  4. Verfahren nach Anspruch 2, wobei Bilden der mehrschichtigen Barriere (60) ferner umfasst: Bilden der zweiten Schicht (64) aus elektrisch leitendem Material auf der ersten Schicht (62) aus elektrisch leitendem Material unter Anwendung einer Atomlagenabscheidung.
  5. Verfahren nach Anspruch 4, wobei Bilden der zweiten Schicht (64) aus elektrisch leitendem Material umfasst: Erhalten des Tantals aus Tantalpentachlorid (TaCl5) oder Tantalpentafluorid (TaF5).
  6. Verfahren zur Reduzierung der Elektromigration in einer Halbleiterkomponente (10), mit: Bereitstellen einer Damaszener-Struktur über einer tieferliegenden elektrisch leitenden Ebene, wobei die Damaszener-Struktur ein dielektrisches Material (30, 34) mit einer Hauptoberfläche und eine Öffnung (50, 52, 54), die sich in das dielektrische Material (30, 34) erstreckt, aufweist; Auskleiden der Öffnung (50, 52, 54) und eines Bereichs der Hauptoberfläche mit einer ersten Schicht (62) aus elektrisch leitendem Material, um eine mit Barrierenmaterial ausgekleidete Öffnung zu bilden; Beschichten der ersten Schicht (62) aus elektrisch leitendem Material mit einer zweiten Schicht (64) aus elektrisch leitendem Material, wobei die erste Schicht (62) und die zweite Schicht (64) aus elektrisch leitendem Material zusammenwirken, um einen mehrschichtigen Barrierenfilm (60) zu bilden; und Anordnen eines Metalls (66) über dem mehrschichtigen Barrierenfilm (60).
  7. Verfahren nach Anspruch 6, wobei das Auskleiden der Öffnung (50, 52, 54) und des Bereichs der Hauptoberfläche umfasst: Bilden der ersten Schicht (62) aus elektrisch leitendem Material unter Anwendung einer Atomlagenabscheidung.
  8. Verfahren nach Anspruch 7, wobei Bilden der ersten Schicht (62) aus elektrisch leitendem Material umfasst: Verwenden eines organometallischen Vorstufenmaterials, das ausgewählt ist aus der Gruppe: Pentakis (diethylamido)- Tantal (PDEAT), t-Butyiminotris (diethylamino)- Tantel (TBTDET), Ethyliminotris (diethylamino)- Tantal (EITDET-C), Pentakis (ethylmethylamido)- Tantal (PEMAT), Tridimethylamintitanat (TDMAT), Tetrakis(diethylamino)- Titan (TDEAT), (Trimethylsilyl) Hexafluoroazetylazentonatokupfer I und Wolframhexakohlenstoffmonoxid (W(CO)6).
  9. Halbleiterkomponente (10) mit: einer Damaszener-Struktur über einer tieferliegenden elektrisch leitenden Ebene, wobei die Damaszener-Struktur ein dielektrisches Material (30, 34) mit einer Hauptoberfläche und einer Öffnung (50, 52, 54), die sich in das dielektrische Material (30, 34) erstreckt, aufweist. einer mehrschichtigen Barriere (60), die die Öffnung (50, 52, 54) und einen Bereich der Hauptoberfläche auskleidet, wobei die mehrschichtige Barriere (60) eine erste Schicht (62) und eine zweite Schicht (64) aus elektrisch leitendem Material umfasst, wobei die zweite Schicht (64) aus elektrisch leitendem Material auf der ersten Schicht (62) aus elektrisch leitendem Material angeordnet ist; und einem elektrisch leitendem Material (66), das auf der mehrschichtigen Barriere (60) in der Öffnung (50, 52, 54) angeordnet ist.
  10. Halbleiterkomponente nach Anspruch 9, wobei die mehrschichtige Barriere (60) umfasst: eine erste Schicht (62) aus elektrisch leitendem Material, das die Öffnung (50, 62, 54) und den Bereich der Hauptoberfläche auskleidet, wobei die erste Schicht (62) aus elektrisch leitendem Material ein Metallnitrid aufweist; und eine zweite Schicht (64) aus elektrisch leitendem Material, die auf der ersten Schicht (62) aus elektrisch leitendem Material angeordnet ist, wobei die zweite Schicht (64) aus elektrisch leitendem Material ein hochschmelzendes Metall umfasst, und wobei die mehrschichtige Barriere (60) eine Dicke im Bereich von ungefähr 5 Angstrom bis ungefähr 65 Angstrom aufweist.
DE112004000396T 2003-03-07 2004-03-02 Verfahren zur Herstellung einer Halbleiterkomponente mit einer barrierenschichtausgekleideten Öffnung Ceased DE112004000396T5 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/383,318 2003-03-07
US10/383,318 US20040175926A1 (en) 2003-03-07 2003-03-07 Method for manufacturing a semiconductor component having a barrier-lined opening
PCT/US2004/006388 WO2004082017A1 (en) 2003-03-07 2004-03-02 Method for manufacturing a semiconductor component having a barrier-lined opening

Publications (1)

Publication Number Publication Date
DE112004000396T5 true DE112004000396T5 (de) 2006-01-12

Family

ID=32927069

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112004000396T Ceased DE112004000396T5 (de) 2003-03-07 2004-03-02 Verfahren zur Herstellung einer Halbleiterkomponente mit einer barrierenschichtausgekleideten Öffnung

Country Status (8)

Country Link
US (1) US20040175926A1 (de)
JP (1) JP2006520106A (de)
KR (1) KR20050106504A (de)
CN (1) CN1759479A (de)
DE (1) DE112004000396T5 (de)
GB (1) GB2417136A (de)
TW (1) TW200421547A (de)
WO (1) WO2004082017A1 (de)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4454242B2 (ja) * 2003-03-25 2010-04-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US20050006770A1 (en) * 2003-07-08 2005-01-13 Valeriy Sukharev Copper-low-K dual damascene interconnect with improved reliability
US8471369B1 (en) * 2004-05-17 2013-06-25 National Semiconductor Corporation Method and apparatus for reducing plasma process induced damage in integrated circuits
US7211507B2 (en) * 2004-06-02 2007-05-01 International Business Machines Corporation PE-ALD of TaN diffusion barrier region on low-k materials
TWI248115B (en) * 2004-06-09 2006-01-21 Nanya Technology Corp Semiconductor device with multi-layer hard mask and method for contact etching thereof
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
JP4872246B2 (ja) * 2005-06-10 2012-02-08 住友電気工業株式会社 半絶縁性GaAs基板及びエピタキシャル基板
US7816203B1 (en) * 2006-03-16 2010-10-19 Spansion Llc Method for fabricating a semiconductor device
US7959985B2 (en) * 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
TWI338914B (en) * 2006-07-12 2011-03-11 Ind Tech Res Inst Metallic compound dots dielectric piece and method of fabricating the same
US7851915B2 (en) * 2007-04-30 2010-12-14 Stmicroelectronics S.A. Electronic component comprising a titanium carbonitride (TiCN) barrier layer and process of making the same
US8481372B2 (en) * 2008-12-11 2013-07-09 Micron Technology, Inc. JFET device structures and methods for fabricating the same
CN102695376A (zh) * 2011-03-25 2012-09-26 欣兴电子股份有限公司 线路结构的制作方法
CN102522388B (zh) * 2011-12-22 2015-11-11 上海华虹宏力半导体制造有限公司 电感及形成方法
CN102891104B (zh) * 2012-09-17 2015-07-29 上海华力微电子有限公司 一种提高Cu CMP效率的方法
CN103606513B (zh) * 2013-11-08 2016-02-17 溧阳市江大技术转移中心有限公司 一种半导体电容器的制造方法
US9659771B2 (en) * 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US10366955B2 (en) 2017-01-25 2019-07-30 Samsung Electronics Co., Ltd. Semiconductor device including conductive structure having nucleation structure and method of forming the same
US10438846B2 (en) 2017-11-28 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Physical vapor deposition process for semiconductor interconnection structures
KR20210028324A (ko) 2019-09-03 2021-03-12 삼성전자주식회사 반도체 소자
US11315875B2 (en) * 2019-10-28 2022-04-26 Amkor Technology Singapore Holding Pte. Ltd. Semiconductor devices and methods of manufacturing semiconductor devices
CN113675171A (zh) * 2020-05-15 2021-11-19 广东汉岂工业技术研发有限公司 用于互连结构的阻挡层及其制备方法
US11676898B2 (en) 2020-06-11 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Diffusion barrier for semiconductor device and method

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6130161A (en) * 1997-05-30 2000-10-10 International Business Machines Corporation Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
KR100273989B1 (ko) * 1997-11-25 2001-01-15 윤종용 반도체장치의콘택형성방법
US6140234A (en) * 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US5939788A (en) * 1998-03-11 1999-08-17 Micron Technology, Inc. Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper
US6448655B1 (en) * 1998-04-28 2002-09-10 International Business Machines Corporation Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
US6265779B1 (en) * 1998-08-11 2001-07-24 International Business Machines Corporation Method and material for integration of fuorine-containing low-k dielectrics
US6291876B1 (en) * 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
JP3631392B2 (ja) * 1998-11-02 2005-03-23 株式会社神戸製鋼所 配線膜の形成方法
KR100304962B1 (ko) * 1998-11-24 2001-10-20 김영환 텅스텐비트라인형성방법
US6294836B1 (en) * 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6265311B1 (en) * 1999-04-27 2001-07-24 Tokyo Electron Limited PECVD of TaN films from tantalum halide precursors
US6326301B1 (en) * 1999-07-13 2001-12-04 Motorola, Inc. Method for forming a dual inlaid copper interconnect structure
US20020009880A1 (en) * 1999-08-27 2002-01-24 Qing-Tang Jiang Metal barrier for copper interconnects that incorporates silicon in the metal barrier or at the copper/metal barrier interface
US6433429B1 (en) * 1999-09-01 2002-08-13 International Business Machines Corporation Copper conductive line with redundant liner and method of making
US6146991A (en) * 1999-09-03 2000-11-14 Taiwan Semiconductor Manufacturing Company Barrier metal composite layer featuring a thin plasma vapor deposited titanium nitride capping layer
EP1221177B1 (de) * 1999-10-15 2006-05-31 Asm International N.V. Konforme auskleidungsschichten für damaszenmetallisierungen
EP1221178A1 (de) * 1999-10-15 2002-07-10 ASM America, Inc. Verfahren zur abscheidung von nanolaminierten dünnschichten auf empfindlichen oberflächen
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100390951B1 (ko) * 1999-12-29 2003-07-10 주식회사 하이닉스반도체 반도체 소자의 구리 배선 형성 방법
US6436819B1 (en) * 2000-02-01 2002-08-20 Applied Materials, Inc. Nitrogen treatment of a metal nitride/metal stack
US6303490B1 (en) * 2000-02-09 2001-10-16 Macronix International Co., Ltd. Method for barrier layer in copper manufacture
EP1266054B1 (de) * 2000-03-07 2006-12-20 Asm International N.V. Gradierte dünne schichten
US6436825B1 (en) * 2000-04-03 2002-08-20 Taiwan Semiconductor Manufacturing Company Method of copper barrier layer formation
US20010051215A1 (en) * 2000-04-13 2001-12-13 Gelest, Inc. Methods for chemical vapor deposition of titanium-silicon-nitrogen films
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100407678B1 (ko) * 2000-06-15 2003-12-01 주식회사 하이닉스반도체 반도체 소자의 구리 금속배선 형성 방법
TW463307B (en) * 2000-06-29 2001-11-11 Mosel Vitelic Inc Manufacturing method of dual damascene structure
US6518648B1 (en) * 2000-09-27 2003-02-11 Advanced Micro Devices, Inc. Superconductor barrier layer for integrated circuit interconnects
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6800554B2 (en) * 2000-12-18 2004-10-05 Intel Corporation Copper alloys for interconnections having improved electromigration characteristics and methods of making same
US6977224B2 (en) * 2000-12-28 2005-12-20 Intel Corporation Method of electroless introduction of interconnect structures
US20020086111A1 (en) * 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
FI109770B (fi) * 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7015138B2 (en) * 2001-03-27 2006-03-21 Sharp Laboratories Of America, Inc. Multi-layered barrier metal thin films for Cu interconnect by ALCVD
US6534360B2 (en) * 2001-04-04 2003-03-18 Applied Materials, Inc. Process for depositing layers on a semiconductor wafer
US20020167089A1 (en) * 2001-05-14 2002-11-14 Micron Technology, Inc. Copper dual damascene interconnect technology
JP2002343859A (ja) * 2001-05-15 2002-11-29 Mitsubishi Electric Corp 配線間の接続構造及びその製造方法
US6469385B1 (en) * 2001-06-04 2002-10-22 Advanced Micro Devices, Inc. Integrated circuit with dielectric diffusion barrier layer formed between interconnects and interlayer dielectric layers
KR100531419B1 (ko) * 2001-06-12 2005-11-28 주식회사 하이닉스반도체 반도체소자 및 그의 제조방법
US6509267B1 (en) * 2001-06-20 2003-01-21 Advanced Micro Devices, Inc. Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US6462416B1 (en) * 2001-07-13 2002-10-08 Advanced Micro Devices, Inc. Gradated barrier layer in integrated circuit interconnects
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6790780B2 (en) * 2001-09-27 2004-09-14 Intel Corporation Fabrication of 3-D capacitor with dual damascene process
US6727177B1 (en) * 2001-10-18 2004-04-27 Lsi Logic Corporation Multi-step process for forming a barrier film for use in copper layer formation
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US20040087163A1 (en) * 2002-10-30 2004-05-06 Robert Steimle Method for forming magnetic clad bit line

Also Published As

Publication number Publication date
US20040175926A1 (en) 2004-09-09
CN1759479A (zh) 2006-04-12
GB2417136A (en) 2006-02-15
GB0519578D0 (en) 2005-11-02
KR20050106504A (ko) 2005-11-09
WO2004082017A1 (en) 2004-09-23
JP2006520106A (ja) 2006-08-31
TW200421547A (en) 2004-10-16

Similar Documents

Publication Publication Date Title
DE112004000396T5 (de) Verfahren zur Herstellung einer Halbleiterkomponente mit einer barrierenschichtausgekleideten Öffnung
DE102009000625B4 (de) Verfahren zur Herstellung einer Halbleiterkomponente und eines Halbleiterbauelements sowie zugehöriger Zweifach-Damascene-Prozess
US8264086B2 (en) Via structure with improved reliability
US5736457A (en) Method of making a damascene metallization
DE102015107271B4 (de) Zwei- oder mehrteilige Ätzstoppschicht in integrierten Schaltungen
DE102009012594B4 (de) Durch-Substrat-Via-Halbleiterkomponenten
US6479391B2 (en) Method for making a dual damascene interconnect using a multilayer hard mask
US6953745B2 (en) Void-free metal interconnection structure and method of forming the same
DE102012111574A1 (de) Ätzschaden- und esl-freie dual-damaszene metallkontaktstruktur
US8102051B2 (en) Semiconductor device having an electrode and method for manufacturing the same
US7214594B2 (en) Method of making semiconductor device using a novel interconnect cladding layer
DE102013104112A1 (de) Verbindungsstruktur und -verfahren
DE102008053427A1 (de) Durchsubstratverbindungskontakt-Halbleiterkomponenten
DE102004005697B4 (de) Herstellungsverfahren für eine widerstandsfähige Via-Struktur und zugehörige Via-Struktur
DE102005024912A1 (de) Technik zur Herstellung von kupferenthaltenden Leitungen, die in einem Dielektrikum mit kleinem ε eingebettet sind, durch Vorsehen einer Versteifungsschicht
DE112016001773B4 (de) Struktur und Herstellungsverfahren für nicht durch Elektromigration zerstörbare Verbindungen im Nanomaßstab
JP2006344965A (ja) 配線構造の形成方法,配線構造およびデュアルダマシン構造
DE102010063294B4 (de) Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
US7932187B2 (en) Method for fabricating a semiconductor device
US7144761B2 (en) Semiconductor device and method for fabricating the same
DE60132707T2 (de) Niedrigtemperaturverfahren zur Unterdrückung von Hügeln in Verbindungsleitungen von integrierten Schaltkreisen
DE102008044964B4 (de) Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen
DE102019130124A1 (de) Funktionale komponente innerhalb einer verbindungsstruktur einer halbleitervorrichtung und verfahren zum bilden derselben
US6638849B2 (en) Method for manufacturing semiconductor devices having copper interconnect and low-K dielectric layer
DE102014209002A1 (de) Verfahren zum Herstellen integrierter Schaltungen

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law

Ref document number: 112004000396

Country of ref document: DE

Date of ref document: 20060112

Kind code of ref document: P

8131 Rejection