KR20050106504A - 장벽-라이닝된 개구부를 갖는 반도체 소자 제조 방법 - Google Patents

장벽-라이닝된 개구부를 갖는 반도체 소자 제조 방법 Download PDF

Info

Publication number
KR20050106504A
KR20050106504A KR1020057016649A KR20057016649A KR20050106504A KR 20050106504 A KR20050106504 A KR 20050106504A KR 1020057016649 A KR1020057016649 A KR 1020057016649A KR 20057016649 A KR20057016649 A KR 20057016649A KR 20050106504 A KR20050106504 A KR 20050106504A
Authority
KR
South Korea
Prior art keywords
layer
electrically conductive
conductive material
barrier
tantalum
Prior art date
Application number
KR1020057016649A
Other languages
English (en)
Inventor
핀-친 코니에 왕
리차드 제이. 후앙
Original Assignee
어드밴스드 마이크로 디바이시즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 마이크로 디바이시즈, 인코포레이티드 filed Critical 어드밴스드 마이크로 디바이시즈, 인코포레이티드
Publication of KR20050106504A publication Critical patent/KR20050106504A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

얇고 컨포멀한 멀티층 장벽 구조(60)를 포함하는 금속화 시스템을 갖는 반도체 소자(10) 및 이러한 반도체 소자(10)를 제조하는 방법이 개시된다. 유전 물질층(30, 34)이 하위 레벨 배선 위에 형성된다. 하드마스크(36)가 유전층(30, 34) 위에 형성되고, 개구부(50, 52, 54)가 하드마스크(36)를 통해 유전층(30, 34) 내로 식각된다. 개구부(50, 52, 54)는 원자층 증착을 이용하여 얇고 컨포멀한 멀티층 장벽(60)과 라이닝된다. 멀티층 장벽-라이닝된 개구부는 전기 전도성 물질(66)로 채워지고, 평탄화된다.

Description

장벽-라이닝된 개구부를 갖는 반도체 소자 제조 방법{METHOD FOR MANUFACTURING A SEMICONDUCTOR COMPONENT HAVING A BARRIER-LINED OPENING}
본 발명은 일반적으로 반도체 소자에서 적절하게 이용하기 위한 금속화 시스템에 관한 것으로서, 특히 낮은 저항의 금속화 시스템을 갖는 반도체 소자 및 이러한 반도체 소자 제조 방법에 관한 것이다.
반도체 소자 제조업자는 반도체 소자의 속도를 증가시키고자 끊임없이 노력하고 있다. 마이크프로세서 등의 반도체 소자는 최대 10억개의 트랜지스터 또는 디바이스를 포함하기 때문에, 속도 증가의 초점은 반도체 소자를 구성하는 반도체 디바이스의 게이트 지연을 감소시키기 위한 것이었다. 결과로서, 게이트 지연은, 반도체 디바이스들을 서로 배선하고 반도체 소자 외부의 요소들과 배선하는 데에 이용되는 금속화 시스템의 전달 지연에 의해 속도가 주로 제한되는 포인트까지 감소하였다. 금속화 시스템은 전형적으로, 유전 물질에 의해 서로로부터 수직으로 분리되며 금속으로 채워진 비아 또는 도전성 플러그에 의해 서로 전기적으로 결합되는 복수의 배선층으로 이루어진다. 각 층은 금속 라인, 금속이 채워진 비아, 또는 절연 물질에 의해 분리되는 그 결합을 포함한다. 금속화 시스템의 지연을 나타내는 감도 지수(figure of merit)는 저항-캐패시턴스(RC) 지연이다. 이러한 RC 지연은 금속화 시스템의 서로 다른 금속층 내에서의 그리고 이러한 금속층 간에서의 금속층의 저항 및 관련 캐패시턴스로부터 얻어질 수 있다. 보다 구체적으로, RC 지연은 다음과 같이 주어질 수 있는 바:
여기서, ρ는 금속 배선층의 저항율(resistivity)이고;
ε은 유전 물질의 유전 상수 또는 유전율이고;
l은 금속 배선의 길이이고;
tm은 금속의 두께이고;
tox는 유전 물질의 두께이다.
RC 지연은 금속화 시스템의 저항율 그리고/또는 캐패시턴스를 줄임으로써 감소될 수 있다. 이러한 파라미터를 감소시키기 위해 일반적으로 이용되는 2개의 기술은 단일 다마신 공정(single-damascene process) 및 이중 다마신 공정(dual-damascene process)이다. 단일 다마신 공정에서, 트렌치 그리고/또는 비아는 제 1 유전층 내로 식각된 다음, 금속으로 채워진다. 제 2 유전층이 제 1 유전층 위에 형성되고, 그 내에 트렌치 그리고/또는 비아가 형성된다. 이후, 제 2 유전층 내의 트렌치 그리고/또는 비아는 금속으로 채워지는 바, 이는 제 1 유전층 내의 선택된 비아 또는 트렌치 내의 금속과 접촉한다. 이중 다마신 공정에서는, 1개 또는 복수의 유전 물질층을 이용하여 2 레벨의 트렌치 그리고/또는 비아가 형성된다. 이후, 단일 단계에 의해 트렌치 그리고/또는 비아가 금속으로 채워짐으로써, 비아의 일부 내의 금속이 트렌치의 일부 내의 금속과 접촉하게 된다. 트렌치 그리고/또는 비아를 형성한 후, 그리고 이들을 금속으로 채우기 전에, 트렌치 그리고/또는 비아는 전형적으로 전기 전도성의 단일 장벽층과 라이닝(lining)됨으로써, 트렌치 그리고/또는 비아의 측벽을 통한 구리의 확산을 막는다. 금속화 시스템의 저항율은, 트렌치 그리고/또는 비아를 채우는 금속 및 단일 장벽층의 결합에 의해 부분적으로 제어된다. 구리의 저항율은 장벽층의 저항율 보다 훨씬 낮기 때문에, 금속화 시스템의 저항율을 낮추기 위한 하나의 기술은 플라즈마 기상 증착(PVD)을 이용하여 단일 장벽층을 가능한한 얇게 만드는 것이었다. 이러한 기술의 한 단점은 단일 장벽층에 의해 커버리지에 갭이 생김으로써, 구리가 아래에 있는 물질과 컨택하게 된다는 것이다. 이렇게 되면, 구리가 아래의 물질 내로 확산되어, 반도체 소자의 신뢰성을 떨어뜨린다. 또한, 아래에 있는 구리층 위에 단일 장벽층이 없게 되면, 전자 이동 실패 가능성을 증가시키게 된다. 단일 장벽층 내에 갭이 생김으로 인한 다른 단점은, 증착된 구리가 갭에 의해 노출되는 아래의 층에 불충분하게 부착되는 경향이 있기 때문에, 금속화 시스템의 일부가 반도체 소자로부터 벗겨지게 되어 고장을 야기시킨다는 것이다. 또 다른 단점은, 단일 장벽층은 전형적으로 균일하지 않기 때문에, 트렌치 그리고/또는 비아를 채우는 금속 내에 공극 또는 "키홀(keyhole)"이 발생되어, 금속화 시스템의 저항을 증가시킨다는 것이다.
따라서, 장벽의 두께가 균일하고 갭이 없는 금속화 시스템을 갖는 반도체 소자 및 그 제조 방법이 필요하다.
이제, 본 발명은 첨부 도면과 함께 설명되는 하기의 상세한 설명으로부터 보다 명확히 이해될 것이며, 동일한 참조 부호는 동일한 요소를 나타낸다.
도 1 내지 4는 본 발명의 일 실시예에 따라 반도체 소자를 제조하는 동안의 확대된 측단면도이다.
본 발명은 멀티층 장벽 구조를 갖는 반도체 소자 및 이 반도체 소자의 제조 방법을 제공함으로써 상기 요구를 충족시킨다. 일 양상에 따르면, 본 발명은 주 표면을 갖는 반도체 기판을 제공하는 단계 및 상기 주 표면 위의 배선층을 제공하는 단계를 포함한다. 배선층 위에 유전 물질이 형성되고, 이 유전 물질 내에 개구부가 형성된다. 원자층 증착(atomic layer deposition)을 이용하여 개구부 내에 멀티층 장벽 구조가 형성됨으로써, 멀티층 장벽-라이닝된 개구부를 형성한다. 멀티층 장벽-라이닝된 개구부는 전기 전도성 물질로 채워진다.
다른 양상에 따르면, 본 발명은 하위 금속 레벨 위에 다마신 구조를 형성하는 단계를 포함하는 바, 이러한 다마신 구조는 주 표면을 갖는 유전 물질 및 이 유전 물질 내로 연장되는 개구부를 포함한다. 개구부 내에 멀티층 장벽이 형성되고, 멀티층 장벽 위에 전기 전도성 물질이 형성된다.
또 다른 양상에 따르면, 본 발명은 반도체 소자에서 전자 이동을 줄이기 위한 방법을 포함한다. 다마신 구조가 하위의 전기 전도성 레벨 위에 제공되는 바, 이러한 다마신 구조는 주 표면을 갖는 유전 물질 및 이 유전 물질 내로 연장되는 개구부를 포함한다. 개구부 및 제 1 전기 전도성 물질층의 주 표면의 일부가 장벽 물질과 라이닝되어, 장벽-라이닝된 개구부를 형성한다. 제 1 전기 전도성 물질층은 제 2 전기 전도성 물질층과 라이닝됨으로써, 제 1, 2 전기 전도성 물질층이 협동하여 멀티층 장벽막을 형성한다. 멀티층 장벽막 위에 금속이 증착되어, 멀티층 장벽-라이닝된 개구부를 채운다.
또 다른 양상에 따르면, 본 발명은 하위의 전기 전도성 레벨 위에 다마신 구조를 갖는 반도체 소자를 포함하는 바, 이러한 다마신 구조는 주 표면을 갖는 유전 물질 및 이 유전 물질 내로 연장되는 개구부를 포함한다. 멀티층 장벽은 개구부 및 주 표면의 일부를 라이닝한다. 전기 전도성 물질이 개구부 내의 멀티층 장벽 위에 증착된다.
일반적으로, 본 발명은 전자 이동을 줄이고, 증가된 단면적 및 보다 낮은 저항을 갖는 구리(또는 다른 적절한 금속) 배선의 형성을 가능하게 하는 얇은 컨포멀한 멀티층 장벽 구조를 갖는 금속화 시스템을 구비하는 반도체 소자를 제공한다.
금속화 시스템은, 반사 방지 코팅층이 그 위에 배치되어 있는 절연층을 포함하는 유전 스택 내에 트렌치 그리고/또는 비아를 형성함으로써, 예를 들어 다마신 공정을 이용하여 제조될 수 있다. 트렌치 그리고/또는 비아는 컨포멀한 멀티층 장벽과 함께 라이닝된 다음, 예를 들어 구리 등의 전기 전도성 물질로 채워진다. 본 발명의 일 양상에 따르면, 컨포멀한 멀티층 장벽은 트렌치 그리고/또는 비아를 컨포멀하게 라이닝하는 보호층 및 이 보호층 위에 있는 캡핑층을 포함한다. 보호층 및 캡핑층은 비 할라이드 전구체(non-halide precursor)를 이용하여 또는 유기 금속 전구체를 이용하여 원자층 증착 기술에 의해 형성된다. 보호층은 약 5Å 내지 약 60Å 범위의 두께를 갖고, 컨포멀한 캡핑층은 1개의 모노층 내지 약 10Å 범위의 두께를 갖는다. 바람직하게는, 캡핑층은 약 1Å 내지 약 5Å 범위의 두께를 갖는다. 보호층 및 캡핑층이 협동하여, 컨포멀한 멀티층 장벽을 형성한다. 컨포멀한 멀티층 장벽 위에 있는 전기 전도성 물질이 평탄화(또는 연마)되어, 채워진 트렌치 그리고/또는 비아, 예를 들어 전기 전도성 물질이 구리일 때에는 구리가 채워진 트렌치를 형성한다. 원자층 증착을 이용하여 멀티층 장벽을 형성하는 것의 장점은, 멀티층 장벽이 낮은 저항을 갖는 얇은 컨포멀한 구조라는 것이다. 본 발명의 다른 장점은 전자 이동을 줄인다는 것이다.
도 1은 본 발명의 일 실시예에 따른 제조의 중단 단계 동안의 반도체 소자(10)의 확대된 측면도이다. 도 1은 반도체 디바이스가 제조되는 반도체 기판(12)의 일부를 나타낸다. 반도체 기판(12)은 주 표면(16)을 갖는다. 이해될 사항으로서, 반도체 디바이스(14)는 블록 형태로 나타내었으며, 반도체 디바이스의 타입은 본 발명의 제한 사항이 아니다. 적절한 반도체 디바이스로는, 예를 들어 절연 게이트 전계 효과 트랜지스터, 상보 절연 게이트 전계 효과 트랜지스터, 접합 전계 효과 트랜지스터, 바이폴라 접합 트랜지스터, 다이오드 등의 능동 소자, 및 예를 들어 캐패시터, 저항 및 인덕터 등의 수동 소자가 있다. 마찬가지로, 반도체 기판(12)의 물질은 본 발명의 제한 사항이 아니다.
기판(12)은 실리콘, 실리콘 온 인슐레이터(SOI), 실리콘 온 사파이어(SOS), 실리콘 게르마늄, 게르마늄, 실리콘 기판 위에 형성된 실리콘의 에피텍셜층 등이 될 수 있다. 또한, 반도체 기판(12)은 갈륨-아세닉, 인듐-포스파이드 등의 합성 반도체 물질로 이루어질 수 있다.
주 표면(20)을 갖는 유전 물질(18)이 반도체 기판(12) 위에 형성되고, 주 표면(24)을 갖는 전기 전도성 부분(22)이 유전 물질(18)의 일부에 형성된다. 예로서, 전기 전도성 부분(22)은 금속이다. 금속층(22)은 금속-1, 하위의 전기 전도성 레벨, 하위 금속 레벨, 아래의 구조, 또는 아래의 배선 구조라 칭해진다. 유전 물질(18)과 전기 전도성 부분(22)의 결합은 배선층이라 칭해진다. 전기 전도성 부분(22)이 금속일 때, 배선층은 금속 배선층 또는 전도성 레벨이라고도 칭해진다. 디바이스(14), 유전 물질(18) 및 금속층(22) 등의 반도체 디바이스를 형성하는 기술은 당업자에게 알려져 있다.
약 5Å 내지 약 1,000Å 범위의 두께를 갖는 식각 중지층(28)이 주 표면(20 및 24) 위에 형성된다. 예로서, 식각 중지층(28)은 500Å의 두께를 갖는다. 식각 중지층(28)의 적절한 물질은, 예를 들어 실리콘 옥시나이트라이드(SiON), 실리콘 나이트라이드(SiN), 실리콘 함유량이 많은 나이트라이드(SiRN), 실리콘 카바이드(SiC), 수소화 및 산화된 실리콘 카본 물질(SiCOH) 등의 유전 물질을 포함한다.
약 1,000Å 내지 약 20,000Å 범위의 두께를 갖는 유전 또는 절연 물질층(30)이 식각 중지층(28) 위에 형성된다. 바람직하게는, 절연층(30)은 4,000Å 내지 12,000Å 범위의 두께를 갖는다. 예로서, 절연층(30)은 약 10,000Å의 두께를 갖고, 실리콘 이산화물, 실리콘 나이트라이드 또는 수소화된 및 산화된 실리콘 카본 물질(SiCOH) 보다 낮은 유전 상수(K)를 갖는 물질을 포함한다. 비록 절연층(30)이 실리콘 이산화물, 실리콘 나이트라이드 또는 SiCOH가 될 수 있기는 하지만, 이러한 물질 보다 낮은 유전 상수를 갖는 물질을 절연층(30)에 대해 이용하게 되면, 금속화 시스템의 캐패시턴스를 낮추고, 반도체 소자(10)의 성능을 개선한다. 적절한 유기의 낮은 x 유전 물질은 폴리이미드, 스핀온 폴리머, 폴리 (아리렌 에테르(arylene ether)) (PAE), 파리렌(parylene), 크세로겔(xerogel), 플루오르화된 아로마틱 에테르(fluorinated aromatic ether)(FLARE), 플루오르화된 폴리이미드 (FPI), 덴스(dense) SiLK, 다공성 SiLK (p-SiLK), 폴리테트라플루오로에틸렌(polytetrafluoroethylene) 및 벤조사이클로부텐(benzocyclobutene)(BCB)을 포함하지만, 오직 이것들로만 한정되지 않는다. 적절한 무기의 낮은 x 유전 물질은 하이드로젠 실세스퀴록산(hydrogen silsesquioxane)(HSQ), 메틸 실세스퀴록산(MSQ), 플루오르화된 유리, 또는 NANOGLASS를 포함하지만, 오직 이것들로만 한정되지 않는다. 이해될 사항으로서, 절연층(30)에 대한 유전 물질의 타입은 본 발명의 제한 사항이 아니며, 다른 유기 및 무기 유전 물질, 특히 실리콘 이산화물의 유전 상수 보다 낮은 유전 상수를 갖는 유전 물질이 이용될 수 있다. 유사하게, 절연층(30)을 형성하는 방법은 본 발명의 제한 사항이 아니다. 예를 들어, 절연층(30)은 특히 스핀온 코팅, 스프레이온 코팅, 화학 기상 증착(CVD), 플라즈마 엔헨스트 화학 기상 증착(PECVD) 또는 물리 기상 증착(PVD)의 기술을 이용하여 형성될 수 있다.
약 5Å 내지 약 1,000Å 범위의 두께를 갖는 식각 중지층(32)이 절연층(30) 위에 형성된다. 예로서, 식각 중지층(32)은 500Å의 두께를 갖는다. 식각 중지층(32)에 대한 적절한 물질은, 예를 들어 실리콘 옥시나이트라이드(SiON), 실리콘 나이트라이드(SiN), 실리콘 함유량이 많은 나이트라이드(SiRN), 실리콘 카바이드(SiC), 수소화 및 산화된 실리콘 카본 물질(SiCOH) 등의 유전 물질을 포함한다. 주목할 사항으로서, 식각 중지층(32)은 선택층이다. 다시 말해, 식각 중지층(32)은 반도체 소자(10)에서 없어도 된다.
약 2,000Å 내지 약 20,000Å 범위의 두께를 갖는 유전 물질층(34)이 식각 중지층(32) 위에 형성된다. 이러한 유전층(34)에 대한 적절한 물질 및 증착 기술은 절연층(30)에 대해 목록화한 것과 같다. 유전 물질층(34)이 절연층(30)과 동일할 수 있기는 하지만, 유전 물질이 다른 것이 바람직하다. 또한, 유전층(34) 및 절연층(30)의 물질들은, 유사한 열 팽창 계수를 갖고 최종 제품으로서의 이용 및 공정에 의해 야기되는 스트레스 레벨을 견딜 수 있기는 하지만, 서로 다른 식각 속도를 갖는다.
일 실시예에 따르면, 절연층(30)의 유전 물질은 p-SILK이고, 유전층(34)의 물질은 실리콘 옥시나이트라이드(SiON)이다. 유전층(34)에 대한 다른 적절한 물질은 실리콘 카바이드 및 앙상블(Ensemble)(앙상블은 Dow Chemical Co.에 의해 시판되는 인터레이어 유전 코팅이다)을 포함한다. 이러한 물질들은 스핀온 코팅 기술을 이용하여 적용될 수 있고, 이들은 유사한 스트레스 레벨 허용도 및 공정 온도 허용도를 갖는다. 또한, 이러한 물질들은 서로에 대해 선택적으로 또는 차별적으로 식각될 수 있다. 다시 말해, p-SILK 및 실리콘 옥시나이트라이드를 선택적으로 식각하는 식각제를 이용할 수 있다. 즉, 어떠한 식각제는 p-SILK를 식각하지만 실리콘 옥시나이트라이드는 현저하게 식각하지 않으며, 다른 식각제는 실리콘 옥시나이트라이드는 식각하지만 p-SILK는 현저하게 식각하지 않는다.
다른 실시예에 따르면, 절연층(30)의 유전 물질은 거품 폴리이미드(foamed polyimide)이고, 유전층(34)에 대한 유전 물질은 HSQ이다. 층들(30, 32 및 34)이 협동하여, 절연 구조를 형성한다. 비록 이러한 실시예들이 유기 및 무기 유전 물질을 함께 이용하는 것에 대해 설명하기는 하지만, 이것은 본 발명의 제한 사항이 아니다. 절연층(30) 및 유전층(34)의 유전 물질들은 모두 유기 물질 또는 무기 물질이거나, 또는 그 결합이 될 수 있다.
도 1을 다시 참조하여, 약 100Å 내지 약 5,000Å 범위의 두께를 갖는 하드마스크(36)가 유전층(34) 위에 형성된다. 바람직하게는, 하드마스크(36)는 약 500Å 내지 약 1,000Å 범위의 두께를 갖고, 예를 들어 실리콘 옥시나이트라이드(SiON), 실리콘 나이트라이드(SiN), 실리콘 함유량이 많은 나이트라이드(SiRN), 실리콘 카바이드(SiC), 수소화 및 산화된 실리콘 카본 물질(SiCOH) 등의 단일 유전 물질층을 포함한다. 주목할 사항으로서, 하드마스크(36)는 단일 층 시스템으로 한정되지 않고, 멀티층 시스템이 될 수 있다. 하드마스크(36)는 식각 중지층들(28 및 32)과 다른 식각 속도 또는 선택비 및 다른 두께를 갖는 물질을 포함해야 한다. 하드마스크(36)는 포토레지스트층(42)을 패터닝하는 데에 이용되는 포토리소그래피 단계 동안 광의 반사를 감소시키기 때문에, 이는 반사 방지 코팅(ARC)층이라고도 칭해진다.
당업자에게 알려져 있는 기술을 이용하여, 포토레지스트층(42)을 하드마스크(36) 위에 형성한 다음 패터닝하여 개구부(44 및 46)를 형성한다.
이제, 도 2를 참조하면, 패터닝된 포토레지스트층(42)에 의해 보호되지 않는 하드마스크(36) 및 유전층(34)의 일부, 즉 개구부(44 및 46)에 의해 노출되는 부분이 이방성의 반응성 이온 식각에 의해 식각되어, 측벽(55 및 56)을 갖는 개구부(50 및 52)를 각각 형성한다. 이방성 식각은 식각 중지층(32) 내에서 또는 식각 중지층(32) 위에서 중지 또는 종료한다. 다시 말해, 아래에 있는, 또는 개구부(44 및 46)에 의해 노출되는 하드마스크(36) 및 유전층(34)의 일부가 이방성의 반응성 이온 식각에 의해 제거되어, 식각 중지층(32)의 일부를 노출시킨다. 당업자에게 알려져 있는 기술에 의해, 포토레지스트층(42)이 제거된다.
다른 포토레지스트층(미도시)이 하드마스크(36)의 나머지 부분 위에 형성되고, 개구부(50 및 52)를 채운다. 포토레지스트층을 패터닝하여 개구부(미도시)를 형성하는 바, 이 개구부는 포토레지스트가 채워진 개구부(52) 아래에 있는 식각 중지층(32)의 일부를 노출시킨다. 식각 중지층(32)의 노출된 부분 및 이 식각 중지층(32)의 노출된 부분 아래에 있는 절연층(30)의 일부를 반응성 이온 식각에 의해 식각함으로써, 식각 중지층(28)의 일부를 노출시키는 측벽(57)을 갖는 안쪽 개구부(54)를 형성한다. 따라서, 반응성 이온 식각은 식각 중지층(28) 위에서 중지함으로써, 식각 중지층(28)의 일부를 노출시킨다. 포토레지스트층은 제거된다.
식각 중지층(28 및 32)의 노출된 부분을 반응성 이온 식각에 의해 식각하여, 금속층(22) 및 절연층(30)의 일부를 노출시킨다. 바람직하게는, 포토레지스트층은 절연층(30)을 노출시키기 전에 제거되는 바, 이는 절연층(30)을 구성하는 낮은 K 유전 물질이 포토레지스트 제거 공정에 반응하기 쉬워, 이러한 공정에 의해 손상될 수 있기 때문이다.
개구부(50)는 층들(30, 32, 34 및 36)과 함께 단일 다마신 구조를 형성하고, 개구부(52 및 54)는 층들(28, 30, 32, 34 및 36)과 함께 이중 다마신 구조를 형성한다. 개구부(50) 등의 개구부가 수직으로 이격된 배선층들을 전기적으로 결합하는 데에 이용될 때, 이는 전형적으로 비아 또는 배선 비아라 칭해지고, 한편 개구부(52) 등의 개구부가 전기 전도성 라인 또는 배선을 수직으로 루트(route)시키는 데에 이용될 때, 이는 전형적으로 트렌치 또는 배선 트렌치라 칭해진다.
이제, 도 3을 참조하여, 약 5Å 내지 65Å 범위의 두께를 갖는 장벽(60)이 하드마스크(36) 위에 그리고 개구부(50, 52 및 54)(도 2에 도시) 내에 형성된다. 장벽(60)은 컨포멀한 보호층(62) 및 컨포멀한 캡핑층(64)을 포함하는 멀티층 구조이다. 다시 말해, 보호층(62) 및 캡핑층(64)이 협동하여, 장벽(60)을 형성한다. 보호층(62)은 예를 들어 층(22)과 같은 전도성층의 부식을 막고, 캡핑층(64)은 전자 이동을 저지하는 역할을 한다. 따라서, 보호층(62)은 부식 억제 또는 저지층이라고도 칭해지며, 캡핑층(64)은 전자 이동 방지 또는 저지층이라고도 칭해진다.
보호층(62)은 원자층 증착(ALD) 공정으로 비 할라이드 기반의 전구체를 이용하여 전기 전도성 물질을 컨포멀하게 증착함으로써 형성된다. 예로서, 보호층(62)의 물질은 금속 나이트라이드이다. 보호층(62)에 대한 적절한 금속 나이트라이드 물질은 탄탈륨 나이트라이드, 텅스텐 나이트라이드 및 티타늄 나이트라이드를 포함한다. 대안적으로, 보호층(62)은 카본 또는 실리콘으로 도핑되는 금속 나이트라이드를 이용하여 형성될 수 있다. 예를 들어, 보호층(62)은 실리콘 도핑된 탄탈륨 나이트라이드(TaSiN), 카본 도핑된 탄탈륨 나이트라이드(TaCN), 실리콘 도핑된 텅스텐 나이트라이드(WSiN), 카본 도핑된 텅스텐 나이트라이드(WCN), 실리콘 도핑된 티타늄 나이트라이드(TiSiN), 카본 도핑된 티타늄 나이트라이드(TiCN) 등이 될 수 있다. 원자층 증착을 이용하는 것의 장점은, 예를 들어 유기 금속 전구체와 같은 비 할라이드 기반의 전구체를 이용하여 상당히 밀도가 높고 얇고 컨포멀한 층 또는 막을 생성할 수 있다는 것이다. 적절한 유기 금속 전구체의 예로는, 특히 펜타키즈 (디에틸아미도) 탄탈륨(pentakis (diethylamido) tantalum) (PDEAT), t-부틸이미노 트리스 (디에틸아미노) 탄탈륨(t-butylimino tris (diethylamino) tantalum) (TBTDET), 에틸이미노 트리스 (디에틸아미노) 탄탈륨(ethylimino tris (diethylamino) tantalum) (EITDET-c), 펜타키즈(에틸메틸아미도) 탄탈륨(pentakis (ethylmethylamido) tantalum) (PEMAT), 트리디메틸아민 티타네이트(tridimethylamine titanate) (TDMAT), 테트라키즈(디에틸아미노) 티타늄(tetrakis(diethlyamino) titanium) (TDEAT), (트리메틸비닐시릴) 헥사플루오로아세틸아세토네이토 카퍼 Ⅰ((trimethylvinylsilyl) hexafluoroacetylacetonato copper I) 또는 텅스텐 헥사카본-모노옥사이드(tungsten hexacarbon-monoxide) (W(CO)6)가 있다. 비 할라이드 기반의 전구체는, 구리 등의 금속을 부식시키는 탄탈륨 펜타클로라이드(tantalum pentachloride) 또는 탄탈륨 펜타플루오라이드(tantalum pentafluoride) 등의 부산물을 형성하지 않는다. 또한, 이러한 전구체들을 이용하여 형성되는 컨포멀한 층들은 충분히 밀도가 높기 때문에, 아래에 있는 임의의 금속층들을 커버 또는 보호하기 위해, 예를 들어 3 내지 10Å의 수 Å의 두께 만을 필요로 한다. 보호층은 상당히 얇을 수 있기 때문에, 장벽층 및 벌크(bulk)의 전기 전도성 물질, 예를 들어 본 발명에 따라 만들어지는 구리를 포함하는 배선층들은 매우 낮은 저항을 갖는다. 바람직하게는, 보호층(62)은 약 5Å 내지 약 60Å 범위의 두께를 갖는다.
캡핑층(64)은 ALD 공정을 이용하여 전기 전도성 물질을 컨포멀하게 증착함으로써 형성된다. 캡핑층(64)에 대한 적절한 물질은 탄탈륨, 텅스텐, 티타늄, 리프랙토리 금속(refractory metal) 등을 포함한다. 예로서, 캡핑층(64)은 환원제(reducing agent)를 이용하여 ALD 공정에 의해 형성되는 탄탈륨 막인 바, 여기서 탄탈륨은 탄탈륨 펜타클로라이드(TaCl5) 또는 탄탈륨 펜타플루오라이드(TaF5)로부터 얻어지고, 환원제는 수소(H2) 플라즈마 또는 암모니아(NH3) 플라즈마이다. 캡핑층(64)은 약 1Å 내지 약 10Å 범위의 두께를 갖는다. 캡핑층(64)은 이후 증착되는 금속막(예를 들어, 구리)과의 상당히 신뢰성있는 인터페이스를 제공하고, 전자 이동 저항을 개선한다.
전기 전도성 물질의 막 또는 층(66)이 캡핑층(64) 위에 형성되어, 개구부(50, 52 및 54)를 채움으로써, 금속으로 채워진 장벽-라이닝된 개구부를 형성한다. 예로서, 층(66)은 캡핑층(64) 위에 도금(plate)되는 구리이다. 캡핑층 위에 구리를 도금하는 기술은 당업자에게 알려져 있다. 대안적으로, 층(66)은 알루미늄 또는 은이 될 수 있다.
다음으로, 도 4를 참조하여, 예를 들어 하드마스크(36)에 대해 높은 선택비를 갖는 화학 기계적인 연마(CMP) 기술을 이용하여, 구리막(66)을 평탄화한다. 따라서, 평탄화는 하드마스크(36) 상에서 중지한다. 평탄화 이후, 구리막(66)의 일부(68)가 개구부(50) 내에 남고, 구리막(66)의 일부(70)가 개구부(52 및 54) 내에 남는 바, 이러한 개구부들은 도 2에서 나타낸 것들이다. 당업자라면 인식하는 바와 같이, 화학 기계적인 연마는 화학 기계적인 평탄화라고도 칭해진다. 구리막(66)을 평탄화하는 방법은 본 발명의 제한 사항이 아니다. 다른 적절한 평탄화 기술은 전해 연마(electropolishing), 전기 화학적인 연마, 화학적인 연마 및 화학적 엔헨스트 평탄화(chemical enhanced planarization)를 포함한다.
선택적으로, 패시베이션 또는 보호층(미도시)이 부분들(68 및 70) 위에 그리고 하드마스크(36) 위에 형성될 수 있다.
이해될 사항으로서, 아래의 구조와 전기 전도성 물질 간에 컨포멀한 멀티층 장벽 구조로 이루어지는 금속 시스템을 갖는 반도체 소자가 제공되었다. 컨포멀한 멀티층 장벽 구조는 보호층 위에 배치되는 캡핑층으로 이루어진다. 멀티층 장벽 구조의 보호층 및 캡핑층은 원자층 증착을 이용하여 형성되는 바, 이에 의해 얇은 컨포멀층의 형성이 가능해진다. 또한, 보호층은 구리 등의 금속을 부식시키는 부산물을 생성하지 않는 전구체를 이용하여 형성된다. 원자층 증착 공정은 갭 또는 아래의 물질을 보호되지 않은 채로 두지 않는 얇은 컨포멀층을 형성한다. 따라서, 보호층은 아래에 있는 임의층의 금속 오염을 막는다. 이는, 구리 배선의 형성에 있어서 특히 중요하다. 또한, 연속적인 보호층의 형성에 의해, 예를 들어 구리가 반도체 소자에 강력하게 결합 또는 부착된다. 캡핑층은 반도체 소자에 있어서의 전자 이동을 저지 또는 감소시킨다. 캡핑층은 할라이드 기반의 전구체를 이용하여 형성될 수 있는 바, 이는 부산물이 보호층 아래에 있는 임의의 물질을 부식시키거나 또는 흠집을 내는 것을 보호층이 막기 때문이다. 멀티층 장벽 구조는 얇기 때문에, 즉 약 65Å 미만이기 때문에, 대부분의 배선은 구리 등의 전기 전도성 물질로 이루어지는 바, 이는 낮은 저항율을 가지며, 매우 우수한 열 전도체이다. 본 발명의 방법은 단일 다마신 공정 및 이중 다마신 공정 등의 반도체 공정 기술에 적절하게 통합될 수 있다. 본 발명에 따라 제조되는 금속화 시스템의 다른 장점은, 반도체 소자의 제조 공정을 구현하는 데에 있어서 비용 효율적이라는 것이다.
본원에서는 특정의 바람직한 실시예 및 방법에 대해 설명했지만, 본 발명의 정신 및 범위를 벗어나지 않으면서 이러한 실시예 및 방법에 대한 변형 및 수정이 이루어질 수 있음이 상기 개시로부터 당업자에게 자명해질 것이다. 본 발명은 첨부된 특허 청구 범위 및 적용가능한 법의 규정 및 원리에 의해 요구되는 범위로만 한정되어야 한다.

Claims (10)

  1. 반도체 소자(10) 제조 방법으로서,
    주 표면(16)을 갖는 반도체 기판(12)을 제공하는 단계와;
    상기 주 표면(16) 위에 배선층을 제공하는 단계와;
    상기 배선층 위에 유전 물질(30, 34)을 형성하는 단계와;
    상기 유전 물질(30, 34) 내에 개구부(50, 52, 54)를 형성하는 단계와, 여기서 상기 개구부는 측벽(55, 56, 57)을 가지며;
    상기 개구부(50, 52, 54) 내에 멀티층 장벽(60)을 형성하여, 장벽-라이닝된 개구부를 형성하는 단계와, 여기서 상기 멀티층 장벽(60)은 제 1 전기 전도성 물질층(62) 및 제 2 전기 전도성 물질층(64)을 포함하고, 상기 제 2 전기 전도성 물질층(64)은 상기 제 1 전기 전도성 물질층(62) 위에 배치되며; 그리고
    상기 장벽-라이닝된 개구부를 전기 전도성 물질(66)로 채우는 단계를 포함하는 것을 특징으로 하는 반도체 소자 제조 방법.
  2. 제 1 항에 있어서,
    상기 멀티층 장벽(60)을 형성하는 단계는 원자층 증착을 이용하여 상기 개구부 내에 상기 제 1 전기 전도성 물질층(62)을 형성하는 단계를 포함하고, 상기 제 1 전기 전도성 물질층(62)은 약 5 내지 약 60Å 범위의 두께를 갖는 것을 특징으로 하는 반도체 소자 제조 방법.
  3. 제 2 항에 있어서,
    상기 제 1 전기 전도성 물질층(62)을 형성하는 단계는 펜타키즈 (디에틸아미도) 탄탈륨 (PDEAT), t-부틸이미노 트리스 (디에틸아미노) 탄탈륨 (TBTDET), 에틸이미노 트리스 (디에틸아미노) 탄탈륨 (EITDET-c), 펜타키즈(에틸메틸아미도) 탄탈륨 (PEMAT), 트리디메틸아민 티타네이트 (TDMAT), 테트라키즈(디에틸아미노) 티타늄 (TDEAT), (트리메틸비닐시릴) 헥사플루오로아세틸아세토네이토 카퍼 Ⅰ 또는 텅스텐 헥사카본-모노옥사이드 (W(CO)6)로 이루어지는 전구체들의 그룹으로부터 선택되는 유기 금속 전구체를 이용하는 단계를 포함하는 것을 특징으로 하는 반도체 소자 제조 방법.
  4. 제 2 항에 있어서,
    상기 멀티층 장벽(60)을 형성하는 단계는 원자층 증착을 이용하여 상기 제 1 전기 전도성 물질층(62) 위에 상기 제 2 전기 전도성 물질층(64)을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자 제조 방법.
  5. 제 4 항에 있어서,
    상기 제 2 전기 전도성 물질층(64)을 형성하는 단계는 탄탈륨 펜타클로라이드(TaCl5) 또는 탄탈륨 펜타플루오라이드(TaF5)로부터 탄탈륨을 얻는 단계를 포함하는 것을 특징으로 하는 반도체 소자 제조 방법.
  6. 반도체 소자(10)에 있어서의 전자 이동을 줄이기 위한 방법으로서,
    하위의 전기 전도성 레벨 위에 다마신 구조를 제공하는 단계와, 여기서 상기 다마신 구조는 주 표면을 갖는 유전 물질(30, 34) 및 상기 유전 물질(30, 34) 내로 연장되는 개구부(50, 52, 54)를 포함하고;
    상기 개구부(50, 52, 54) 및 상기 주 표면의 일부를 제 1 전기 전도성 물질층(62)과 라이닝시켜, 장벽-라이닝된 개구부를 형성하는 단계와;
    상기 제 1 전기 전도성 물질층(62)과 상기 제 2 전기 전도성 물질층(64)을 라이닝하는 단계와, 여기서 상기 제 1 전기 전도성 물질층(62) 및 상기 제 2 전기 전도성 물질층(64)이 협동하여 멀티층 장벽막(60)을 형성하며; 그리고
    상기 멀티층 장벽막(60) 위에 금속(66)을 증착하는 단계를 포함하는 것을 특징으로 하는 반도체 소자에 있어서의 전자 이동을 줄이기 위한 방법.
  7. 제 6 항에 있어서,
    상기 개구부(50, 52, 54) 및 상기 주 표면의 일부를 라이닝하는 단계는 원자층 증착을 이용하여 상기 제 1 전기 전도성 물질층(62)을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자에 있어서의 전자 이동을 줄이기 위한 방법.
  8. 제 7 항에 있어서,
    상기 제 1 전기 전도성 물질층(62)을 형성하는 단계는 펜타키즈 (디에틸아미도) 탄탈륨 (PDEAT), t-부틸이미노 트리스 (디에틸아미노) 탄탈륨 (TBTDET), 에틸이미노 트리스 (디에틸아미노) 탄탈륨 (EITDET-c), 펜타키즈(에틸메틸아미도) 탄탈륨 (PEMAT), 트리디메틸아민 티타네이트 (TDMAT), 테트라키즈(디에틸아미노) 티타늄 (TDEAT), (트리메틸비닐시릴) 헥사플루오로아세틸아세토네이토 카퍼 Ⅰ 또는 텅스텐 헥사카본-모노옥사이드 (W(CO)6)로 이루어지는 전구체들의 그룹으로부터 선택되는 유기 금속 전구체를 이용하는 단계를 포함하는 것을 특징으로 하는 반도체 소자에 있어서의 전자 이동을 줄이기 위한 방법.
  9. 반도체 소자(10)로서,
    하위의 전기 전도성 레벨 위의 다마신 구조와, 여기서 상기 다마신 구조는 주 표면을 갖는 유전 물질(30, 34) 및 상기 유전 물질(30, 34) 내로 연장되는 개구부(50, 52, 54)를 포함하고;
    상기 개구부(50, 52, 54) 및 상기 주 표면의 일부를 라이닝하는 멀티층 장벽(60)과, 여기서 상기 멀티층 장벽(60)은 제 1 전기 전도성 물질층(62) 및 제 2 전기 전도성 물질층(64)을 포함하고, 상기 제 2 전기 전도성 물질층(64)은 상기 제 1 전기 전도성 물질층(62) 위에 배치되며; 그리고
    상기 개구부(50, 52, 54) 내의 상기 멀티층 장벽(60) 위에 배치되는 전기 전도성 물질(66)을 포함하는 것을 특징으로 하는 반도체 소자.
  10. 제 9 항에 있어서,
    상기 멀티층 장벽(60)은 상기 개구부(50, 52, 54) 및 상기 주 표면의 일부를 라이닝하는 제 1 전기 전도성 물질층(62)과, 여기서 상기 제 1 전기 전도성 물질층(62)은 금속 나이트라이드로 이루어지며; 그리고
    상기 제 1 전기전도성 물질층(62) 위에 배치되는 제 2 전기 전도성 물질층(64)을 포함하고,
    상기 제 2 전기 전도성 물질층(64)은 리프랙토리 금속으로 이루어지며, 상기 멀티층 장벽(60)은 약 5Å 내지 약 65Å 범위의 두께를 갖는 것을 특징으로 하는 반도체 소자.
KR1020057016649A 2003-03-07 2004-03-02 장벽-라이닝된 개구부를 갖는 반도체 소자 제조 방법 KR20050106504A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/383,318 2003-03-07
US10/383,318 US20040175926A1 (en) 2003-03-07 2003-03-07 Method for manufacturing a semiconductor component having a barrier-lined opening

Publications (1)

Publication Number Publication Date
KR20050106504A true KR20050106504A (ko) 2005-11-09

Family

ID=32927069

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057016649A KR20050106504A (ko) 2003-03-07 2004-03-02 장벽-라이닝된 개구부를 갖는 반도체 소자 제조 방법

Country Status (8)

Country Link
US (1) US20040175926A1 (ko)
JP (1) JP2006520106A (ko)
KR (1) KR20050106504A (ko)
CN (1) CN1759479A (ko)
DE (1) DE112004000396T5 (ko)
GB (1) GB2417136A (ko)
TW (1) TW200421547A (ko)
WO (1) WO2004082017A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210154687A (ko) * 2020-06-11 2021-12-21 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스를 위한 확산 배리어 및 방법

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4454242B2 (ja) * 2003-03-25 2010-04-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US20050006770A1 (en) * 2003-07-08 2005-01-13 Valeriy Sukharev Copper-low-K dual damascene interconnect with improved reliability
US8471369B1 (en) * 2004-05-17 2013-06-25 National Semiconductor Corporation Method and apparatus for reducing plasma process induced damage in integrated circuits
US7211507B2 (en) * 2004-06-02 2007-05-01 International Business Machines Corporation PE-ALD of TaN diffusion barrier region on low-k materials
TWI248115B (en) * 2004-06-09 2006-01-21 Nanya Technology Corp Semiconductor device with multi-layer hard mask and method for contact etching thereof
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
JP4872246B2 (ja) * 2005-06-10 2012-02-08 住友電気工業株式会社 半絶縁性GaAs基板及びエピタキシャル基板
US7816203B1 (en) * 2006-03-16 2010-10-19 Spansion Llc Method for fabricating a semiconductor device
US7959985B2 (en) * 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
TWI338914B (en) * 2006-07-12 2011-03-11 Ind Tech Res Inst Metallic compound dots dielectric piece and method of fabricating the same
US7851915B2 (en) * 2007-04-30 2010-12-14 Stmicroelectronics S.A. Electronic component comprising a titanium carbonitride (TiCN) barrier layer and process of making the same
US8481372B2 (en) * 2008-12-11 2013-07-09 Micron Technology, Inc. JFET device structures and methods for fabricating the same
CN102695376A (zh) * 2011-03-25 2012-09-26 欣兴电子股份有限公司 线路结构的制作方法
CN102522388B (zh) * 2011-12-22 2015-11-11 上海华虹宏力半导体制造有限公司 电感及形成方法
CN102891104B (zh) * 2012-09-17 2015-07-29 上海华力微电子有限公司 一种提高Cu CMP效率的方法
CN103606513B (zh) * 2013-11-08 2016-02-17 溧阳市江大技术转移中心有限公司 一种半导体电容器的制造方法
US9659771B2 (en) * 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
KR20180087661A (ko) 2017-01-25 2018-08-02 삼성전자주식회사 핵형성 구조물을 갖는 도전성 구조물을 포함하는 반도체 소자 및 그 형성 방법
US10438846B2 (en) 2017-11-28 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Physical vapor deposition process for semiconductor interconnection structures
KR20210028324A (ko) 2019-09-03 2021-03-12 삼성전자주식회사 반도체 소자
CN113675171A (zh) * 2020-05-15 2021-11-19 广东汉岂工业技术研发有限公司 用于互连结构的阻挡层及其制备方法

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6130161A (en) * 1997-05-30 2000-10-10 International Business Machines Corporation Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
KR100273989B1 (ko) * 1997-11-25 2001-01-15 윤종용 반도체장치의콘택형성방법
US6140234A (en) * 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US5939788A (en) * 1998-03-11 1999-08-17 Micron Technology, Inc. Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper
US6448655B1 (en) * 1998-04-28 2002-09-10 International Business Machines Corporation Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
US6265779B1 (en) * 1998-08-11 2001-07-24 International Business Machines Corporation Method and material for integration of fuorine-containing low-k dielectrics
US6291876B1 (en) * 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
JP3631392B2 (ja) * 1998-11-02 2005-03-23 株式会社神戸製鋼所 配線膜の形成方法
KR100304962B1 (ko) * 1998-11-24 2001-10-20 김영환 텅스텐비트라인형성방법
US6294836B1 (en) * 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6265311B1 (en) * 1999-04-27 2001-07-24 Tokyo Electron Limited PECVD of TaN films from tantalum halide precursors
US6326301B1 (en) * 1999-07-13 2001-12-04 Motorola, Inc. Method for forming a dual inlaid copper interconnect structure
US20020009880A1 (en) * 1999-08-27 2002-01-24 Qing-Tang Jiang Metal barrier for copper interconnects that incorporates silicon in the metal barrier or at the copper/metal barrier interface
US6433429B1 (en) * 1999-09-01 2002-08-13 International Business Machines Corporation Copper conductive line with redundant liner and method of making
US6146991A (en) * 1999-09-03 2000-11-14 Taiwan Semiconductor Manufacturing Company Barrier metal composite layer featuring a thin plasma vapor deposited titanium nitride capping layer
KR100795534B1 (ko) * 1999-10-15 2008-01-16 에이에스엠 인터내셔널 엔.브이. 상감법 금속화를 위한 균일한 라이닝층
WO2001029893A1 (en) * 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100390951B1 (ko) * 1999-12-29 2003-07-10 주식회사 하이닉스반도체 반도체 소자의 구리 배선 형성 방법
US6436819B1 (en) * 2000-02-01 2002-08-20 Applied Materials, Inc. Nitrogen treatment of a metal nitride/metal stack
US6303490B1 (en) * 2000-02-09 2001-10-16 Macronix International Co., Ltd. Method for barrier layer in copper manufacture
WO2001066832A2 (en) * 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US6436825B1 (en) * 2000-04-03 2002-08-20 Taiwan Semiconductor Manufacturing Company Method of copper barrier layer formation
US20010051215A1 (en) * 2000-04-13 2001-12-13 Gelest, Inc. Methods for chemical vapor deposition of titanium-silicon-nitrogen films
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100407678B1 (ko) * 2000-06-15 2003-12-01 주식회사 하이닉스반도체 반도체 소자의 구리 금속배선 형성 방법
TW463307B (en) * 2000-06-29 2001-11-11 Mosel Vitelic Inc Manufacturing method of dual damascene structure
US6518648B1 (en) * 2000-09-27 2003-02-11 Advanced Micro Devices, Inc. Superconductor barrier layer for integrated circuit interconnects
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6800554B2 (en) * 2000-12-18 2004-10-05 Intel Corporation Copper alloys for interconnections having improved electromigration characteristics and methods of making same
US6977224B2 (en) * 2000-12-28 2005-12-20 Intel Corporation Method of electroless introduction of interconnect structures
US20020086111A1 (en) * 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
FI109770B (fi) * 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7015138B2 (en) * 2001-03-27 2006-03-21 Sharp Laboratories Of America, Inc. Multi-layered barrier metal thin films for Cu interconnect by ALCVD
US6534360B2 (en) * 2001-04-04 2003-03-18 Applied Materials, Inc. Process for depositing layers on a semiconductor wafer
US20020167089A1 (en) * 2001-05-14 2002-11-14 Micron Technology, Inc. Copper dual damascene interconnect technology
JP2002343859A (ja) * 2001-05-15 2002-11-29 Mitsubishi Electric Corp 配線間の接続構造及びその製造方法
US6469385B1 (en) * 2001-06-04 2002-10-22 Advanced Micro Devices, Inc. Integrated circuit with dielectric diffusion barrier layer formed between interconnects and interlayer dielectric layers
KR100531419B1 (ko) * 2001-06-12 2005-11-28 주식회사 하이닉스반도체 반도체소자 및 그의 제조방법
US6509267B1 (en) * 2001-06-20 2003-01-21 Advanced Micro Devices, Inc. Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US6462416B1 (en) * 2001-07-13 2002-10-08 Advanced Micro Devices, Inc. Gradated barrier layer in integrated circuit interconnects
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6790780B2 (en) * 2001-09-27 2004-09-14 Intel Corporation Fabrication of 3-D capacitor with dual damascene process
US6727177B1 (en) * 2001-10-18 2004-04-27 Lsi Logic Corporation Multi-step process for forming a barrier film for use in copper layer formation
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US20040087163A1 (en) * 2002-10-30 2004-05-06 Robert Steimle Method for forming magnetic clad bit line

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210154687A (ko) * 2020-06-11 2021-12-21 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스를 위한 확산 배리어 및 방법
US11676898B2 (en) 2020-06-11 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Diffusion barrier for semiconductor device and method

Also Published As

Publication number Publication date
GB0519578D0 (en) 2005-11-02
US20040175926A1 (en) 2004-09-09
WO2004082017A1 (en) 2004-09-23
JP2006520106A (ja) 2006-08-31
CN1759479A (zh) 2006-04-12
DE112004000396T5 (de) 2006-01-12
TW200421547A (en) 2004-10-16
GB2417136A (en) 2006-02-15

Similar Documents

Publication Publication Date Title
KR20050106504A (ko) 장벽-라이닝된 개구부를 갖는 반도체 소자 제조 방법
US6821879B2 (en) Copper interconnect by immersion/electroless plating in dual damascene process
KR100572036B1 (ko) Cvd 장벽층을 갖는 보더리스 비아들
US7196420B1 (en) Method and structure for creating ultra low resistance damascene copper wiring
TWI423327B (zh) 降低後段製程配線結構之整體介電常數的處理整合系統
US20020090806A1 (en) Copper dual damascene interconnect technology
US9704740B2 (en) Semiconductor device having insulating layers containing oxygen and a barrier layer containing manganese
US7259090B2 (en) Copper damascene integration scheme for improved barrier layers
US7052990B2 (en) Sealed pores in low-k material damascene conductive structures
JP2004527909A (ja) 誘電体バリアフィルムを用いたダマシンプロセス
JP2011014904A (ja) ビアがガウジングされた相互接続構造体及びその製造方法
US8102051B2 (en) Semiconductor device having an electrode and method for manufacturing the same
US6555461B1 (en) Method of forming low resistance barrier on low k interconnect
US7553757B2 (en) Semiconductor device and method of manufacturing the same
US6927113B1 (en) Semiconductor component and method of manufacture
KR20030027817A (ko) 마스크 층 및 집적 회로 장치의 듀얼 대머신 상호 연결구조물 형성 방법과 집적 회로 장치 상에서 상호 연결구조물을 형성하는 방법
US6503835B1 (en) Method of making an organic copper diffusion barrier layer
US6713874B1 (en) Semiconductor devices with dual nature capping/arc layers on organic-doped silica glass inter-layer dielectrics
US7157380B2 (en) Damascene process for fabricating interconnect layers in an integrated circuit
US6576545B1 (en) Semiconductor devices with dual nature capping/ARC layers on fluorine doped silica glass inter-layer dielectrics and method of forming capping/ARC layers
KR20070087856A (ko) 반도체 장치의 금속 배선 및 그 형성 방법
US20020173079A1 (en) Dual damascene integration scheme using a bilayer interlevel dielectric
US6943096B1 (en) Semiconductor component and method of manufacture
JP2005129937A (ja) 低k集積回路相互接続構造
KR100386628B1 (ko) 반도체 소자의 금속 배선 형성방법

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid