KR20030027817A - 마스크 층 및 집적 회로 장치의 듀얼 대머신 상호 연결구조물 형성 방법과 집적 회로 장치 상에서 상호 연결구조물을 형성하는 방법 - Google Patents

마스크 층 및 집적 회로 장치의 듀얼 대머신 상호 연결구조물 형성 방법과 집적 회로 장치 상에서 상호 연결구조물을 형성하는 방법 Download PDF

Info

Publication number
KR20030027817A
KR20030027817A KR1020020058854A KR20020058854A KR20030027817A KR 20030027817 A KR20030027817 A KR 20030027817A KR 1020020058854 A KR1020020058854 A KR 1020020058854A KR 20020058854 A KR20020058854 A KR 20020058854A KR 20030027817 A KR20030027817 A KR 20030027817A
Authority
KR
South Korea
Prior art keywords
layer
metal
dielectric
forming
integrated circuit
Prior art date
Application number
KR1020020058854A
Other languages
English (en)
Inventor
올라드지이사이아오
지센스콧
테일러요셉애쉴리
Original Assignee
에이저 시스템즈 인크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이저 시스템즈 인크 filed Critical 에이저 시스템즈 인크
Publication of KR20030027817A publication Critical patent/KR20030027817A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • H01L23/49575Assemblies of semiconductor devices on lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

집적 회로 장치의 상호 연결 구조물의 듀얼 대머신 구성에는 새로운 마스크 층이 사용된다. 상호 연결 구조물은 로우-k 유전체를 갖는다. 마스크 층은 로우-k 유전체 상에 증착된 패시베이션 막을 가지며, 장벽 막은 패시베이션 막 위에 증착되고 금속 막은 장벽 막 위에 증착된다. 금속 막은 마스크 층의 전체적인 에칭 선택도를 증가시켜 비아 및 트렌치 피쳐가 듀얼 대머신 프로세스의 에칭 단계 동안 로우-k 유전체로 충실하게 전사되도록 한다.

Description

마스크 층 및 집적 회로 장치의 듀얼 대머신 상호 연결 구조물 형성 방법과 집적 회로 장치 상에서 상호 연결 구조물을 형성하는 방법{MASK LAYER AND INTERCONNECT STRUCTURE FOR DUAL DAMASCENE SEMICONDUCTOR MANUFACTURING}
본 발명은 반도체 웨이퍼(semiconductor wafer)상에서 상호 연결 구조물(interconnect structures)의 형성에 관한 것이다. 보다 구체적으로, 본 발명은 상호 연결 구조물 형성에 이용되는 듀얼 대머신 프로세스(dual damascene process)로 알려져 있는 프로세스에 관한 것이다. 또한, 본 발명은 로우-k 유전체(low-k dielectric materials)를 통합하는 상호 연결 구조물에 관련된다.
상호 연결 구조물은 다수 레벨 상호 연결 집적 회로 장치(multi-level interconnect integrated circuit device)의 각기 다른 레벨을 연결하고, 콘택트 홀(contact hole)과 비아(via)를 포함하는 집적 회로 칩(integrated circuit chip) 상의 구조이다. 콘택트 홀은 폴리실리콘 게이트(polysilicon gate)와 금속층 사이의 유전체층과 같은 PMD(반금속 유전체(pre-metal dielectric))에 있는 홀이다. 비아는 금속층과 폴리실리콘 및/또는 실리콘 웨이퍼 기판 간의 전기적 상호 연결을 가능하게 한다. 비아는 또한 그 집적 회로 장치에서 각기 다른 층간에 접촉을 가능하게 한다.
구리 다수 레벨 상호 연결 구조물에 있어서, 트렌치(trench)란 구리 금속이 증착되어 라인(lines)을 형성하는 유전체에서의 구조(formation)를 나타내는 용어이다. 트렌치는 또한 유전체에 매립(buried)된 캐패시터 형성에 이용될 수 있다. 또한, 구리 충진형 비아(copper filled via)는 집적 회로의 각기 다른 레벨 상 구리 라인을 상호 연결하는데 이용될 수 있다.
그 상호 연결 구조물 형성은 단일 대머신 프로세스를 이용하여 이루어질 수 있다. 그러나, 듀얼 대머신 프로세스가 상호 연결 구조물 제조를 위한 방법으로서 널리 대중화되어 있다. 듀얼 대머신 프로세스는 단일 대머신 프로세스에서 일부 단계를 없애는데, 이로써 제조 시간이 줄어들고, 집적 회로 칩의 전체 수율(overall yield)이 증가한다. 예시적 듀얼 대머신 프로세스가 도 1 내지 도 9에 도시되어 있다.
도 1 내지 도 4를 참조하면, 집적 회로 칩(10)이 금속층(11) 상에 증착된 유전체를 포함하는 것으로 도시되어 있다. 유전체는 비아 유전층(via dielectric layer)(12)과 트렌치 유전층(trench dielectric layer)(13)을 포함한다. 비아 유전층(12)은 절연 장벽층(insulative barrier layer)(14) 상에 증착된다. 트렌치 유전층(13)은 에칭 스톱층(etch stop layer)(15)(에칭 스톱층은 비아 유전층(12)과 트렌치 유전층(13) 사이에 위치함) 상에 증착된다. 포토레지스트 물질(photoresist material)이 트렌치 유전층(13)을 덮는다.
포토리소그래피(photolithography)를 이용하여, 비아 피쳐(vi feature)가 포토레지스트 층(16)으로 패터닝(patterened)된다. 도 2에 도시된 바와 같이, 비아(17)가 유전층(13,15,12)을 통하여 장벽층(14)까지 에칭된다. 그 다음 포토레지스트 층(16)이 반도체 장치에서 제거되고 새로운 또는 제 2의 포토레지스트 층(16)으로 대체되며, 그 포토레지스트 층(16)에서 트렌치 피쳐가 패터닝된다. 도 3에 도시된 바와 같이, 트렌치(18)는 트렌치 유전층(13)을 통하여 에칭 스톱층(15)까지 에칭된다. 그 다음 새로운 포토레지스트 층(16)이 제거된다. 그런 다음 비아(17)에서 노출된 절연 장벽층(14)이 하부 금속층(11)까지 선택적으로 에칭된다. 그런 다음 구리막(copper film)(19)과 함께 얇은 구리 장벽이 트렌치(18) 및 비아(17)에서 증착된다. 반도체 웨이퍼는 물리 화학적 평탄화 방법(planarization)을 이용하여 평탄화되어 도 4에 도시된 상호 연결 구조물을 형성한다.
보다 낮은 유전 상수(dielectric constant)를 갖는 유전체(로우-k 유전체라고 알려져 있음)가 반도체 장치의 상호 연결 구조물 제조에 있어서 크게 인기를 얻었다. 로우-k 유전체는 대개 3.0까지의 유전 상수를 갖는다. 이들 로우-k 유전체는 더 낮은 인트라 레벨(intra-level)과, 인터-레벨 용량(inter-level capacitance)을 갖추어, 누화(cross talk)를 줄이고 집적 회로를 통한 신호 전달을 향상시킨다. 그러나, 로우-k 유전체는, 포토레지스트 물질이 로우-k 유전체에 접촉하게 되는 경우 화학적으로 그 포토레지스트 물질과 잘 반응하거나 또는 그 포토레지스트 물질과 상호 작용하는 불순물을 포함하고 있다. 대개 로우-k 유전체는 SILK(Dow Chemical에 의하여 제조됨) 등과 같은 중합체 기반형 물질(polymer based material)과, CORAL 및 BLACK DIAMOND(각각 Novellus와 Applied Material에 의하여 제조됨) 등과 같은 유기 규산염(organosilicate)이다.
로우-k 유전체와 포토레지스트 물질간의 반응은, 표면 상호 작용을 별도로 하더라도, 비아 내부에서의 상호 작용까지 존재하는 트렌치 형성 동안 더욱 심각하다. 이러한 반응은 트렌치 패터닝을 차단하고, 통상적 듀얼 대머신 절차에 의한 상호 연결 구조물 제조를 방해한다. 따라서, 반도체 웨이퍼 및 집적 회로 칩 상에 포토레지스트 물질을 증착하기에 앞서 마스크 층(mask layer)을 로우-k 유전체 상에 형성해야 한다.
여기서 이용되는 마스크 층은, 상호 연결 구조물 내의 유전층을 덮고, 포토레지스트 층과 유전층 사이에서 장벽층으로 기능하는 막(또는 복합막)을 포함하는 층이다. 또한 마스크 층은 하드 마스크 층 또는 포토레지스트 마스크라고 불릴 수 있으며, 본 명세서에서는 이를 혼용한다. 마스크 층은 에칭 프로세스 동안 유전층의 특정 영역을 보호한다.
상호 연결 구조물 형성에 이용되는 듀얼 대머신 프로세스가 도 5 내지 도 9에 도시되어 있다. 도 5를 참조하면, 상호 연결 구조물 제조는 유전체 증착으로 시작할 수도 있다. 도 5에 도시된 유전체은 비아 유전층(20)과 트렌치 유전층(21)을 포함한다. 두 개의 에칭 스톱층이 반도체 칩 상에 증착되어, 사전 결정된 유전체 깊이에서 에칭 프로세스를 정지시키기 위한 인디케이터(indicator)로 기능한다. 절연 장벽층(22)으로 알려진 제 1 에칭 스톱층이 도전 라인(conductive line)(34)으로서 금속 증착물(metal deposit)을 포함하는 하부의 상호 연결층 상에 형성된다.
비아 유전층(20)이 절연 장벽층(22)을 덮는다. 그 다음 에칭 스톱층(23)이 비아 유전층(20) 위에 형성되고, 트렌치 유전층(21)이 그 에칭 스톱층(23) 위에 증착된다. 절연 장벽층(22)과 에칭 스톱층(23)은 대개 탄화규소(SiC)이나 질화규소(Si3N4)로 이루어진다.
그 다음 마스크 층(24)이 트렌치 유전층(21) 상에 증착된다. 종래 기술에서 이미 알려져 있는 하드 마스크 층은 대개 두 개의 막을 포함한다. 두 개의 마스크 막은 대개 SiC나 Si3N4로 이루어진 제 1 마스크 막과 산화규소(SiO2)로 이루어진 제 2 마스크 막을 포함할 수 있다. 그 두 개의 하드 마스크 막은 비아 포토리소그래피 및 에칭과 트렌지 포토리소그래피 및 에칭 동안 그 포토레지스트 물질이 로우-k 유전체와 접촉하지 못하도록 한다. 또한, 제 1 마스크 막, SiC나 Si3N4는 로우-k 유전막을 물리 화학적 연마(polishing)로부터 보호한다. 또한 그 금속막이 트렌치 및 비아에 증착되어 유전체나 확산 장벽(diffusion barrier)으로서 기능해서, 트렌치에 증착된 도전 금속으로부터 표면 전류나 금속 이온의 누설을 방지한다. 제 2 하드 마스크 막은 트렌치가 처음으로 에칭되는 희생층(sacrificial layer)으로서 기능하고, 이 층은 전체 프로세스가 완료된 다음에 제거될 것이다. 또한 이는 하부 유전층으로 그 위의 트렌치 패턴이 전사되는 경우 그 하부 유전층 보호를 돕는다.
제 2 마스크 막은 SiO2로 이루어져서 제 1 마스크 막을 포토레지스트 층(25)과 분리시킨다. 도 6을 참조하면, 포토레지스트 층(25)에서 트렌치(27)를 위한 위치가 먼저 패터닝되고, 그런 다음 사전 결정된 마스크 층(24) 깊이까지 에칭된다. 그 다음 포토레지스트 층(25)이 제거되고, 트렌치(27)를 충전하는 새로운 포토레지스트 층(41)으로 교체된다. 도 7을 참조하면, 비아 피쳐가 포토레지스트 층(25)에서 패터닝되고 유전층(21,20)을 통하여 절연 장벽층(22)까지 에칭된다. 그 다음포토레지스트 층(41)이 제거된다. 도 8에 도시된 바에 따르면, 제 2 하드 마스크 막에서 패터닝된 트렌치의 피쳐가 제 1 하드 마스크 막과 트렌치 유전층(21)을 통하여 에칭 스톱층(32)까지 에칭된다. 포토레지스트 물질 보호물이 존재하지 않기 때문에, 트렌치 유전층(21)이 에칭될 때 제 2 하드 마스크 막이 에칭되지 않도록 하는 에칭 화학물질이 선택되어야 한다. 별도의 에칭 절차로서, 트렌치(27) 내부의 에칭 스톱층(23)과 비아(28) 내부의 장벽 절연층(22)이 선택적으로 에칭되어 비아(28)가 하부의 도전 라인(11)을 트렌치(27)에 형성된 도전 라인으로 연결할 수 있다.
도 4를 참조하면, 구리 금속이 비아(28)와 트렌치(27) 내부에 증착된다. 구리 금속은, 물리 화학적 평탄화 방법을 이용하여, 제 1 마스크 막으로 평탄화된다.
전술된 듀얼 대머신 프로세서는 대개 유기적 로우-k 유전체를 이용하며, 대개 유기 규산염 유전체로 구현하는 것은 곤란하다. 마스크층에서 하부의 유전층으로 피쳐를 포토레지스트층 없이 전사하기 위해, 보다 높은 에칭 선택도가 마스크층과 유전체층 사이에서 필요하다. 동일한 에칭 화학물질의 서로 다른 두 개 층의 에칭 속도의 비율은 에칭 프로세스의 선택도로 알려져 있다. SiO2, SiC 및 Si3N4로 구성되어 있는 마스크막 모두는 에칭 화학물질에 무관하게 유기 규산염 유전체에 관련하여 빈약한 에칭 선택도를 가지며, 이것은 빈약한 또는 무 비아 또는 트렌치 피쳐가 하드 마스크 층으로부터 하부의 유전층으로 전사되게 한다. 빈약한 피쳐 전사는 금속 라인 단락 또는 통제불능 장치 행태를 야기하고, 이는 보다 낮은 제품 수율을 야기할 수 있다. 그러므로, 현재의 하드 마스크층의 조성은 사실상 피쳐를 유기 규산염의 로우-k 유전체로 구성된 하부의 유전층에 전사하지 않는다.
본 발명은 로우-k 유전체를 가진 상호 연결 구조물의 듀얼 대머신 제조에 있어서 새로운 마스크층을 이용하여 위 문제를 해결한다. 본 명세서에서 사용된 바와 같이, 로우-k 유전체 또는 로우-k 유전층은 약 3까지의 유전 상수를 갖는 유기 규산염 유전체 및 유기 유전체를 포함하고, 예를 들어 Novellus사가 제조한 CORAL의 상품명을 갖는 유전체는 2.7 - 2.8의 유전 상수를 갖는다.
마스크 층은 하부의 금속 층을 오버레이(overlays)하는 로우-k 유전체 상에 증착된다. 마스크 층은 유전막 및/또는 패시베이션 층으로 작용하는 제 1 마스크를포함하는 3개의 막을 가진다. 제 1 마스크 막은 SiO2및 SiC를 포함한다. 희생막인 제 2 마스크 막은 제 1 마스크막 위에 증착되고 Si3N을 포함하며, 제 1 마스크막 및 제 3 마스크 막 사이에서 장벽 막으로서 작용한다. 제 3 마스크 막은 제 2 마스크 막 위에 증착되고, 티타늄(Ti) 또는 탄탈(Ta)과 같은 내열는 금속, 또는 티타늄 질화물(TiN) 또는 탄탈 질화물(TaN)과 같은 금속 합금을 포함하는 금속막이다. 마스크 층의 금속화는 보다 높은 에칭 선택도를 하부의 유전체와 관련하여 마스크 층에 제공하고 로우-k 유전체에 효과적인 피쳐 전사를 하게 한다.
비아 및 트렌치 피쳐는 패터닝되고 듀얼 대머신 절차를 사용하여 에칭된다.비아 및 트렌치가 유전층 내에 에칭된 후에, 도전성 금속은 그 안에 증착되고, 도전성 금속을 화학적 기계적 평탄화를 이용하여 평탄화시킨다. 제 2 및 제 3 희생 마스크 막은 평탄화 과정동안에 제거되어, 제 1 마스크 막은 도전성 금속에 유전체로서 그리고 유전체 상에 패시베이션 층으로서 남게된다.
도 1 내지 도 4는 듀얼 대머신 절차를 사용하는 상호 연결 구조물의 종래 기술의 제조를 도시하는 도면,
도 5 내지 도 9는 듀얼 대머신 절차를 사용하는 상호 연결 구조물의 종래 기술의 제조를 도시하되 마스크 층은 유전층 및 포토레지스트 층 사이에 배치되는 도면,
도 10 내지 도 15는 본 발명을 이용하는 상호 연결 구조물의 듀얼 대머신 구성을 도시하는 도면.
도면의 주요 부분에 대한 부호의 설명
11 : 금속층12 : 비아 유전층
13 : 트렌치 유전층14 : 절연 장벽층
15 : 에칭 스톱층17 : 비아
33 : 하부의 상호 연결 층37 : 마스크 층
집적 회로 칩의 상호 연결 층(30)의 단면도는 도 10에 도시되어 있고, 도전성 금속(34)을 갖는 하부의 상호 연결 층(33) 상에 형성된 비아 유전층(31) 및 트렌치 유전층(32)을 포함하는 로우-k 유전체를 포함한다. 보통 질화규소 또는 탄화규소를 포함하는 절연 장벽 층(35)은 상호 연결층(33) 위에 먼저 증착된다.
그런 다음 비아 유전층(31)이 장벽층(35) 위에 증착된다. 비아 유전층(31)은 임의의 유기 규산염 또는 약 3.0까지의 유전 상수를 갖는 유기 로우-k 유전체를 포함한다. 사용되는 이러한 로우-k 유전체는 Novellus사에 의해 제조된 CORAL, Applied Materials사에 의해 제조된 BLACK DIAMOND, 또는 Dow Chemical Company, Inc.에 의해 제조된 SILK이다. 에칭 스톱층(36)은 비아 유전층(31) 위에 증착된다. 트렌치 유전층(32)은 스톱층(36) 위에 형성되고 비아 유전층(31)에 사용되는 동일한 로우-k 유전체를 포함한다.
비아 유전층(31)은 대개 약 3000 내지 약 6000 A의 두께 범위를 가질 수 있고, 트렌치 유전층(32)은 1500 A 내지 6000 A의 두께 범위를 가질 수 있다. 에칭 스톱층(36) 및 절연 장벽 층은 약 500 A까지의 두께 범위를 가진다. 막 두께에 대한 이러한 예들은 본 발명을 그러한 두께에 한정하려고 하는 것은 아니다. 당업자면 막 에칭의 개개의 두께는 사실상 막 에칭 속도, 에칭 속도의 균일성 및 유전체에 형성된 개방부의 종횡비(aspect ratio)와 같은 다양한 요소에 의해 결정된다는 것을 이해할 것이다.
마스크 층(37)은 트렌치 유전층(32) 위에 증착된다. 마스크 층(37)은 유전체와 마스크 층(37) 상에 증착되는 포토레지스트 층(41) 사이에서 장벽으로서 작용한다. 도 10에 도시된 마스크 층(37)은 제 1 마스크 막(38), 제 2 마스크 막(39) 및 제 3 마스크 막(40)을 포함하는 3개의 마스크 막을 가진다. 제 1 마스크 막(38)은 규소 이산화물 또는 탄화규소로 구성될 수 있는 패시베이션 층이다. 정의에 의하면 패시베이션 층은 하부의 로우-k 유전층(31, 32)을 오염으로부터 보호한다.
또한, 제 1 마스크 막(38)은 유전체로서 작용한다. 제 1 유전층은 규소 이산화물 또는 탄화규소와 같은 유전체를 포함한다. 제 1 마스크 막은 상호 연결 구조물의 구성 성분으로서 남아 있게되고 도전성 라인 사이에서 표면 전류 누설을 방지한다.
질화규소를 포함하는 제 2 마스크 막(39)은 제 1 마스크 막(38) 위에 증착되고, 규소 이산화물 또는 탄화규소 금속 층인 제 3 마스크 막(40) 사이에서 장벽으로서 작용한다. 제 3 마스크 막(40)은 티타늄, 탄탈 또는 텅스텐과 같은 내열 금속, 또는 티타늄 질화물, 탄탈 질화물 또는 텅스텐 질화물과 같은 금속 합금으로 구성되는 것이 바람직하다. SiO2, SiC, Si3N4각각은 비아 유전층(31) 및 트렌치 유전층(32)을 포함하는 로우-k 유전체와 관련하여 보다 낮은 에칭 선택도를 가지므로, 제 3 마스크막 층(40)에 내열 금속을 첨가하면 마스크 층(37)의 에칭 선택도가 증가한다. 증가한 에칭 선택도는 제 1 마스크 막(38), 비아 유전층(31) 및 트렌치 유전층(32)을 통해 막(39, 44)에 패터닝된 비아 또는 트렌치 피쳐의 효과적이고 충실한 전사를 하게 한다.
예시적인 실시예에서, 제 1 마스크 막(38)은 약 500 A 내지 약 1000 A의 두께 범위를 가질 수 있고, 제 2 마스크 막(39)은 약 500 A 내지 약 1000 A의 두께 범위를 가질 수 있으며, 제 3 마스크 막은 약 200 A 내지 약 500 A의 두께 범위를 가질 수 있다. 막 두께에 대한 이러한 예들은 본 발명을 이러한 두께 범위에 한정하려는 것은 아니다. 당업자라면 각 막에 대한 에칭의 개개의 두께는 사실상 막 및 마스크 에칭 속도, 에칭 속도의 균일성과 마스크 및 유전체에 형성된 개구의 종횡비 같은 다양한 요소에 의해 결정된다라는 사실을 이해할 것이다.
듀얼 대머신 프로세스에 있어서, 트렌치 피쳐가 포토레지스트 층(41)에 먼저 패터닝된다. 트렌치 및 비아 피쳐의 패터닝은 당업자에게 알려진 종래의 포토리소그래피를 사용하여 실행된다. 도 11을 참조하면, 건식 에칭 프로세스를 사용하여, 마스크 층(37)에서 트렌치(42)가 제 2 및 제 3 마스크 막(39, 40)을 통과하여 제 1 마스크 막(38)까지 에칭된다. 좀 더 상세히 설명되겠지만 마스크 층(37)에서 에칭된 트렌치(42)는 유전체에서 더 에칭될 것이다.
포토레지스트 층(41)은 반도체 표면으로부터 스트리핑(strip)된다. 도 12에 도시된 바와 같이, 제 2 포토레지스트 층(43)이 마스크 층(37) 위에 증착된다. 유전체에서 에칭되도록 요구되는 비아 피쳐는 제 2 포토레지스트 층(43)에서 패터닝된다. 도 13과 관련하여, 건식 에칭 프로세스를 사용하여, 비아(44)는 두 유전층(31, 32)에서 비아 유전층(31)의 사전 결정된 깊이로 에칭된다. 도 13에 도시된 바와 같이, 비아(44)는 장벽층(35) 아래까지 에칭된다. 장벽층(35)은 하부의 도전성 금속(34)을 비아(44)를 에칭할 때 사용되는 에칭 화학물질로부터 보호하는 작용을 한다. 그것은 또한 하부의 상호 연결 층(33)의 표면에 보호막을 씌운다.
제 2 포토레지스트 층(43)은 상호 연결 층(30)으로부터 스트리핑된다. 마스크 층(37) 안으로 이전에 에칭된 트렌치를 사용하여, 도 14에 도시된 바와 같이, 트렌치(42)는 로우-k 유전체 안으로 트렌치 유전층(32)의 사전 결정된 깊이로 선택적으로 에칭된다. 트렌치(42)는 에칭 스톱층(36) 아래까지 에칭되는 것이 바람직하다.
별개의 선택적 에칭 프로세스에서, 비아(44) 내의 장벽 층(35) 부분과, 트렌치(42)에서의 에칭 스톱 층이 또한 상호 연결 층으로부터 제거된다. 이러한 방식으로, 비아(44)에 증착될 도전성 금속은 하부의 상호 연결 구조물(33)에 있는 금속 층(34)과 접촉할 것이며, 상이한 상호 연결 층의 두 금속 라인을 연결할 것이다.
도 15에 도시된 바와 같이, 구리 금속(45)이 비아(44) 및 트렌치(42)에 증착된다. 얇은 구리 장벽 및 구리 시드(copper seed)가 스퍼터링 또는 화학기상 증착 기법(CVD)을 이용하여 우선 증착되고, 전기 도금법(electroplating)을 이용하여 비아(44) 및 트렌치(42)를 채우기 위한 얇은 구리막 증착이 다음으로 이루어진다. 화학적 기계적 평탄화(CMP)는 트렌치(42) 바깥의 과도한 도전성 금속을 제거하는데이용되고, 제 2 및 제 3 마스크 막(29, 40)을 제거하여, 제 1 마스크 막(38)은 도전성 금속(45)에 인접하게 된다. 이러한 방식으로 도 15에 도시된 상호 연결 접속 구조가 듀얼 대머신 절차를 사용하여 생성되고, 하부의 도전성 라인(34)을 트렌치(42)에서 생성되는 도선성 라인과 전기적으로 연결하는 비아(44)를 포함한다.
본 발명의 하드 마스크 막은 포토레지스트 물질이 비아 및 트레치 포토리소그래피 동안 로우-k 유전체와 접촉하는 것을 방지하고, 로우-k 유전체와 관련하여 보다 높은 에칭 선택도를 갖는다. 산화규소 또는 질화규소의 단일 하드 마스크 층은 유전체를 포토레지스트에 노출시킬 수 있고, 포토레지스트를 포이즈닝(poisoning)시키고 프린팅을 방지한다. 또한, SiC, Si3N4또는 SiC 중 임의의 두개의 막을 결합하는 듀얼 마스크 막은 로우-k 유전체의 에칭 속도와 비슷한 에칭 속도를 가진다. 따라서, 하드 마스크에서의 패터닝된 피쳐는 로우-k 유전체로 전사되지 않을 것이다.
본 발명의 바람직한 실시예가 도시되고 본 명세서에서 설명되었지만, 이러한 실시예는 제한적이 아니라 예시적인 방법으로서 제공된다. 당업자라면 본 발명을 벗어나지 않고서 여러 변이, 변경, 대체를 할 것이다. 예를 들어, 다른 응용이 본 발명의 개시물로부터 동등하게 적용될 수 있기 때문에, 본 발명은 본 명세서에 개시된 최상의 모드에 제한될 필요는 없다. 따라서, 본 발명이 첨부된 청구항의 사상 및 범주에 의해서만 제한되어야 함이 의도된다.

Claims (20)

  1. 집적 회로 장치의 상호 연결 구조물의 구성에 이용되는, 상기 집적 회로 장치의 기저 금속 층(underlying metal layer) 위에 증착된 로우-k 유전체(low-k dielectric material)를 오버레이(overlaying)하는 마스크 층에 있어서,
    (a) 상기 로우-k 유전체 상에 증착된 패시베이션 마스크막(passivation mask film)과,
    (b) 상기 패시베이션 막 위에 증착된 장벽 마스크막(barrier mask film)과,
    (c) 상기 장벽 마스크막 위에 증착된 금속 마스크막을 포함하는
    마스크 층.
  2. 제 1 항에 있어서,
    상기 패시베이션 마스크막은 규소 이산화물(silicon dioxide) 또는 탄화규소(silicon carbonite)를 포함하는
    마스크 층.
  3. 제 1 항에 있어서,
    상기 장벽 마스크막이 규소 질화물(silicon nitride)를 포함하는
    마스크 층.
  4. 제 1 항에 있어서,
    상기 금속 마스크막이 내열 금속(refractory metal) 또는 금속 합금을 포함하는
    마스크 층.
  5. 제 4 항에 있어서,
    상기 용해도기 어려운 금속은 티타늄(titanium), 탄탈(tantalum) 및 텅스텐을 포함하는 상기 내열 금속 그룹으로부터 선택되고, 상기 내열 금속 합금은 티타늄 질화물(titanium nitride) 및 탄탈 질화물을 포함하는 상기 내열 금속의 그룹으로부터 선택되는
    마스크 층.
  6. 집적 회로 장치의 듀얼 대머신 상호 연결 구조물- 상기 상호 연결 구조물은 기저 금속 층 위에 증착된 로우-k 유전체를 가짐 -를 형성하는 방법에 있어서,
    (a) 상기 로우-k 유전체 위에 패시베이션 마스크막을 형성하는 단계와,
    (b) 상기 패시베이션 마스크막 위에 장벽 마스크막을 형성하는 단계와,
    (c) 상기 장벽 마스크막 위에 금속 마스크막을 형성하고, 상기 패시베이션 장벽 및 금속 마스크막은 상기 로우-k 유전체를 오버레이하는 마스크 층을 형성하는 단계와,
    (d) 상기 로우-k 유전체내의 트렌치를 상기 로우-k 유전체의 사전 결정된 깊이까지 에칭하는 단계와,
    (e) 상기 로우-k 유전체 물질을 통과하며 상기 기저 금속 층까지 비아를 에칭하는 단계를 포함하는
    집적 회로 장치의 듀얼 대머신 상호 연결 구조물 형성 방법.
  7. 제 6 항에 있어서,
    상기 패시베이션 마스크막은 규소 이산화물 또는 탄화규소를 포함하는
    집적 회로 장치의 듀얼 대머신 상호 연결 구조물 형성 방법.
  8. 제 6 항에 있어서,
    상기 장벽 마스크막은 규소 질화물을 포함하는
    집적 회로 장치의 듀얼 대머신 상호 연결 구조물 형성 방법.
  9. 제 6 항에 있어서,
    상기 금속 마스크막은 내열 금속 또는 금속 합금을 포함하는
    집적 회로 장치의 듀얼 대머신 상호 연결 구조물 형성 방법.
  10. 제 9 항에 있어서,
    상기 내열 금속은 티타늄, 탄탈 및 텅스텐을 포함하는 상기 내열 금속 그룹으로부터 선택되고, 상기 내열 금속 합금은 티타늄 질화물 및 탄탈 질화물을 포함하는 상기 내열 금속의 그룹으로부터 선택되는
    집적 회로 장치의 듀얼 대머신 상호 연결 구조물 형성 방법.
  11. 제 6 항에 있어서,
    상기 단계가 상기 금속 마스크막 위에 포토레지스트(photoresist) 층을 형성하는 단계와, 상기 포토레지스트 층에 트렌치 피쳐(trench feature)를 패터닝하는 단계와, 상기 금속 마스크막 및 상기 장벽 마스크막을 통과하며 상기 패시베이션 마스크막까지 트렌치를 에칭하는 단계를 더 포함하는
    집적 회로 장치의 듀얼 대머신 상호 연결 구조물 형성 방법.
  12. 제 6 항에 있어서,
    상기 단계가 상기 로우-k 유전체 위에 상기 포토레지스트 층을 형성하는 단계와, 상기 포토레지스트 층에 비아 피쳐를 패터닝하는 단계를 더 포함하는
    집적 회로 장치의 듀얼 대머신 상호 연결 구조물 형성 방법.
  13. 기저 금속 층 위에 증착되는 로우-k 유전체와, 상기 로우-k 절연체 상에 증착되는 마스크 층- 상기 마스크 층은 상기 로우-k 유전체와 관련하여 바람직한 에칭 선택도(etch selectivity)를 가짐 -을 가진 집적 회로 장치 상에서 상호 연결 구조물을 형성하는 방법에 있어서, 상기 방법은 마스크 층 부분으로서 금속막을 형성하여 상기 로우-k 유전층에 관련하여 마스크 층의 상기 에칭 선택도를 증가시키는 단계를 포함하는
    집적 회로 장치 상에서 상호 연결 구조물을 형성하는 방법.
  14. 제 13 항에 있어서,
    상기 금속 막은 내열 금속 또는 금속 합금을 포함하는
    집적 회로 상에서 상호 연결 구조물을 형성하는 방법.
  15. 제 14 항에 있어서,
    상기 내열 금속은 티타늄, 탄탈 및 텅스텐을 포함하는 상기 내열 금속 그룹으로부터 선택되고, 상기 내열 금속 합금은 티타늄 질화물 및 탄탈 질화물을 포함하는 상기 내열 금속의 그룹으로부터 선택되는
    집적 회로 장치 상에서 상호 연결 구조물을 형성하는 방법.
  16. 제 13 항에 있어서,
    상기 단계가 상기 유전체 위에 패시베이션 마스크막을 형성하는 단계와, 상기 패시베이션 마스크막 위에 장벽 마스크막을 형성하되 상기 금속 막은 상기 장벽 마스크 막 위에 형성되는 단계를 더 포함하는
    집적 회로 장치 상에서 상호 연결 구조물을 형성하는 방법.
  17. 제 15 항에 있어서,
    상기 패시베이션 마스크막은 규소 이산화물 또는 탄화규소를 포함하는
    집적 회로 장치 상에서 상호 연결 구조물을 형성하는 방법.
  18. 제 15 항에 있어서,
    상기 장벽 마스크 막은 규소 질화물를 포함하는
    집적 회로 장치 상에서 상호 연결 구조물을 형성하는 방법.
  19. 제 13 항에 있어서,
    상기 단계가 상기 로우-k 유전체내의 트렌치를 상기 로우-k 유전체의 사전 결정된 깊이까지 에칭하는 단계와, 상기 로우-k 유전체를 통과하며 상기 로우-k 유전체의 상기 기저 금속 층까지 에칭하는 단계와, 비아 및 트렌치내에 도전성 금속을 증착하는 단계를 더 포함하는
    집적 회로 장치 상에서 상호 연결 구조물을 형성하는 방법.
  20. 제 19 항에 있어서,
    상기 도전성 금속은 상기 비아 및 트렌치의 바깥쪽의 집적 회로 칩 상에 증착되고 상기 방법은 상기 집적 회로 칩을 평탄하는 단계와, 상기 과도한 도전성 금속(excess conductive metal)과, 상기 금속 마스크 층 및 장벽 마스크막을 제거하는 단계를 더 포함하는
    집적 회로 장치 상에서 상호 연결 구조물을 형성하는 방법.
KR1020020058854A 2001-09-28 2002-09-27 마스크 층 및 집적 회로 장치의 듀얼 대머신 상호 연결구조물 형성 방법과 집적 회로 장치 상에서 상호 연결구조물을 형성하는 방법 KR20030027817A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/966,157 2001-09-28
US09/966,157 US20030064582A1 (en) 2001-09-28 2001-09-28 Mask layer and interconnect structure for dual damascene semiconductor manufacturing

Publications (1)

Publication Number Publication Date
KR20030027817A true KR20030027817A (ko) 2003-04-07

Family

ID=25510991

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020020058854A KR20030027817A (ko) 2001-09-28 2002-09-27 마스크 층 및 집적 회로 장치의 듀얼 대머신 상호 연결구조물 형성 방법과 집적 회로 장치 상에서 상호 연결구조물을 형성하는 방법

Country Status (5)

Country Link
US (2) US20030064582A1 (ko)
JP (1) JP2003179136A (ko)
KR (1) KR20030027817A (ko)
GB (1) GB2380316B (ko)
TW (1) TW533474B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200037053A (ko) * 2018-09-28 2020-04-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 다마신 프로세스에서의 금속 장벽의 선택적 성막
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
US11837500B2 (en) 2018-09-28 2023-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Selective deposition of metal barrier in damascene processes and the structures formed thereof

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030119305A1 (en) * 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US6734096B2 (en) * 2002-01-17 2004-05-11 International Business Machines Corporation Fine-pitch device lithography using a sacrificial hardmask
JP2003282704A (ja) * 2002-03-26 2003-10-03 Nec Electronics Corp デュアルダマシンによる半導体装置の製造方法
JP4193438B2 (ja) 2002-07-30 2008-12-10 ソニー株式会社 半導体装置の製造方法
DE10301243B4 (de) * 2003-01-15 2009-04-16 Infineon Technologies Ag Verfahren zum Herstellen einer integrierten Schaltungsanordnung, insbesondere mit Kondensatoranordnung
KR100538379B1 (ko) * 2003-11-11 2005-12-21 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성 방법
US6875688B1 (en) * 2004-05-18 2005-04-05 International Business Machines Corporation Method for reactive ion etch processing of a dual damascene structure
KR100632658B1 (ko) * 2004-12-29 2006-10-12 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US7781154B2 (en) * 2006-03-28 2010-08-24 Applied Materials, Inc. Method of forming damascene structure
US7300868B2 (en) * 2006-03-30 2007-11-27 Sony Corporation Damascene interconnection having porous low k layer with a hard mask reduced in thickness
CN101140421B (zh) * 2006-09-04 2010-06-16 中芯国际集成电路制造(上海)有限公司 形成光刻胶图案的方法
US9070639B2 (en) * 2011-03-23 2015-06-30 Globalfoundries Inc. Shrinkage of critical dimensions in a semiconductor device by selective growth of a mask material
US8513114B2 (en) * 2011-04-29 2013-08-20 Renesas Electronics Corporation Method for forming a dual damascene interconnect structure
US8647991B1 (en) * 2012-07-30 2014-02-11 United Microelectronics Corp. Method for forming dual damascene opening
CN104347488B (zh) * 2013-08-07 2017-06-13 中芯国际集成电路制造(上海)有限公司 互连结构的形成方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5821169A (en) * 1996-08-05 1998-10-13 Sharp Microelectronics Technology,Inc. Hard mask method for transferring a multi-level photoresist pattern
JP3390329B2 (ja) * 1997-06-27 2003-03-24 日本電気株式会社 半導体装置およびその製造方法
TW389988B (en) * 1998-05-22 2000-05-11 United Microelectronics Corp Method for forming metal interconnect in dielectric layer with low dielectric constant
JP3657788B2 (ja) * 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
US6312874B1 (en) * 1998-11-06 2001-11-06 Advanced Micro Devices, Inc. Method for forming a dual damascene trench and underlying borderless via in low dielectric constant materials
JP3436221B2 (ja) * 1999-03-15 2003-08-11 ソニー株式会社 半導体装置の製造方法
JP3348706B2 (ja) * 1999-09-29 2002-11-20 日本電気株式会社 半導体装置の製造方法
FR2802336B1 (fr) * 1999-12-13 2002-03-01 St Microelectronics Sa Structure d'interconnexions de type damascene et son procede de realisation
EP1292978A2 (en) * 2000-06-21 2003-03-19 Infineon Technologies North America Corp. Dual damascene process utilizing a low-k dual dielectric
US6696222B2 (en) * 2001-07-24 2004-02-24 Silicon Integrated Systems Corp. Dual damascene process using metal hard mask

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
KR20200037053A (ko) * 2018-09-28 2020-04-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 다마신 프로세스에서의 금속 장벽의 선택적 성막
US11398406B2 (en) 2018-09-28 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Selective deposition of metal barrier in damascene processes
US11837500B2 (en) 2018-09-28 2023-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Selective deposition of metal barrier in damascene processes and the structures formed thereof

Also Published As

Publication number Publication date
US20030064582A1 (en) 2003-04-03
GB2380316A (en) 2003-04-02
GB0204746D0 (en) 2002-04-17
JP2003179136A (ja) 2003-06-27
GB2380316B (en) 2005-08-24
US20040171256A1 (en) 2004-09-02
TW533474B (en) 2003-05-21

Similar Documents

Publication Publication Date Title
JP4486303B2 (ja) 半導体装置の相互接続構造においてバイアとトレンチの間に生じ得るミスアライメントに起因する影響を回避するための方法
US6445073B1 (en) Damascene metallization process and structure
US7399700B2 (en) Dual damascene interconnection with metal-insulator-metal capacitor and method of fabricating
US6380087B1 (en) CMP process utilizing dummy plugs in damascene process
US6110648A (en) Method of enclosing copper conductor in a dual damascene process
US6309955B1 (en) Method for using a CVD organic barc as a hard mask during via etch
US6169024B1 (en) Process to manufacture continuous metal interconnects
KR20030027817A (ko) 마스크 층 및 집적 회로 장치의 듀얼 대머신 상호 연결구조물 형성 방법과 집적 회로 장치 상에서 상호 연결구조물을 형성하는 방법
US6841466B1 (en) Method of selectively making copper using plating technology
US6576550B1 (en) ‘Via first’ dual damascene process for copper metallization
KR100482179B1 (ko) 반도체 소자 제조방법
US7485574B2 (en) Methods of forming a metal line in a semiconductor device
US20020173079A1 (en) Dual damascene integration scheme using a bilayer interlevel dielectric
US6465343B1 (en) Method for forming backend interconnect with copper etching and ultra low-k dielectric materials
KR19980063840A (ko) 매립 플러그 및 상호접속물 형성 방법
US20020127849A1 (en) Method of manufacturing dual damascene structure
US7662711B2 (en) Method of forming dual damascene pattern
KR100434508B1 (ko) 변형된 듀얼 다마신 공정을 이용한 반도체 소자의 금속배선 형성방법
US20050170632A1 (en) Methods of manufacturing multi-level metal lines in semiconductor devices
KR100476707B1 (ko) 반도체 소자의 제조 방법
KR100784105B1 (ko) 반도체 소자의 제조 방법
WO2002003457A2 (en) Via first dual damascene process for copper metallization
KR100435784B1 (ko) 반도체 소자의 금속배선 형성 방법
KR20020054641A (ko) 반도체소자의 제조방법
KR20020032698A (ko) 반도체 소자의 구리 배선 형성 방법

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid