KR20180087661A - 핵형성 구조물을 갖는 도전성 구조물을 포함하는 반도체 소자 및 그 형성 방법 - Google Patents

핵형성 구조물을 갖는 도전성 구조물을 포함하는 반도체 소자 및 그 형성 방법 Download PDF

Info

Publication number
KR20180087661A
KR20180087661A KR1020170011965A KR20170011965A KR20180087661A KR 20180087661 A KR20180087661 A KR 20180087661A KR 1020170011965 A KR1020170011965 A KR 1020170011965A KR 20170011965 A KR20170011965 A KR 20170011965A KR 20180087661 A KR20180087661 A KR 20180087661A
Authority
KR
South Korea
Prior art keywords
nucleation
layer
conductive
barrier
conductive pattern
Prior art date
Application number
KR1020170011965A
Other languages
English (en)
Inventor
김태열
강지원
신충환
이진일
현상진
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020170011965A priority Critical patent/KR20180087661A/ko
Priority to US15/806,527 priority patent/US10366955B2/en
Priority to CN201810067806.0A priority patent/CN108346619B/zh
Publication of KR20180087661A publication Critical patent/KR20180087661A/ko
Priority to US16/441,433 priority patent/US10580736B2/en
Priority to US16/738,754 priority patent/US10847464B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET

Abstract

반도체 소자 및 그 형성 방법을 제공한다. 이 반도체 소자는 개구부를 갖는 절연 구조물; 상기 개구부 내에 배치되는 도전성 패턴; 상기 도전성 패턴의 하부면을 덮으며 상기 도전성 패턴과 상기 개구부의 측벽 사이로 연장되는 배리어 구조물(barrier structure); 및 상기 도전성 패턴과 상기 배리어 구조물 사이에 배치되는 핵형성 구조물(nucleation structure)을 포함한다. 상기 핵형성 구조물은 상기 배리어 구조물에 접촉하는 제1 핵형성 층 및 상기 도전성 패턴과 접촉하는 제2 핵형성 층을 포함하고, 상기 제2 핵형성 층의 상부 끝 부분은 상기 제1 핵형성 층의 상부 끝 부분 보다 높다.

Description

핵형성 구조물을 갖는 도전성 구조물을 포함하는 반도체 소자 및 그 형성 방법{SEMICONDUCTOR DEVICE INCLUDING CONDUCTIVE STRUCTURE HAVING NUCLEATION STRUCTURE AND METHOD OF FORMING THE SAME}
본 발명의 기술적 사상은 반도체 소자 및 그 형성 방법에 관한 것으로, 특히 핵형성 구조물을 갖는 도전성 구조물을 포함하는 반도체 소자 및 그 형성 방법에 관한 것이다.
최근 반도체 소자의 고집적화 경향에 따라 반도체 소자를 구성하는 요소들의 크기가 축소되고 있다. 예를 들어, 반도체 소자를 구성하는 요소들 중에서 금속 물질로 형성되는 요소들, 예를 들어 콘택 플러그의 크기도 작아지고 있다. 콘택 플러그의 크기가 점점 작아지면서 콘택 플러그 내에서 발생하는 작은 결함은 반도체 소자의 성능 또는 특성에 점점 큰 영향을 미치고 있다.
본 발명의 기술적 사상이 해결하려는 과제는 불량 발생을 감소시킬 수 있는 도전성 구조물을 포함하는 반도체 소자를 제공하는데 있다.
본 발명의 기술적 사상이 해결하려는 과제는 전기적 특성을 개선할 수 있는 도전성 구조물을 포함하는 반도체 소자를 제공하는데 있다.
본 발명의 기술적 사상의 일 실시 예에 따른 반도체 소자는 개구부를 갖는 절연 구조물; 상기 개구부 내에 배치되는 도전성 패턴; 상기 도전성 패턴의 하부면을 덮으며 상기 도전성 패턴과 상기 개구부의 측벽 사이로 연장되는 배리어 구조물(barrier structure); 및 상기 도전성 패턴과 상기 배리어 구조물 사이에 배치되는 핵형성 구조물(nucleation structure)을 포함한다. 상기 핵형성 구조물은 상기 배리어 구조물에 접촉하는 제1 핵형성 층 및 상기 도전성 패턴과 접촉하는 제2 핵형성 층을 포함하고, 상기 제2 핵형성 층의 상부 끝 부분은 상기 제1 핵형성 층의 상부 끝 부분 보다 높다.
본 발명의 기술적 사상의 일 실시 예에 따른 반도체 소자는 개구부를 갖는 절연 구조물 및 상기 개구부 내의 도전성 구조물을 포함한다. 상기 도전성 구조물은 배리어 구조물, 핵형성 구조물 및 도전성 패턴을 포함하고, 상기 배리어 구조물 및 상기 핵형성 구조물은 상기 도전성 패턴의 하부면을 덮으면서 상기 도전성 패턴과 상기 절연 구조물 사이로 연장되고, 상기 핵형성 구조물은 상기 배리어 구조물과 상기 도전성 패턴 사이에 배치되고, 상기 핵형성 구조물은 제1 불순물 원소를 포함하고, 상기 핵형성 구조물은 상기 배리어 구조물과 접촉하는 제1 핵형성 층 및 상기 도전성 패턴과 접촉하는 제2 핵형성 층을 포함한다. 상기 제1 핵형성 층 내의 상기 제1 불순물 원소의 농도는 상기 제2 핵형성 층 내의 상기 제1 불순물 원소 농도 보다 높다.
본 발명의 기술적 사상의 일 실시 예에 따른 반도체 소자 형성 방법은 배리어 물질 층을 형성하고, 상기 배리어 물질 층 상에 핵형성 물질 층을 형성하고, 상기 핵형성 물질 층 상에 도전성 물질 층을 형성하는 것을 포함한다. 상기 핵형성 물질 층은 제1 환원 물질, 제2 환원 물질 및 소스 물질을 이용하는 증착 공정을 진행하여 형성한다. 상기 제1 환원 물질은 상기 제2 환원 물질 보다 상기 소스 물질과의 깁스 자유 에너지(Gibbs free energy)가 낮은 물질이다. 상기 핵형성 물질 층을 형성하는 것은 상기 배리어 물질 층과 접촉하는 제1 핵형성 물질을 형성하는 초기 단위 공정 및 상기 도전성 물질 층과 접촉하는 제2 핵형성 물질을 형성하는 마지막 단위 공정을 포함한다. 상기 초기 단위 공정은 상기 제1 환원 물질 및 상기 소스 물질을 순차적으로 공정 챔버 내에 공급하는 것을 포함한다. 상기 마지막 단위 공정은 상기 제2 환원 물질 및 상기 소스 물질을 순차적으로 상기 공정 챔버 내에 공급하는 것을 포함한다.
본 발명의 기술적 사상의 일 실시 예에 따른 반도체 소자 형성 방법은 배리어 물질 층을 형성하고, 상기 배리어 물질 층 상에 핵형성 물질 층을 형성하고, 상기 핵형성 물질 층 상에 도전성 물질 층을 형성하는 것을 포함한다. 상기 핵형성 물질 층은 제1 환원 물질, 제2 환원 물질 및 소스 물질을 이용하는 제1 증착 공정을 진행하여 형성한다. 상기 핵형성 물질 층을 형성하는 것은 상기 배리어 물질 층과 접촉하는 비정질의 제1 핵형성 물질을 형성하는 초기 단위 공정 및 상기 도전성 물질 층과 접촉하는 결정질의 제2 핵형성 물질을 형성하는 마지막 단위 공정을 포함한다. 상기 초기 단위 공정은 상기 제1 환원 물질 및 상기 소스 물질을 순차적으로 공정 챔버 내에 공급하는 것을 포함한다. 상기 마지막 단위 공정은 상기 제2 환원 물질 및 상기 소스 물질을 순차적으로 상기 공정 챔버 내에 공급하는 것을 포함한다.
본 발명의 기술적 사상의 실시 예들에 따르면, 핵형성 구조물을 갖는 도전성 구조물을 포함하는 반도체 소자를 제공할 수 있다. 상기 핵형성 구조물을 서로 다른 특성의 제1 및 제2 핵형성 물질들로 형성함으로써, 상기 도전성 구조물의 불량 발생을 최소화 또는 억제할 수 있다. 또한, 상기 도전성 구조물의 전기적 특성을 개선할 수 있다. 따라서, 반도체 소자의 불량 발생을 최소화 또는 억제할 수 있고, 반도체 소자의 성능을 향상시킬 수 있다.
도 1은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 나타낸 단면도이다.
도 2는 도 1의 "A"로 표시된 부분을 확대한 부분 확대도이다.
도 3은 도 2의 "C"로 표시된 부분을 확대한 부분 확대도이다.
도 4는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 일부 구성요소 내의 불순물 원소들의 분포 특성을 설명하기 위한 개략적인 다이어그램이다.
도 5a는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 변형 예를 나타낸 단면도이다.
도 5b는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 다른 변형 예를 나타낸 단면도이다.
도 5c는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 또 다른 변형 예를 나타낸 단면도이다.
도 5d는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 또 다른 변형 예를 나타낸 단면도이다.
도 6은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 나타낸 사시도이다.
도 7a는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 또 다른 변형 예를 나타낸 평면도이다.
도 7b는 도 7a의 I-I'선 및 II-II'선을 따라 취해진 영역을 나타낸 단면도이다.
도 8a는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 또 다른 변형 예를 나타낸 평면도이다.
도 8b는 도 8a의 III-III'선 및 IV-IV'선을 따라 취해진 영역을 나타낸 단면도이다.
도 9는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 형성 방법을 나타낸 공정 흐름도이다.
도 10a 내지 도 10c는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 형성 방법을 나타낸 단면도들이다.
도 11은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자 형성 방법을 설명하기 위한 공정 흐름도이다.
도 12a는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자 형성 방법의 일 예를 설명하기 위한 가스 펄싱 다이어그램이다.
도 12b는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자 형성 방법의 다른 예를 설명하기 위한 가스 펄싱 다이어그램이다.
도 12c는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자 형성 방법의 또 다른 예를 설명하기 위한 가스 펄싱 다이어그램이다.
도 12d는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자 형성 방법의 또 다른 예를 설명하기 위한 가스 펄싱 다이어그램이다.
도 12e는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자 형성 방법의 또 다른 예를 설명하기 위한 가스 펄싱 다이어그램이다.
도 12f는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자 형성 방법의 또 다른 예를 설명하기 위한 가스 펄싱 다이어그램이다.
도 1을 참조하여 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 설명하기로 한다. 도 1은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 나타낸 단면도이다.
도 1을 참조하면, 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자(1)는 기판(10), 절연 구조물(20) 및 도전성 구조물(30)을 포함할 수 있다.
상기 절연 구조물(20)은 개구부(21)를 가질 수 있다. 상기 절연 구조물(20)의 상기 개구부(21)는 상기 절연 구조물(20)을 관통할 수 있다. 일 예에서, 상기 절연 구조물(20)의 상기 개구부(21)는 상기 기판(10)의 일부를 노출시킬 수 있다. 상기 절연 구조물(20)은 저-유전체(low-k dielectric), 실리콘 산화물 또는 실리콘 질화물 등과 같은 절연성 물질로 형성될 수 있다. 상기 절연 구조물(20)은 단일 층으로 형성될 수 있지만, 본 발명의 기술적 사상은 이에 한정되지 않는다. 예를 들어, 상기 절연 구조물(20)은 두 개 이상의 절연성 물질 층으로 형성될 수도 있다.
상기 기판(10)은 반도체 기판 상에 형성된 다양한 구성요소들을 포함할 수 있다. 예를 들어, 상기 기판(10)은 트랜지스터의 소스/드레인 영역을 포함할 수 있다. 따라서, 상기 절연 구조물(20)의 상기 개구부(21)에 노출되는 상기 기판(10)의 부분은 소스/드레인 영역일 수 있다. 그렇지만, 본 발명의 기술적 사상은 이에 한정되지 않는다. 예를 들어, 상기 기판(10)은 반도체 기판 내의 웰 영역의 콘택을 위한 웰 콘택 영역을 포함할 수 있으며, 상기 절연 구조물(20)의 상기 개구부(21)에 노출되는 상기 기판(10)의 부분은 웰 콘택 영역일 수 있다. 또는, 상기 절연 구조물(20)의 상기 개구부(21)에 노출되는 상기 기판(10)의 부분은 트랜지스터의 채널 영역 또는 배선들을 전기적으로 연결하기 위한 도전성 콘택 패드 영역일 수도 있다.
상기 도전성 구조물(30)은 상기 절연 구조물(20)의 상기 개구부(21) 내에 배치될 수 있다. 일 예에서, 상기 도전성 구조물(30)은 상기 개구부(21)를 채울 수 있다.
상기 도전성 구조물(30)은 배리어 구조물(barrier structure, 40), 핵형성 구조물(nucleation structure, 50) 및 도전성 패턴(60)을 포함할 수 있다.
상기 도전성 패턴(63)은 필라 모양으로 형성될 수 있다. 상기 배리어 구조물(40) 및 상기 핵형성 구조물(50)은 상기 도전성 패턴(60)의 하부면을 덮으면서 상기 도전성 패턴(60)과 상기 절연성 구조물(20) 사이로 연장될 수 있다. 상기 핵형성 구조물(50)은 상기 배리어 구조물(40)과 상기 도전성 패턴(60) 사이에 배치될 수 있다. 상기 도전성 패턴(63)은 상기 핵형성 구조물(50)을 씨드로 이용하여 형성된 벌크 텅스텐 물질을 포함할 수 있다.
상기 도전성 구조물(30)의 상부면의 일부는 리세스될 수 있다. 상기 배리어 구조물(40) 및 상기 도전성 패턴(63)은 공면을 형성하는 상부면들을 가질 수 있다. 상기 핵형성 구조물(50)의 상부면(50s)의 적어도 일부가 리세스될 수 있다. 상기 핵형성 구조물(50)의 상기 상부면(50s)의 적어도 일부는 단차진 상부면(stepped top surface)일 수 있다.
상기 배리어 구조물(40) 및 상기 핵형성 구조물(50)의 일 예에 대하여 도 2를 참조하여 설명하기로 한다. 도 2는 도 1의 "A"로 표시된 부분을 확대한 부분 확대도이다.
도 1 및 도 2를 참조하면, 상기 배리어 구조물(40)은 제1 배리어 층(42) 및 제2 배리어 층(44)을 포함할 수 있다. 상기 제1 배리어 층(42)은 상기 절연성 구조물(20)과 접촉할 수 있다. 일 예에서, 상기 제1 배리어 층(42)은 상기 기판(10)과 접촉할 수 있다. 상기 제2 배리어 층(44)은 상기 핵형성 구조물(50)과 상기 제1 배리어 층(42) 사이에 개재될 수 있으며, 상기 핵형성 구조물(50)과 접촉할 수 있다.
상기 제1 배리어 층(42)은 금속 물질로 형성될 수 있고, 상기 제2 배리어 층(44)은 상기 제1 배리어 층(42)을 구성하는 금속 물질의 질화물로 형성될 수 있다. 예를 들어, 상기 제1 배리어 층(42)은 Ti로 형성될 수 있고, 상기 제2 배리어 층(44)은 TiN으로 형성될 수 있다.
상기 핵형성 구조물(50)은 제1 핵형성 층(52) 및 제2 핵형성 층(58)을 포함할 수 있다. 상기 제1 핵형성 층(52)은 상기 배리어 구조물(40)과 접촉할 수 있고, 상기 제2 핵형성 층(58)은 상기 도전성 패턴(60)과 접촉할 수 있다.
일 실시예에서, 상기 제1 핵형성 층(52)의 두께(t1)는 상기 제2 핵형성 층(58)의 두께(t2) 보다 클 수 있다.
상기 제1 핵형성 층(52)의 상부 끝 부분(50s1)은 상기 제2 핵형성 층(58)의 상부 끝 부분(50s2) 보다 리세스될 수 있다. 상기 제1 핵형성 층(52)의 상부 끝 부분(50s1)은 상기 제2 핵형성 층(58)의 상부 끝 부분(50s2) 보다 낮으므로, 상기 제1 핵형성 층(52)의 상부 끝 부분(50s1)과 상기 제2 핵형성 층(58)의 상부 끝 부분(50s2) 사이의 높이 차이로 인하여 상기 핵형성 구조물(50)의 상부면(50s)에 단차가 형성될 수 있다. 일 예에서, 상기 제2 핵형성 층(58)은 상기 도전성 패턴(60)은 측면을 덮으면서 상기 도전성 패턴(60)을 보호할 수 있다.
상기 핵형성 구조물(50) 및 상기 도전성 패턴(60)의 결정 구조의 예에 대하여 도 3을 참조하여 설명하기로 한다. 도 3은 도 2의 "C"로 표시된 부분을 확대한 부분 확대도이다.
도 3을 참조하면, 상기 제2 핵형성 층(58) 및 상기 도전성 패턴(60)은 결정질 구조로 형성될 수 있다. 상기 제2 핵형성 층(52)의 입자(52g)의 크기는 상기 도전성 패턴(60)의 입자(60g)의 크기 보다 작을 수 있다. 일 예에서, 상기 제1 핵형성 층(52)은 비정질 구조일 수 있다.
일 실시예에서, 상기 핵형성 구조물(50)은 불순물 원소들을 포함하는 핵형성 물질(nucleation material)로 형성될 수 있다. 이와 같이 불순물 원소들을 포함하는 핵형성 물질로 형성될 수 있는 상기 핵형성 구조물(50)에 대하여 도 1 및 도 2와 함께 도 4를 참조하여 설명하기로 한다.
도 1 및 도 2와 함께 도 4를 참조하면, 상기 핵형성 구조물(50)은 불순물 원소들을 포함하는 핵형성 물질로 형성될 수 있다. 일 예에서, 상기 핵형성 물질은 텅스텐 핵형성 물질일 수 있다. 상기 핵형성 구조물(50)에서, 상기 제1 핵형성 층(52)은 제1 핵형성 물질로 형성될 수 있고, 상기 제2 핵형성 층(58)은 제2 핵형성 물질로 형성될 수 있다. 상기 제1 및 제2 핵형성 물질들은 텅스텐 핵형성 물질들일 수 있다.
상기 제1 핵형성 층(52)의 상기 제1 핵형성 물질은 제1 환원 물질 및 소스 물질을 이용하여 ALD 공정으로 형성될 수 있고, 상기 제2 핵형성 층(58)의 상기 제2 핵형성 물질은 상기 제1 환원 물질과 다른 제2 환원 물질 및 상기 소스 물질을 이용하는 ALD 공정으로 형성될 수 있다. 상기 제1 환원 물질은 상기 제2 환원 물질 보다 상기 소스 물질과의 깁스 자유 에너지(ΔG, Gibbs free energy)가 낮은 물질일 수 있다. 상기 제1 환원 물질은 B2H4 가스일 수 있다. 상기 제2 환원 물질은 SiH4 가스 또는 GeH4 가스 일 수 있다. 상기 소스 물질은 WF6 가스일 수 있다.
이와 같이 상기 제1 핵형성 물질로 형성하는 상기 제1 핵형성 층(52)을 상기 배리어 구조물(40)과 접촉하도록 배치하고 상기 제2 핵형성 물질로 형성하는 상기 제2 핵형성 층(58)을 상기 배리어 구조물(40)과 이격되도록 배치함으로써, 상기 핵형성 구조물(50)을 형성하는데 이용되는 상기 WF6 가스의 "F-"과 Ti/TiN 물질로 형성되는 상기 배리어 구조물(40)의 "Ti"가 반응하여 형성되는 휘발성의 TiF3로 인하여 발생되는 볼케노 불량(volcano defect)을 최소화 또는 방지할 수 있다.
일 실시예에서, 상기 핵형성 구조물(50)의 상기 제1 및 제2 핵형성 층들(52, 58)을 제1 및 제2 핵형성 물질들로 형성하면서 이용되는 가스들을 구성하는 원소들 중 일부가 잔존할 수 있다. 예를 들어, 상기 핵형성 물질(50) 내에 상기 B2H4 가스의"보론(B)"및 상기 WF6 가스의 "플루오린(F)"이 잔존할 수 있다.
명세서에서, 상기 핵형성 물질(50) 내에 잔존하는 보론(B)은 제1 불순물 원소로 명명하고, 상기 핵형성 물질(50) 내에 잔존하는 플루오린(F)은 제2 불순물 원소로 명명하기로 한다.
한편, 상기 도전성 패턴(60)은 상기 핵형성 구조물(50)을 씨드로 이용하여 형성된 벌크 금속 물질을 포함할 수 있다. 상기 도전성 패턴(60)의 상기 벌크 금속 물질은 상기 제1 및 제2 환원 물질들과 다른 제3 환원 물질 및 상기 소스 물질을 이용하는 CVD 공정으로 형성할 수 있다. 상기 제3 환원 물질은 H2 가스일 수 있고, 상기 소스 물질은 WF6 가스일 수 있다.
도 4는 상기 제1 핵형성 층(52)을 상기 제1 환원 물질로써 B2H4 가스를 이용하고, 상기 소스 물질로써 WF6 가스를 이용하는 ALD 공정으로 형성하고, 상기 제2 핵형성 층(58)을 상기 제2 환원 물질로써 SiH4 가스를 이용하고 상기 소스 물질로써 WF6 가스를 이용하는 ALD 공정으로 형성하고, 상기 도전성 패턴(60)은 상기 제3 환원 물질로써 H2 가스를 이용하고, 소스 물질로써 WF6 가스를 이용하는 CVD 공정으로 형성하는 경우에, 상기 핵형성 구조물(50) 및 상기 도전성 패턴(60) 내에 잔존하는 상기 제1 및 제2 불순물 원소들(B, F)의 분포 특성을 개략적으로 나타낸 그래프이다. 도 4의 그래프에서, 점선은 상기 제1 불순물 원소(B)의 분포 특성을 나타내고, 실선은 상기 제2 불순물 원소(F)의 분포 특성을 나타낸다.
상기 핵형성 구조물(50)에서, 상기 제1 불순물 원소(B)의 농도는 상기 제2 핵형성 층(58) 보다 상기 제1 핵형성 층(52) 내에서 높을 수 있다. 또한, 상기 핵형성 구조물(50)에서, 상기 제2 불순물 원소(F)의 농도는 상기 제1 핵형성 층(52) 보다 상기 제2 핵형성 층(58) 내에서 높을 수 있다. 따라서, 상기 제1 핵형성 층(52)의 상기 제1 핵형성 물질은 상기 제2 핵형성 층(58)의 상기 제2 핵형성 물질 보다 상기 제1 불순물 원소(B)의 농도는 높고 상기 제2 불순물 원소(F)의 농도는 낮을 수 있다. 상기 배리어 구조물(40)에 인접하는 상기 핵형성 구조물(50)의 부분은 상기 도전성 패턴(60)에 인접하는 상기 핵형성 구조물(50)의 부분 보다 상기 제1 불순물 원소(B)의 농도는 높고 상기 제2 불순물 원소(F)의 농도는 낮을 수 있다.
한편, 상기 제1 및 제2 불순물 원소들(B, F)의 농도들은 상기 도전성 패턴(60) 보다 상기 핵형성 구조물(50) 내에서 높을 수 있다.
일 예에서, 상기 제1 핵형성 층(52)의 상기 제1 핵형성 물질은 상기 제2 핵형성 층(58)의 상기 제2 핵형성 물질 보다 상기 배리어 구조물(40)의 물질 종류 또는 특성에 의한 영향을 덜 받을 수 있는 물질일 수 있다. 상기 제1 핵형성 물질은 상기 제2 핵형성 물질 보다 상기 배리어 구조물(40)의 물질 종류 또는 특성에 의한 영향을 덜 받을 수 있으므로, 상기 제1 핵형성 층(52)은 상기 배리어 구조물(40) 상에서 상기 제2 핵형성 층(58) 보다 균일한 두께로 증착 또는 형성될 수 있다. 한편, 상기 제2 핵형성 물질은 상기 배리어 구조물(40) 상에서 상기 제1 핵형성 물질 보다 균일한 두께로 증착될 수 없지만, 상기 제1 핵형성 물질 상에서는 균일한 두께로 증착될 수 있다. 따라서, 상기 제2 핵형성 층(58)은 상기 제1 핵형성 층(52) 상에서 균일한 두께로 증착 또는 형성될 수 있다. 따라서, 상기 핵형성 구조물(50)은 상기 배리어 구조물(40) 상에서 균일한 두께로 형성될 수 있다. 한편, 도 3에서 설명한 바와 같이 상기 제1 핵형성 층(52)의 상기 제1 핵형성 물질은 비정질 구조로 형성될 수 있고, 상기 제2 핵형성 층(58)의 상기 제2 핵형성 물질은 결정질 구조로 형성될 수 있다.
상술한 바와 같이, 상기 제1 및 제2 핵형성 층들(52, 58)을 서로 다른 결정 구조 및 서로 다른 증착 특성을 갖는 상기 제1 및 제2 핵형성 물질들로 형성함으로써, 상기 도전성 구조물(50)의 불량 발생을 억제할 수 있다. 또한, 이러한 제1 및 제2 핵형성 층들(52, 58)은 상기 도전성 구조물(50)의 전기적 특성을 개선할 수 있다.
상술한 바와 같이 상기 핵형성 구조물(40)은 상기 제1 및 제2 핵형성 층들(52, 58)과 같은 두 개의 층들로 형성될 수 있지만, 본 발명의 기술적 사상은 이에 한정되지 않는다. 예를 들어, 상기 핵형성 구조물(40)은 상기 배리어 구조물(40)과 접촉하는 제1 핵형성 층과 상기 도전성 패턴(60)과 접촉하는 제2 핵형성 층 사이에 개재되는 하나 또는 복수의 중간 핵형성 층을 포함할 수 있다. 이와 같은 상기 중간 핵형성 층을 포함하는 핵형성 구조물의 예들에 대하여 도 5a 내지 도 5d를 각각 참조하여 설명하기로 한다. 도 5a 내지 도 5d의 각각은 도 1의 "A"로 표시된 부분을 나타낸 부분 확대도들이다.
우선, 도 5a를 참조하면, 핵형성 구조물(50)은 상기 배리어 구조물(40)과 접촉하는 제1 핵형성 층(52a), 상기 도전성 패턴(60)과 접촉하는 제2 핵형성 층(58), 상기 제1 및 제2 핵형성 층들(52a, 56) 사이에 배치되는 중간 핵형성 층들(54, 56)이 배치될 수 있다.
명세서에서, 상기 제1 핵형성 층(52a)은 초기 핵형성 층으로 지칭하고, 상기 제2 핵형성 층(58)은 마지막 핵형성 층으로 지칭될 수도 있다.
상기 중간 핵형성 층들(54, 56)은 상기 제1 핵형성 층(52a)과 접촉하는 제1 중간 핵형성 층(54) 및 상기 제2 핵형성 층(58)과 접촉하는 제2 중간 핵형성 층(56)을 포함할 수 있다.
일 실시예에서, 상기 제1 중간 핵형성 층(54)은 상기 제2 핵형성 층(58)과 동일한 환원 물질 및 동일한 소스 물질을 이용하는 ALD 공정으로 형성될 수 있고, 상기 제2 중간 핵형성 층(56)은 상기 제1 핵형성 층(52a)과 동일한 환원 물질 및 동일한 소스 물질을 이용하는 ALD 공정으로 형성될 수 있다. 따라서, 상기 제1 중간 핵형성 층(54) 및 상기 제2 핵형성 층(58)은 실질적으로 동일한 결정 구조 및 동일한 조성의 핵형성 물질로 형성될 수 있고, 상기 제2 중간 핵형성 층(56) 및 상기 제1 핵형성 층(52a)은 실질적으로 동일한 결정 구조 및 동일한 조성의 핵형성 물질로 형성될 수 있다. 따라서, 상기 제2 중간 핵형성 층(56) 및 상기 제1 핵형성 층(52a)은 도 1 내지 도 4에서 설명한 것과 같은 상기 제1 핵형성 물질로 형성될 수 있고, 상기 제1 중간 핵형성 층(56) 및 상기 제2 핵형성 층(58)은 도 1 내지도 4에서 설명한 것과 같은 제2 핵형성 물질로 형성될 수 있다. 상기 제1 및 제2 핵형성 물질들에 대하여 앞에서 도 1 내지 도 4를 참조하여 설명한 바 있으므로, 여기서 상기 제1 및 제2 핵형성 물질들에 대한 자세한 설명은 생략하기로 한다.
일 실시예에서, 상기 제1 핵형성 층(52a)과 상기 제2 중간 핵형성 층(56)은 실질적으로 동일한 두께로 형성될 수 있다. 그렇지만, 본 발명의 기술적 사상은 이에 한정되지 않는다. 예를 들어, 도 5b에서와 같이, 핵형성 구조물(50)은 상기 제1 핵형성 층(52a), 상기 제1 중간 핵형성 층(54), 두께가 증가된 제2 중간 핵형성 층(56') 및 상기 제2 핵형성 층(58)을 포함할 수 있다. 따라서, 도 5b에서, 상기 제2 중간 핵형성 층(56')은 상기 제1 핵형성 층(52a) 보다 두껍게 형성될 수 있다.
일 실시예에서, 상기 제2 핵형성 층(58)과 상기 제1 중간 핵형성 층(54)은 실질적으로 동일한 두께로 형성될 수 있다. 그렇지만, 본 발명의 기술적 사상은 이에 한정되지 않는다. 예를 들어, 도 5c에서와 같이, 핵형성 구조물(50)은 상기 제1 핵형성 층(52a), 두께가 증가된 제1 중간 핵형성 층(54'), 상기 제2 중간 핵형성 층(56) 및 상기 제2 핵형성 층(58)을 포함할 수 있다. 따라서, 도 5c에서, 상기 제1 중간 핵형성 층(54')은 상기 제2 핵형성 층(58) 보다 두껍게 형성될 수 있다.
일 실시예에서, 상기 제1 핵형성 층(52a)과 상기 제2 중간 핵형성 층(56)은 실질적으로 동일한 두께로 형성될 수 있고, 상기 제2 핵형성 층(58)과 상기 제1 중간 핵형성 층(54)은 실질적으로 동일한 두께로 형성될 수 있다. 그렇지만, 본 발명의 기술적 사상은 이에 한정되지 않는다. 예를 들어, 도 5d에서와 같이, 핵형성 구조물(50)은 상기 제1 핵형성 층(52a), 두께가 증가된 제1 중간 핵형성 층(54'), 두께가 증가된 제2 중간 핵형성 층(56') 및 상기 제2 핵형성 층(58)을 포함할 수 있다. 도 5d에서, 상기 제1 중간 핵형성 층(54')은 상기 제2 핵형성 층(58) 보다 두껍게 형성될 수 있고, 상기 제2 중간 핵형성 층(56')은 상기 제1 핵형성 층(52a) 보다 두껍게 형성될 수 있다.
앞에서 도 1 내지 도 5d를 참조하여 설명한 상기 도전성 구조물(30)은 반도체 소자의 콘택 플러그일 수 있다. 그렇지만, 본 발명의 기술적 사상은 이에 한정되지 않는다. 예를 들어, 상기 도전성 구조물(30)은 라인 모양의 배선 또는 게이트 전극일 수도 있다. 상술한 바와 같은 상기 도전성 구조물(30)은 콘택 플러그, 게이트 전극 또는 배선에 이용될 수 있다. 이하에서, 도 6을 참조하여 상기 도전성 구조물(30)이 콘택 플러그 및 게이트 전극에 적용되는 예시적인 예를 설명하기로 한다. 도 6은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자(300)를 나타낸 사시도이다.
도 6을 참조하면, 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자(300)는 반도체 기판(100) 상에 배치되며 핀 활성 영역들(115)을 한정하는 소자분리 영역(120), 상기 핀 활성 영역들(115)을 가로지르는 게이트(G), 상기 게이트(G) 양 옆의 상기 핀 활성 영역들(115) 상에 배치되는 소스/드레인 영역들(150), 상기 소스/드레인 영역들(150) 상에 배치되는 콘택 플러그들(230), 및 상기 반도체 기판(100) 상에 배치되는 절연성 구조물(350)을 포함할 수 있다. 상기 절연성 구조물(350)은 상기 소스/드레인 영역들(150) 및 상기 게이트(G)를 덮을 수 있다. 상기 콘택 플러그들(230)은 상기 절연성 구조물(350)을 관통하면서 상기 소스/드레인 영역들(150)과 접촉하고, 상기 소스/드레인 영역들(150)과 전기적으로 연결될 수 있다.
상기 게이트(G) 및 상기 소스/드레인 영역들(150)은 트랜지스터를 구성할 수 있다. 상기 게이트(G)는 게이트 유전체(320) 및 게이트 전극(330)을 포함할 수 있다. 상기 게이트 유전체(320)는 상기 게이트 전극(330)의 측면 및 바닥을 덮을 수 있다. 상기 게이트 유전체(320)는 실리콘 산화물 및/또는 고유전체(high-k dielectric)로 형성될 수 있다. 한편, 상기 게이트(G)의 측면 상에 게이트 절연성 스페이서(305)가 배치될 수 있다.
상기 게이트 전극(330)의 폭 방향(x)의 단면 구조는 도 1 내지 도 5d를 참조하여 설명한 상기 도전성 구조물(30)의 예들 중 어느 하나와 동일한 구조일 수 있다. 따라서, 상기 게이트 전극(330)은 도 1 내지 도 5d를 참조하여 설명한 것과 같은 상기 배리어 구조물(40), 상기 핵형성 구조물(50) 및 상기 도전성 패턴(60)을 포함할 수 있다.
각각의 상기 콘택 플러그들(230)의 단면 구조는 도 1 내지 도 5d를 참조하여 설명한 상기 도전성 구조물(30)의 예들 중 어느 하나와 동일한 구조일 수 있다. 따라서, 각각의 상기 콘택 플러그들(230)은 도 1 내지 도 5d를 참조하여 설명한 것과 같은 상기 배리어 구조물(40), 상기 핵형성 구조물(50) 및 상기 도전성 패턴(60)을 포함할 수 있다.
따라서, 도 1 내지 도 5d를 참조하여 설명한 것과 같은 상기 배리어 구조물(40), 상기 핵형성 구조물(50) 및 상기 도전성 패턴(60)을 포함하는 상기 도전성 구조물(30)의 구조는 콘택 플러그 또는 게이트 전극에 적용될 수 있다.
앞에서 도 1 내지 도 5d를 참조하여 설명한 상기 도전성 구조물(30)은 반도체 소자의 다른 구성요소와 결합 또는 연결되어 반도체 소자를 구성할 수 있다. 이와 같이 다른 구성요소와 결합 또는 연결된 도전성 구조물을 포함하는 반도체 소자의 예들에 대하여 도 7a, 도 7b, 도 8a 및 도 8b를 참조하여 설명하기로 한다. 도 7a는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 또 다른 변형 예를 나타낸 평면도이고, 도 7b는 도 7a의 I-I'선 및 II-II'선을 따라 취해진 영역을 나타낸 단면도이다. 도 8a는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 또 다른 변형 예를 나타낸 평면도이고, 도 8b는 도 8a의 III-III'선 및 IV-IV'선을 따라 취해진 영역을 나타낸 단면도이다.
우선, 도 7a 및 도 7b를 참조하면, 기판(1010) 상에 제1 절연 구조물(1020) 및 상기 제1 절연 구조물(1020)을 관통하는 제1 도전성 구조물(1030)이 배치될 수 있다. 상기 기판(1010)은 도 1에서 설명한 상기 기판(10)에 대응할 수 있다. 상기 제1 절연 구조물(1020) 및 상기 제1 도전성 구조물(1030) 상에 제2 절연 구조물(1120) 및 제2 절연 구조물(1120)을 관통하는 제2 도전성 구조물(1130)이 배치될 수 있다.
상기 제1 도전성 구조물(1030)은 상기 제1 절연 구조물(1020)을 관통하는 개구부(1021) 내에 배치될 수 있다. 상기 제2 도전성 구조물(1130)은 상기 제2 절연 구조물(1120)을 관통하는 개구부(1121) 내에 배치될 수 있다. 상기 제1 도전성 구조물(1030) 또는 상기 제2 도전성 구조물(1130) 중 적어도 하나는 도 1 내지 도 5d에서 설명한 상기 도전성 구조물들(30) 중 어느 하나로 형성될 수 있다. 예를 들어, 상기 제1 도전성 구조물(1030) 또는 상기 제2 도전성 구조물(1130) 중 어느 하나 또는 둘 모두는 도 1에서 설명한 것과 같은 배리어 구조물(40), 핵형성 구조물(50) 및 도전성 패턴(60)으로 형성될 수 있다. 따라서, 상기 제1 도전성 구조물(1030)의 상기 핵형성 구조물(50)의 상부면(1050s)의 적어도 일부 및/또는 상기 제2 도전성 구조물(1130)의 상기 핵형성 구조물(50)의 상부면(1150s)의 적어도 일부는 도 1에서 설명한 것과 같이 상부 끝 부분들(50s1, 50s2)의 높이가 서로 다른 단차진 상부면일 수 있다. 이와 같은 상기 핵형성 구조물(50) 및 상기 핵형성 구조물(50)의 상기 상부 끝 부분들(50s1, 50s2)에 대한 설명은 도 1 내지 도 5d에서 설명한 바 있으므로, 여기서 자세한 설명은 생략하기로 한다.
상기 제1 도전성 구조물(1030)의 상부면의 일부는 상기 제2 도전성 구조물(1130)과 접촉할 수 있고, 상기 제1 도전성 구조물(1030)의 상부면의 나머지 부분은 상기 제2 절연성 구조물(1120)과 접촉할 수 있다. 상기 제2 절연성 구조물(1120)은 제1 절연 층(1114) 및 상기 제1 절연 층(1114) 상의 제2 절연 층(1116)을 포함할 수 있다. 상기 제2 절연 층(1116)은 상기 제1 절연 층(1114) 보다 두꺼울 수 있다. 상기 제1 절연 층(1114)은 질화물 계열의 절연성 물질로 형성될 수 있고, 상기 제2 절연 층(1116)은 산화물 계열의 절연성 물질로 형성될 수 있다. 상기 제1 절연 층(1114)은 식각 정지 층일 수 있다.
상기 제1 도전성 구조물(1030)의 상기 핵형성 구조물(50)의 상부면(1050s) 중에서, 서로 다른 높이로 형성되어 단차진 상부면을 형성하는 상기 상부 끝 부분들(50s1, 50s2)은 상기 제2 절연성 구조물(1120)의 상기 제1 절연 층(1114)에 의해 덮일 수 있다. 상기 제1 도전성 구조물(1030)의 상기 핵형성 구조물(50)의 상부면(1050s) 중에서, 상기 제2 도전성 구조물(1130)과 접촉하는 상부 끝 부분(50s3)은 상기 단차진 상부면을 형성하는 상기 상부 끝 부분들(50s1, 50s2) 보다 리세스될 수 있다. 따라서, 상기 제1 도전성 구조물(1030)의 상기 핵형성 구조물(50)의 상부면(1050s)에서, 상기 제2 도전성 구조물(1130)과 접촉하는 상부 끝 부분(50s3)은 상기 제2 절연성 구조물(1120)의 상기 제1 절연 층(1114)과 접촉하는 상부 끝 부분들(50s1, 50s2) 보다 높이가 낮을 수 있다. 따라서, 도 1 내지 도 5d에서 설명한 것과 마찬가지로, 상기 제1 절연 층(1114)과 접촉하는 상기 배리어 구조물(40) 및 상기 도전성 패턴(60)은 공면을 이루는 상부면들을 갖고, 상기 제1 절연 층(1114)과 접촉하는 상기 핵형성 구조물(50)의 부분은 단차진 상부면(stepped top surface)를 갖되, 상기 핵형성 구조물(50)의 상기 단차진 상부면에서, 상기 배리어 구조물(40)에 인접하는 상부면의 부분(50s1)은 상기 도전성 패턴(60)에 인접하는 상부면의 부분(50s2) 보다 리세스되고, 상기 제2 도전성 구조물(1130)과 접촉하는 상기 핵형성 구조물(50)의 부분(50s3)은 상기 단차진 상부면 보다 리세스된 상부면을 가질 수 있다.
상기 제1 도전성 구조물(1030)은 평면으로 보았을 때 바 모양일 수 있고, 상기 제2 도전성 구조물(1130)은 상기 제1 도전성 구조물(1030)의 일부분과 접촉할 수 있다.
일 예에서, 상기 제2 도전성 구조물(1130)은 상기 제1 도전성 구조물(1030)의 일부분과 접촉하면서 상기 제1 도전성 구조물(1030)의 상기 핵형성 구조물(50) 및 상기 도전성 패턴(60)을 리세스시킬 수 있지만, 본 발명의 기술적 사상은 이에 한정되지 않는다. 상기 제1 및 제2 도전성 구조물들(1030, 1130)의 변형 예들에 대하여 도 8a 및 도 8b를 참조하여 설명하기로 한다. 여기서, 상기 제1 및 제2 도전성 구조물들(1030, 1130)의 변형된 부분만을 설명하기로 한다. 도 8a 및 도 8b를 참조하면, 변형된 제2 도전성 구조물(1130')은 배리어 구조물(40), 핵형성 구조물(50) 및 도전성 패턴(60)을 갖는 제1 도전성 구조물(1030')의 적어도 일부와 접촉하면서 상기 배리어 구조물(40), 상기 핵형성 구조물(50) 및 상기 도전성 패턴(60)을 리세스 시킬 수 있다.
다음으로, 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 형성 방법의 예들에 대하여 설명하기로 한다. 이러한 반도체 소자 형성 방법의 일 예로써 도 9 및 도 10a 내지 도 10c를 참조하여 도 1에서 설명한 반도체 소자(1)의 형성 방법을 설명하기로 한다. 도 9은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 형성 방법을 나타낸 공정 흐름도이고, 도 10a 내지 도 10c는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 형성 방법을 나타낸 단면도들이다.
도 9 및 도 10a를 참조하면, 개구부(21)를 갖는 절연 구조물(20)을 형성할 수 있다. (S10) 상기 절연 구조물(20)은 절연 층(20a) 및 상기 절연 층(20a) 상의 정지 층(20b)을 포함할 수 있다. 상기 절연 층(20a)은 실리콘 산화물 또는 실리콘 산화물 보다 유전상수가 낮은 저유전체(low-k dielectric)로 형성될 수 있다. 상기 정지 층(20b)은 상기 절연 층(20a)과 다른 물질, 예를 들어 실리콘 질화물로 형성될 수 있다. 상기 절연성 구조물(20)은 기판(10) 상에 형성될 수 있다. 상기 기판(10)은 반도체 소자를 구성할 수 있는 다양한 구성요소들이 형성된 반도체 기판일 수 있다. 예를 들어, 상기 기판(10)은 반도체 물질 내에 불순물이 도핑되어 형성된 소스/드레인 영역들 또는 웰 콘택 영역을 포함하는 반도체 기판일 수 있다.
배리어 물질 층(39)을 형성할 수 있다. (S20) 상기 배리어 물질 층(39)은 상기 개구부(21)를 갖는 절연성 구조물(20) 상에 콘포멀하게 형성될 수 있다. 상기 배리어 물질 층(30)은 Ti 등과 같은 제1 배리어 층을 형성하고, 상기 제1 배리어 층 상에 TiN 등과 같은 제2 배리어 층을 형성하는 것을 포함할 수 있다.
명세서에서, "콘포멀하게" 형성한다 라는 의미는 어떠한 막을 하부 구조의 굴곡에 따라 균일한 두께로 형성하는 것을 의미할 수 있다.
도 9 및 도 10b를 참조하면, 제1 증착 공정을 진행하여 핵형성 물질 층(49)을 형성할 수 있다. (S30) 상기 제1 증착 공정은 제1 환원 물질, 제2 환원 물질 및 소스 물질을 이용하는 ALD 공정일 수 있다. 상기 제1 환원 물질은 B2H4 가스일 수 있고, 상기 제2 환원 물질은 SiH4 가스 또는 GeH4 가스 일 수 있고, 상기 소스 물질은 WF6 가스일 수 있다.
도 9 및 도 10c를 참조하면, 상기 핵형성 물질 층(49)을 씨드로 이용하는 제2 증착 공정을 진행하여 도전성 물질 층(59)을 형성할 수 있다. (S40) 상기 제2 증착 공정은 제3 환원 물질 및 소스 물질을 이용하는 CVD 공정일 수 있다. 상기 제3 환원 물질은 상기 핵형성 물질 층(49)을 형성하기 위한 상기 제1 증착 공정에 이용되는 상기 제1 및 제2 환원 물질들과 다른 물질일 수 있다. 예를 들어, 상기 제3 환원 물질은 H2 가스일 수 있다. 상기 제2 증착 공정에 이용되는 상기 소스 물질은 상기 핵형성 물질 층(49)을 형성하기 위한 상기 제1 증착 공정에 이용되는 소스 물질과 동일할 수 있다. 따라서, 상기 제2 증착 공정에 이용되는 상기 소스 물질은 상기 제1 증착 공정에 이용되는 소스 물질과 마찬가지로 WF6 가스일 수 있다.
도 9와 함께 다시 도 1을 참조하면, 평탄화 공정을 진행하여 상기 개구부(21) 내에 잔존하는 도전성 구조물(30)을 형성할 수 있다. (S50) 상기 평탄화 공정은 상기 절연성 구조물(20)의 상부면이 노출될 때까지 상기 도전성 물질 층(도 10c의 59), 상기 핵형성 물질 층(49) 및 상기 배리어 물질 층(39)을 평탄화하는 것을 포함할 수 있다. 상기 평탄화 공정은 화학기계적 연마(CMP) 공정으로 진행될 수 있다. 상기 평탄화 공정은 상기 절연 층(20a)을 노출시킬 수 있다. 따라서, 상기 절연 층(20a) 상의 상기 정지 층(20b)은 상기 평탄화 공정 동안 또는 상기 평탄화 공정 후에 제거될 수 있다. 상기 도전성 물질 층(도 10c의 59), 상기 핵형성 물질 층(49) 및 상기 배리어 물질 층(39)은 평탄화되어 도전성 패턴(60), 핵형성 구조물(50) 및 배리어 구조물(40)로 형성될 수 있다. 따라서, 상기 개구부(21) 내에 잔존하는 상기 도전성 구조물(30)은 상기 도전성 패턴(60), 상기 핵형성 구조물(50) 및 상기 배리어 구조물(40)을 포함할 수 있다.
상기 핵형성 구조물(50)의 상부면 중 일부는 상기 화학기계적 연마 공정으로 진행되는 상기 평탄화 공정에 의해서 상기 도전성 패턴(60) 및 상기 배리어 구조물(40)의 상부면들 보다 리세스될 수 있다. 따라서, 상기 핵형성 구조물(50)은 상기 화학기계적 연마 공정에 의하여 형성된 단차진 상부면(50s)을 가질 수 있다.
상기 핵형성 구조물(50)은 도 2, 도 5a, 도 5b, 도 5c 및 도 5d에서 설명한 핵형성 구조물들(50) 중 어느 하나로 형성될 수 있다. 이와 같이 도 2, 도 5a, 도 5b, 도 5c 및 도 5d에서 설명한 핵형성 구조물들(50) 중 어느 하나의 핵형성 구조물을 형성하기 위하여 도 9 및 도 10b에서 설명한 것과 같이 상기 제1 증착 공정을 진행하여 핵형성 물질 층(도 10b의 49)을 형성할 수 있다. 상기 제1 증착 공정을 진행하여 상기 핵형성 물질 층(도 10b의 49)을 형성하는 방법에 대하여 도 9를 참조하여 설명하기로 한다. 도 9는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자 형성 방법을 설명하기 위한 공정 흐름도이다.
우선, 도 9 및 도 10b와 함께, 도 11을 참조하면, 상기 제1 증착 공정을 진행하여 상기 핵형성 물질 층(도 10b의 49)을 형성할 수 있다. (S30) 이와 같은 제1 증착 공정은 다음과 같이 진행될 수 있다.
우선, 공정 챔버 내에 기판을 로딩할 수 있다. (S32) 상기 기판은 도 10b에서와 같은 상기 배리어 물질 층(도 10b의 39)을 포함하는 반도체 기판일 수 있다. 상기 기판의 상기 배리어 물질 층(도 10b의 39)은 노출된 상태일 수 있다. 상기 공정 챔버는 ALD 공정 설비의 공정 챔버일 수 있다. 다음으로, 상기 공정 챔버 내에 제1 환원 물질, 제2 환원 물질 및 소스 물질을 공급하여 상기 기판 상에 상기 핵형성 물질 층(도 10b의 49)을 형성할 수 있다. (S34) 상기 핵형성 물질 층(도 10b의 49)은 상기 배리어 물질 층(도 10b의 39)와 직접적으로 접촉할 수 있다. 다음으로, 상기 기판을 언로딩할 수 있다. (S36)
이와 같은 상기 제1 증착 공정에서 상기 공정 챔버 내에 상기 제1 환원 물질, 상기 제2 환원 물질 및 상기 소스 물질을 공급하여 상기 기판 상에 상기 핵형성 물질 층(도 10b의 49)을 형성하는 단계(S34)에 의해서 상기 핵형성 물질 층(도 10b의 49)은 도 2, 도 5a, 도 5b, 도 5c 및 도 5d에서 설명한 핵형성 구조물들(50) 중 어느 하나로 형성될 수 있다. 이하에서, 도 2, 도 5a, 도 5b, 도 5c 및 도 5d에서 설명한 핵형성 구조물들(50) 중 어느 하나를 형성하기 위한 상기 핵형성 물질 층(도 10b의 49)을 형성하는 단계(S34)의 다양한 예들에 대하여 도 12a, 도 12b, 도 12c, 도 12d, 도 12e 및 도 12f를 참조하여 설명하기로 한다. 도 12a, 도 12b, 도 12c, 도 12d, 도 12e 및 도 12f는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자 형성 방법의 다양한 예들을 설명하기 위한 가스 펄싱 다이어그램들이다.
상기 핵형성 물질 층(도 10b의 49)을 형성하는 것은 공정 챔버 내에 제1 및 제2 환원 물질들 중 어느 하나의 환원 물질을 펄스 공급하고, 상기 공정 챔버 내에 소스 물질을 펄스 공급하는 것을 1 주기(one cycle)하고, 이러한 1 주기를 반복 진행하는 것을 포함할 수 있다. 상기 펄스 공급들 사이의 시간 동안에 상기 공정 챔버 내의 퍼지가 이루어질 수 있다. 따라서, 상기 1 주기는 제1 및 제2 환원 물질들 중 어느 하나의 환원 물질을 펄스 공급하고, 퍼지하고, 소스 물질을 펄스 공급하고, 다음 1 주기가 진행되기 전까지 퍼지하는 것을 포함할 수 있다. 이하에서, 상기 1 주기는 단위 공정으로 이해될 수도 있다. 따라서, 이하에서 사용하는 "단위 공정" 이라는 용어는 "1 주기" 라는 용어로 대체되어 설명될 수도 있다.
실시 예들에서, 상기 핵형성 물질 층(도 10b의 49)을 형성하는 공정에서 이용되는 상기 제1 및 제2 환원 물질들 중에서, 상기 소스 물질과의 깁스 자유 에너지(ΔG, Gibbs free energy)가 상대적으로 낮은 환원 물질은 상기 공정 챔버 내에 제일 먼저 공급될 수 있고, 상기 소스 물질과의 깁스 자유 에너지(ΔG)가 상대적으로 큰 환원 물질은 상기 공정 챔버 내에 제일 마지막에 공급될 수 있다. 실시 예들에서, 상기 소스 물질과의 깁스 자유 에너지(ΔG)가 상대적으로 낮은 환원 물질은 상기 제1 환원 물질 일 수 있고, 상기 소스 물질과의 깁스 자유 에너지(ΔG)가 상대적으로 높은 환원 물질은 상기 제2 환원 물질일 수 있다. 따라서, 상기 제1 환원 물질은 상기 제2 환원 물질 보다 상기 소스 물질과의 깁스 자유 에너지(ΔG)가 낮을 수 있다. 상기 제1 환원 물질은 B2H4 가스일 수 있고, 상기 제2 환원 물질은 SiH4 가스 또는 GeH4 가스 일 수 있고, 상기 소스 물질은 WF6 가스일 수 있다.
우선, 도 2에서 설명한 상기 핵형성 구조물(50)을 형성하기 위한 핵형성 물질 층(도 10b의 49)을 형성하는 방법에 대하여 도 2와 함께, 도 10b, 도 9 및 도 12a를 참조하여 설명하기로 한다.
도 2와 함께, 도 10b, 도 9 및 도 12a를 참조하면, 상기 핵형성 물질 층(도 10b의 49)을 형성하는 것은 초기 단위 공정(C_INI)을 진행하고, 중간 공정(C_M)을 진행하고, 마지막 단위 공정(C_F)을 진행하는 것을 포함할 수 있다.
상기 초기 단위 공정(C_INI)은 상기 공정 챔버 내에 제1 환원 물질 및 소스 물질을 순차적으로 공급하는 것을 포함할 수 있다. 상기 초기 단위 공정(C_INI)은 상기 제1 환원 물질의 공급과 상기 소스 물질의 공급 사이의 시간 동안에 진행되는 퍼지 및 상기 소스 물질의 펄스 공급 후에 진행되는 퍼지를 포함할 수 있다. 따라서, 상기 초기 단위 공정(C_INI)은 상기 공정 챔버 내에 상기 제1 환원 물질이 일정시간 동안 또는 펄스 형태로 공급되고, 상기 제1 환원 물질의 공급이 중단되면서 퍼지가 진행되고, 상기 소스 물질의 공급이 일정시간 동안 또는 펄스 형태로 공급되고, 상기 소스 물질의 공급이 중단되면서 퍼지가 진행되는 것을 포함할 수 있다.
상기 초기 단위 공정(C_INI)에 의해서 형성되는 핵형성 물질은 제1 핵형성 물질로 명명할 수 있다.
일 실시예에서, 상기 중간 공정(C_M)은 복수의 중간 단위 공정들(C_Mb)을 진행하는 것을 포함할 수 있다. 각각의 중간 단위 공정들(C_Mb)은 상기 공정 챔버 내에 상기 제1 환원 물질을 펄스 형태로 공급하고, 상기 제1 환원 물질의 공급이 중단되면서 퍼지가 진행되고, 상기 소스 물질의 공급이 펄스 형태로 공급되고, 상기 소스 물질의 공급이 중단되면서 퍼지가 진행되는 포함할 수 있다. 상기 중간 공정(C_M)의 상기 중간 단위 공정들(C_Mb)은 상기 초기 공정(C_INI)과 동일한 공정 조건으로 진행될 수 있다. 따라서, 상기 중간 단위 공정들(C_Mb)에 의해서 상기 초기 공정(C_INI)에서와 같이 상기 제1 핵형성 물질이 형성될 수 있다.
명세서에서, 상기 초기 단위 공정(C_INI)은 "초기 주기(initial cycle)"로 지칭될 수 있고, 상기 중간 단위 공정들(C_Mb)은 "중간 주기들"로 지칭될 수 있고, 상기 마지막 단위 공정(C_F)은 "마지막 주기(final cycle)"로 지칭될 수 있다.
상기 마지막 단위 공정(C_F)은 상기 공정 챔버 내에 상기 제2 환원 물질이 일정시간 동안 또는 펄스 형태로 공급되고, 상기 제2 환원 물질의 공급이 중단되면서 퍼지가 진행되고, 상기 소스 물질의 공급이 일정시간 동안 또는 펄스 형태로 공급되고, 상기 소스 물질의 공급이 중단되면서 퍼지가 진행되는 것을 포함할 수 있다. 상기 제1 환원 물질은 B2H4 가스를 포함할 수 있다. 상기 제2 환원 물질은 SiH4 가스 또는 GeH4 가스를 포함할 수 있다. 상기 소스 물질은 WF6 가스를 포함할 수 있다. 상기 마지막 단위 공정(C_F)에 의해서 제2 핵형성 물질이 형성될 수 있다.
상기 제1 및 제2 환원 물질들 중에서, 상기 소스 물질과의 깁스 자유 에너지(ΔG)가 상대적으로 더 낮은 상기 제1 환원 물질을 상기 공정 챔버 내에 먼저 공급하여 상기 제1 핵형성 물질을 먼저 형성함으로써, 상기 WF6 가스의 "F-"과 Ti/TiN 물질로 형성되는 상기 배리어 구조물(40)의 "Ti"가 반응하여 형성되는 휘발성의 TiF3로 인하여 발생되는 볼케노 불량(volcano defect)을 최소화 또는 방지할 수 있다. 또한, 상기 제1 핵형성 물질은 상기 제2 핵형성 물질 보다 상기 배리어 구조물(40)의 물질 종류 또는 특성에 의한 영향을 덜 받을 수 있으므로, 상기 배리어 구조물(40) 상에서 상기 제1 핵형성 물질은 상기 제2 핵형성 물질 보다 균일한 두께로 증착 또는 형성될 수 있다. 상기 제2 핵형성 물질은 상기 배리어 구조물(40) 상에서 상기 제1 핵형성 물질 보다 균일한 두께로 증착될 수 없지만, 상기 제1 핵형성 물질 상에서는 균일한 두께로 증착될 수 있다.
상기 제1 핵형성 물질은 비정질로 형성될 수 있고, 상기 제2 핵형성 물질은 결정질로 형성될 수 있다. 상기 핵형성 물질 층(49)을 형성한 후에 형성하는 상기 도전성 물질 층(도 10c의 59)은, 도 3에서 입자 크기에 대하여 설명한 것과 마찬가지로, 상기 핵성성 물질 층(49)의 상기 제2 핵형성 물질의 입자 크기 보다 큰 입자 크기를 갖는 결정질로 형성될 수 있다.
상기 핵형성 물질 층(49)은 제1 불순물 원소 및 제2 불순물 원소를 포함할 수 있다. 도 4에서 상기 제1 및 제2 불순물 원소들의 분포 특성에 대하여 설명한 것과 마찬가지로, 상기 핵형성 물질 층(49) 내에서, 상기 제1 핵형성 물질 내의 상기 제1 불순물 원소의 농도는 상기 제2 핵형성 물질 내의 상기 제1 불순물 원소의 농도 보다 높을 수 있고, 상기 제2 핵형성 물질 내의 상기 제2 불순물 원소의 농도는 상기 제1 핵형성 물질 내의 상기 제2 불순물 원소의 농도 보다 높을 수 있다. 상기 제1 불순물 원소는 보론(B)일 수 있고, 상기 제2 불순물 원소는 플루오린(F)일 수 있다.
다른 실시예에서, 상기 마지막 단위 공정(C_F)을 진행하기 직전의 상기 중간 공정(C_M)의 단위 공정을 상기 마지막 단위 공정(C_F)과 동일한 공정 조건으로 진행할 수 있다. 따라서, 도 12b에서와 같이 상기 중간 공정(C_M)은 상기 초기 단위 공정(C_INI)과 동일한 공정 조건으로 진행되는 중간 단위 공정들(C_Mb) 및 상기 마지막 단위 공정(C_F)과 동일한 공정 조건으로 진행되는 중간 단위 공정(C_Ms)을 포함할 수 있다.
상기 초기 단위 공정(C_INI)과 동일한 공정 조건으로 진행되는 상기 제1 중간 단위 공정(C_Mb)의 반복 횟수에 따라서 상기 핵형성 물질 층(39) 내에서의 상기 제1 핵형성 물질의 두께가 결정될 수 있고, 상기 마지막 단위 공정(C_F)과 동일한 공정 조건으로 진행되는 상기 제2 중간 단위 공정(C_Ms)의 반복 횟수에 따라 상기 핵형성 물질 층(39) 내에서 상기 제2 핵형성 물질의 두께가 결정될 수 있다. 일 예에서, 상기 제1 핵형성 물질은 상기 제2 핵형성 물질보다 두꺼울 수 있다.
상기 제1 핵형성 물질은 도 2의 상기 제1 핵형성 층(52)으로 형성될 수 있고, 상기 제2 핵형성 물질은 도 2의 상기 제2 핵형성 층(58)으로 형성될 수 있다. 따라서, 상기 제1 및 제2 핵형성 층들(52, 58)의 상기 제1 및 제2 핵형성 물질들에 대하여 도 1 및 도 2를 참조하여 설명한 바 있으므로, 여기서 자세한 설명은 생략하기로 한다.
다음으로, 도 5a를 참조하여 설명한 핵형성 구조물(50)을 형성하는 방법의 일 예에 대하여 도 5a와 함께 도 10b, 도 9 및 도 12c를 참조하여 설명하기로 한다.
도 5a와 함께 도 10b, 도 9 및 도 12c를 참조하면, 상기 핵형성 물질 층(도 10b의 49)을 형성하는 것은 도 12a에서와 동일한 상기 초기 단위 공정(C_INI) 및 상기 마지막 단위 공정(C_F)을 진행하는 것을 포함할 수 있다.
상기 초기 단위 공정(C_INI)과 상기 마지막 단위 공정(C_F) 사이에 중간 공정(C_M)이 진행될 수 있다. 상기 중간 공정(C_M)은 제1 중간 단위 공정(C_Ms) 및 제2 중간 단위 공정(C_Mb)을 차례로 진행하는 것을 포함할 수 있다. 상기 제1 중간 단위 공정(C_Ms)은 상기 마지막 단위 공정(C_F)과 동일한 공정 조건으로 진행될 수 있고, 상기 제2 중간 단위 공정(C_Mb)은 상기 초기 단위 공정(C_INI)과 동일한 공정 조건으로 진행될 수 있다. 따라서, 상기 제1 중간 단위 공정(C_Ms) 및 상기 마지막 단위 공정(C_F)에 의해서 상기 제2 핵형성 물질이 형성될 수 있고, 상기 제2 중간 단위 공정(C_Mb) 및 상기 초기 단위 공정(C_INI)에 의해서 상기 제1 핵형성 물질이 형성될 수 있다. 따라서, 상기 핵형성 물질 층(도 10b의 49) 내의 상기 제1 및 제2 핵형성 물질들은 교대로 반복적으로 형성될 수 있다. 이와 같은 핵형성 물질 층(도 10b의 49)은 도 5a에서와 같은 상기 핵형성 구조물(50)로 형성될 수 있다.
변형 예에서, 도 5b에서와 같은 핵형성 구조물(50)을 형성하기 위하여, 도 12d에서와 같이 상기 제2 중간 단위 공정(C_Mb)의 반복 횟수를 증가시킬 수 있다. 따라서, 상기 제1 핵형성 층(도 5b의 52a), 상기 제1 중간 핵형성 층(도 5b의 54), 상기 제2 중간 단위 공정(C_Ms)의 반복 횟수를 증가시킴으로써 두께가 증가된 상기 제2 중간 핵형성 층(도 5b의 56') 및 상기 제2 핵형성 층(도 5b의 58)을 포함하는 핵형성 구조물(도 5b의 50)이 형성될 수 있다.
변형 예에서, 도 5c에서와 같은 핵형성 구조물(50)을 형성하기 위하여, 도 12e에서와 같이 상기 제1 중간 단위 공정(C_Ms)의 반복 횟수를 증가시킬 수 있다. 따라서, 도 12e에서와 같이 상기 제1 중간 단위 공정(C_Ms)의 반복 횟수를 증가시킴으로 인하여, 상기 중간 공정(C_M)에 의해서 형성되는 상기 제2 핵형성 물질의 두께가 증가될 수 있다. 따라서, 상기 제1 핵형성 층(도 5c의 52a), 상기 제1 중간 단위 공정(C_Ms)의 반복 횟수를 증가시킴으로써 두께가 증가된 상기 제1 중간 핵형성 층(도 5c의 54'), 상기 제2 중간 핵형성 층(도 5c의 56) 및 상기 제2 핵형성 층(도 5c의 58)을 포함하는 핵형성 구조물(도 5c의 50)이 형성될 수 있다.
변형 예에서, 도 5d에서와 같은 핵형성 구조물(50)을 형성하기 위하여, 도 12f에서와 같이, 상기 제1 중간 단위 공정(C_Ms)의 반복 횟수를 증가시키고 상기 제2 중간 단위 공정(C_Ms)의 반복 횟수를 증가시킬 수 있다. 따라서, 상기 제1 핵형성 층(52a), 상기 제1 중간 단위 공정(C_Ms)의 반복 횟수를 증가시킴으로써 두께가 증가된 상기 제1 중간 핵형성 층(54'), 상기 제2 중간 단위 공정(C_Ms)의 반복 횟수를 증가시킴으로써 두께가 증가된 상기 제2 중간 핵형성 층(56') 및 상기 제2 핵형성 층(58)을 포함하는 핵형성 구조물(도 5d의 50)이 형성될 수 있다.
상술한 바와 같이 상기 도전성 구조물(30)은 벌크 텅스텐 물질로 형성될 수 있는 도전성 패턴(60), 상기 도전성 패턴(60)의 바닥면 및 측면을 덮는 상기 배리어 구조물(40) 및 상기 배리어 구조물(40)과 상기 도전성 패턴(60) 사이의 핵형성 구조물(50)을 포함할 수 있다.
상기 핵형성 구조물(50)은 상기 제1 핵형성 물질로 형성하는 제1 핵형성 층(52)과 상기 제2 핵형성 물질로 형성하는 제2 핵형성 층(58)을 포함할 수 있다. 상기 배리어 구조물(40)과 접촉하는 상기 제1 핵형성 물질은 콘택 플러그 등에서 발생하는 볼케노 불량(volcano defect)을 최소화 또는 방지할 수 있다. 상기 도전성 패턴(60)과 접촉하는 상기 제2 핵형성 물질은 상기 도전성 패턴(60)의 상부 측면을 덮도록 형성되어, 상기 도전성 구조물(30)을 형성하는데 이용하는 CMP 등과 같은 평탄화 공정으로부터 상기 도전성 패턴(60)을 보호할 수 있다.
따라서, 본 발명의 기술적 사상의 실시예들에 따르면, 불량 발생을 최소화 또는 방지할 수 있으며, 저저항으로 형성할 수 있는 도전성 구조물(30)을 제공할 수 있다.
이상, 첨부된 도면을 참조하여 본 발명의 실시 예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시 예에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
10 : 기판 20 : 절연 구조물
21 : 개구부 30 : 도전성 구조물
40 : 배리어 구조물 42 : 제1 배리어 층
44 : 제2 배리어 층 50 : 핵형성 구조물
52 : 제1 핵형성 층 54 : 제1 중간 핵형성 층
56 : 제2 중간 핵형성 층 58 : 제2 핵형성 층
60 : 도전성 패턴 G : 게이트
110 : 반도체 기판 120 : 아이솔레이션 영역
115 : 핀 활성 영역 150 : 소스/드레인 영역
230 : 콘택 플러그 320 : 게이트 유전체
330 : 게이트 전극 350 : 절연성 구조물

Claims (20)

  1. 개구부를 갖는 절연 구조물;
    상기 개구부 내에 배치되는 도전성 패턴;
    상기 도전성 패턴의 하부면을 덮으며 상기 도전성 패턴과 상기 개구부의 측벽 사이로 연장되는 배리어 구조물(barrier structure); 및
    상기 도전성 패턴과 상기 배리어 구조물 사이에 배치되는 핵형성 구조물(nucleation structure)을 포함하되,
    상기 핵형성 구조물은 상기 배리어 구조물에 접촉하는 제1 핵형성 층 및 상기 도전성 패턴과 접촉하는 제2 핵형성 층을 포함하고,
    상기 제2 핵형성 층의 상부 끝 부분은 상기 제1 핵형성 층의 상부 끝 부분 보다 높은 반도체 소자.
  2. 제 1 항에 있어서,
    상기 제1 핵형성 층은 제1 핵형성 물질로 형성되고,
    상기 제2 핵형성 층은 제2 핵형성 물질로 형성되는 반도체 소자.
  3. 제 2 항에 있어서,
    상기 제1 핵형성 물질은 비정질이고, 상기 제2 핵형성 물질은 결정질이고,
    상기 도전성 패턴은 상기 제2 핵형성 물질의 입자 크기(grain size) 보다 큰 입자 크기를 갖는 결정질인 반도체 소자.
  4. 제 2 항에 있어서,
    상기 핵형성 구조물은 상기 제1 및 제2 핵형성 층들 사이의 중간 핵형성 층들을 더 포함하되,
    상기 중간 핵형성 층들 중 적어도 하나의 층은 상기 제2 핵형성 물질로 형성되고, 나머지 층은 상기 제1 핵형성 물질로 형성되는 반도체 소자.
  5. 제 1 항에 있어서,
    상기 제1 핵형성 층은 상기 제2 핵형성 층 보다 두꺼운 반도체 소자.
  6. 제 1 항에 있어서,
    상기 핵형성 구조물은 상기 제1 및 제2 핵형성 층들 사이에 배치되는 제1 중간 핵형성 층 및 상기 제1 중간 핵형성 층과 상기 제2 핵형성 층 사이에 배치되는 제2 중간 핵형성 층을 더 포함하되,
    상기 제1 핵형성 층 및 상기 제2 중간 핵형성 층은 제1 핵형성 물질로 형성되고,
    상기 제2 핵형성 층 및 상기 제1 중간 핵형성 층은 제2 핵형성 물질로 형성되는 반도체 소자.
  7. 제 6 항에 있어서,
    상기 제1 및 제2 중간 핵형성 층들은 서로 다른 두께를 갖는 반도체 소자.
  8. 제 6 항에 있어서,
    상기 제1 및 제2 중간 핵형성 층들 중 적어도 하나는 상기 제1 핵형성 층 또는 상기 제2 핵형성 층 중 어느 하나의 층 보다 두꺼운 반도체 소자.
  9. 제 1 항에 있어서,
    상기 도전성 패턴 및 상기 배리어 구조물은 공면을 이루는 상부면들을 갖고,
    상기 제1 핵형성 층의 상기 상부 끝 부분은 상기 도전성 패턴 및 상기 배리어 구조물들의 상부면들 보다 낮은 반도체 소자.
  10. 개구부를 갖는 제1 절연 구조물; 및
    상기 개구부 내의 제1 도전성 구조물을 포함하되,
    상기 제1 도전성 구조물은 배리어 구조물, 핵형성 구조물 및 도전성 패턴을 포함하고,
    상기 배리어 구조물 및 상기 핵형성 구조물은 상기 도전성 패턴의 하부면을 덮으면서 상기 도전성 패턴과 상기 절연 구조물 사이로 연장되고,
    상기 핵형성 구조물은 상기 배리어 구조물과 상기 도전성 패턴 사이에 배치되고,
    상기 핵형성 구조물은 제1 불순물 원소를 포함하고,
    상기 핵형성 구조물은 상기 배리어 구조물과 접촉하는 제1 핵형성 층 및 상기 도전성 패턴과 접촉하는 제2 핵형성 층을 포함하고,
    상기 제1 핵형성 층 내의 상기 제1 불순물 원소의 농도는 상기 제2 핵형성 층 내의 상기 제1 불순물 원소 농도 보다 높은 반도체 소자.
  11. 제 10 항에 있어서,
    상기 핵형성 구조물은 제2 불순물 원소를 더 포함하되,
    상기 제2 핵형성 층 내의 상기 제2 불순물 원소의 농도는 상기 제1 핵형성 층 내의 상기 제2 불순물 원소의 농도 보다 높은 반도체 소자.
  12. 제 11 항에 있어서,
    상기 핵형성 구조물은 텅스텐 핵형성 물질(W nucleation material)로 형성되고,
    상기 도전성 패턴은 벌크 텅스텐 물질로 형성되고,
    상기 제1 불순물 원소는 보론(B)이고,
    상기 제2 불순물 원소는 플루오린(F)인 반도체 소자.
  13. 제 10 항에 있어서,
    상기 핵형성 구조물은 상기 제1 및 제2 핵형성 층들 사이의 중간 핵형성 층들을 더 포함하되,
    상기 중간 핵형성 층들 중 적어도 하나의 층 및 상기 제1 핵형성 층은 서로 동일한 제1 핵형성 물질로 형성되고,
    상기 중간 핵형성 층들 중 나머지 층 및 상기 제2 핵형성 층은 서로 동일한 제2 핵형성 물질로 형성되는 반도체 소자.
  14. 제 10 항에 있어서,
    상기 제1 절연 구조물 상에 배치되는 제2 절연 구조물; 및
    상기 제2 절연 구조물을 관통하며 상기 제1 도전성 구조물 상부면의 적어도 일부분과 접촉하는 제2 도전성 구조물을 더 포함하되,
    상기 제2 절연 구조물은 제1 절연 층 및 상기 제1 절연 층 보다 두꺼우며 상기 제1 절연 층 상에 배치되는 제2 절연 층을 포함하는 반도체 소자.
  15. 제 14 항에 있어서,
    상기 제1 도전성 구조물의 상부면의 일부는 상기 제2 도전성 구조물과 접촉하고 상기 제1 도전성 구조물의 상부면의 나머지 부분은 상기 제1 절연 층과 접촉하고,
    상기 제1 절연 층과 접촉하는 상기 배리어 구조물 및 상기 도전성 패턴은 공면을 이루는 상부면들을 갖고,
    상기 제1 절연 층과 접촉하는 상기 핵형성 구조물의 부분은 단차진 상부면(stepped top surface)을 갖되, 상기 핵형성 구조물의 상기 단차진 상부면에서, 상기 배리어 구조물에 인접하는 상부면의 부분은 상기 도전성 패턴에 인접하는 상부면의 부분 보다 리세스되고,
    상기 제2 도전성 구조물과 접촉하는 상기 핵형성 구조물의 부분은 상기 단차진 상부면 보다 리세스된 상부면을 갖는 반도체 소자.
  16. 배리어 물질 층을 형성하고,
    상기 배리어 물질 층 상에 핵형성 물질 층을 형성하되, 상기 핵형성 물질 층은 제1 환원 물질, 제2 환원 물질 및 소스 물질을 이용하는 증착 공정을 진행하여 형성하고, 상기 제1 환원 물질은 상기 제2 환원 물질 보다 상기 소스 물질과의 깁스 자유 에너지(Gibbs free energy)가 낮은 물질이고,
    상기 핵형성 물질 층 상에 도전성 물질 층을 형성하는 것을 포함하되,
    상기 핵형성 물질 층을 형성하는 것은 상기 배리어 물질 층과 접촉하는 제1 핵형성 물질을 형성하는 초기 단위 공정 및 상기 도전성 물질 층과 접촉하는 제2 핵형성 물질을 형성하는 마지막 단위 공정을 포함하고,
    상기 초기 단위 공정은 상기 제1 환원 물질 및 상기 소스 물질을 순차적으로 공정 챔버 내에 공급하는 것을 포함하고,
    상기 마지막 단위 공정은 상기 제2 환원 물질 및 상기 소스 물질을 순차적으로 상기 공정 챔버 내에 공급하는 것을 포함하는 반도체 소자 형성 방법.
  17. 제 16 항에 있어서,
    상기 제1 핵형성 물질은 비정질로 형성되고,
    상기 제2 핵형성 물질은 결정질로 형성되고,
    상기 도전성 물질 층은 상기 제2 핵형성 물질의 입자 크기 보다 큰 입자 크기를 갖는 결정질로 형성되는 반도체 소자 형성 방법.
  18. 제 16 항에 있어서,
    상기 초기 단위 공정과 상기 마지막 단위 공정 사이에 중간 공정을 진행하는 것을 더 포함하되,
    상기 중간 공정은 차례로 진행되는 제1 중간 단위 공정 및 제2 중간 단위 공정을 포함하고,
    상기 제1 중간 단위 공정은 상기 제1 및 제2 환원 물질들 중 어느 하나의 환원 물질 및 상기 소스 물질을 순차적으로 공정 챔버 내에 공급하는 것을 포함하고,
    상기 제2 중간 단위 공정은 상기 제1 및 제2 환원 물질들 중 나머지 하나의 환원 물질 및 상기 소스 물질을 순차적으로 상기 공정 챔버 내에 공급하는 것을 포함하는 반도체 소자 형성 방법.
  19. 제 18 항에 있어서,
    상기 제1 중간 단위 공정은 상기 제2 환원 물질 및 상기 소스 물질을 순차적으로 공정 챔버 내에 공급하는 것을 포함하고,
    상기 제2 중간 단위 공정은 상기 제1 환원 물질 및 상기 소스 물질을 순차적으로 공정 챔버 내에 공급하는 것을 포함하는 반도체 소자 형성 방법.
  20. 배리어 물질 층을 형성하고,
    상기 배리어 물질 층 상에 핵형성 물질 층을 형성하되, 상기 핵형성 물질 층은 제1 환원 물질, 제2 환원 물질 및 소스 물질을 이용하는 제1 증착 공정을 진행하여 형성하고,
    상기 핵형성 물질 층 상에 도전성 물질 층을 형성하는 것을 포함하되,
    상기 핵형성 물질 층을 형성하는 것은 상기 배리어 물질 층과 접촉하는 비정질의 제1 핵형성 물질을 형성하는 초기 단위 공정 및 상기 도전성 물질 층과 접촉하는 결정질의 제2 핵형성 물질을 형성하는 마지막 단위 공정을 포함하고,
    상기 초기 단위 공정은 상기 제1 환원 물질 및 상기 소스 물질을 순차적으로 공정 챔버 내에 공급하는 것을 포함하고,
    상기 마지막 단위 공정은 상기 제2 환원 물질 및 상기 소스 물질을 순차적으로 상기 공정 챔버 내에 공급하는 것을 포함하는 반도체 소자 형성 방법.
KR1020170011965A 2017-01-25 2017-01-25 핵형성 구조물을 갖는 도전성 구조물을 포함하는 반도체 소자 및 그 형성 방법 KR20180087661A (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020170011965A KR20180087661A (ko) 2017-01-25 2017-01-25 핵형성 구조물을 갖는 도전성 구조물을 포함하는 반도체 소자 및 그 형성 방법
US15/806,527 US10366955B2 (en) 2017-01-25 2017-11-08 Semiconductor device including conductive structure having nucleation structure and method of forming the same
CN201810067806.0A CN108346619B (zh) 2017-01-25 2018-01-24 包括具有晶核结构的导电结构的半导体器件及其形成方法
US16/441,433 US10580736B2 (en) 2017-01-25 2019-06-14 Semiconductor device including conductive structure having nucleation structure and method of forming the same
US16/738,754 US10847464B2 (en) 2017-01-25 2020-01-09 Semiconductor device including conductive structure having nucleation structure and method of forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020170011965A KR20180087661A (ko) 2017-01-25 2017-01-25 핵형성 구조물을 갖는 도전성 구조물을 포함하는 반도체 소자 및 그 형성 방법

Publications (1)

Publication Number Publication Date
KR20180087661A true KR20180087661A (ko) 2018-08-02

Family

ID=62906602

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170011965A KR20180087661A (ko) 2017-01-25 2017-01-25 핵형성 구조물을 갖는 도전성 구조물을 포함하는 반도체 소자 및 그 형성 방법

Country Status (3)

Country Link
US (3) US10366955B2 (ko)
KR (1) KR20180087661A (ko)
CN (1) CN108346619B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11804528B2 (en) 2020-09-11 2023-10-31 Samsung Electronics Co., Ltd. Semiconductor device

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10497811B2 (en) * 2016-12-15 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US11201227B2 (en) * 2018-04-27 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with barrier layer and method for forming the same

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100500924B1 (ko) 1999-12-30 2005-07-14 주식회사 하이닉스반도체 메모리소자의 텅스텐 전극 형성방법
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6703301B2 (en) 2002-04-26 2004-03-09 Macronix International Co., Ltd. Method of preventing tungsten plugs from corrosion
JP2004207281A (ja) 2002-12-20 2004-07-22 Fujitsu Ltd 多層配線構造およびその形成方法、半導体装置
US20040152305A1 (en) 2003-01-30 2004-08-05 Chung-Lung Yiu Method for preventing corrosion of tungsten plug
US20040175926A1 (en) 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
DE10318223A1 (de) 2003-04-22 2004-12-02 Louis Renner Gmbh Kontaktstück aus Wolfram mit einer korrosionshemmenden Schicht aus Unedelmetall
US7253092B2 (en) 2003-06-24 2007-08-07 Nec Electronics America, Inc. Tungsten plug corrosion prevention method using water
US6977215B2 (en) 2003-10-28 2005-12-20 Nec Electronics America, Inc. Tungsten plug corrosion prevention method using gas sparged water
US7052992B2 (en) 2003-10-28 2006-05-30 Nec Electronics America, Inc. Tungsten plug corrosion prevention method using ionized air
US20080149591A1 (en) 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Method and slurry for reducing corrosion on tungsten during chemical mechanical polishing
KR100830590B1 (ko) * 2007-06-01 2008-05-21 삼성전자주식회사 텅스텐막, 그 형성 방법, 이를 포함한 반도체 소자 및 그반도체 소자의 형성 방법
KR100939777B1 (ko) * 2007-11-30 2010-01-29 주식회사 하이닉스반도체 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법
KR20090069494A (ko) * 2007-12-26 2009-07-01 주식회사 동부하이텍 반도체 소자의 금속 제조 형성 방법
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US7830016B2 (en) 2008-06-30 2010-11-09 Intel Corporation Seed layer for reduced resistance tungsten film
CN101728315A (zh) * 2008-10-24 2010-06-09 中芯国际集成电路制造(上海)有限公司 导电插塞的制作方法
US8822290B2 (en) * 2013-01-25 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9040421B2 (en) 2013-05-03 2015-05-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits with improved contact structures
US9564359B2 (en) * 2014-07-17 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive structure and method of forming the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11804528B2 (en) 2020-09-11 2023-10-31 Samsung Electronics Co., Ltd. Semiconductor device

Also Published As

Publication number Publication date
US20190295958A1 (en) 2019-09-26
US20200152577A1 (en) 2020-05-14
CN108346619A (zh) 2018-07-31
US10366955B2 (en) 2019-07-30
US10847464B2 (en) 2020-11-24
CN108346619B (zh) 2024-02-13
US10580736B2 (en) 2020-03-03
US20180211922A1 (en) 2018-07-26

Similar Documents

Publication Publication Date Title
US11837545B2 (en) Semiconductor memory device
US10658379B2 (en) Array common source structures of three-dimensional memory devices and fabricating methods thereof
KR102331474B1 (ko) 반도체 장치
KR102406971B1 (ko) 커패시터를 포함하는 반도체 장치 및 이의 제조 방법
KR101541779B1 (ko) 반도체 소자 및 이의 제조방법
KR20090006505A (ko) 반도체 소자용 배선 구조물 및 이의 형성방법
US10847464B2 (en) Semiconductor device including conductive structure having nucleation structure and method of forming the same
KR20080071648A (ko) 반도체 소자의 배선 및 이의 형성 방법
KR20010016923A (ko) 반도체소자의 콘택 구조체 형성방법
KR20170134928A (ko) 반도체 장치의 제조 방법
US11700731B2 (en) Stacked structure for a vertical memory device
KR20140028944A (ko) 반도체 장치 및 그 제조 방법
CN114256157A (zh) 用于制造包括气隙的半导体装置的方法
KR102465534B1 (ko) 반도체 장치 및 반도체 장치의 제조 방법
US11233066B2 (en) Three-dimensional memory device and method for forming the same
US11552179B2 (en) Semiconductor device
US20110001175A1 (en) Semiconductor memory device and fabrication method thereof
US20040203201A1 (en) Method for fabricating capacitor of semiconductor device
US20210408027A1 (en) Semiconductor device
US20090045161A1 (en) Integrated circuits, micromechanical devices, and method of making same
KR101056883B1 (ko) 반도체 메모리 소자의 도전성 배선 형성방법
US7781320B2 (en) Method for fabricating a semiconductor device by considering the extinction coefficient during etching of an interlayer insulating film
KR20060041408A (ko) 반도체 소자의 미세 콘택 형성 방법
KR20070033493A (ko) 패턴 형성 방법
KR20060000892A (ko) 티타늄나이트라이드 하부전극을 구비한 반도체 메모리소자의 실린더형 캐패시터 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal