DE102018211683B4 - Verfahren zur herstellung von metallisierungsebenen - Google Patents

Verfahren zur herstellung von metallisierungsebenen Download PDF

Info

Publication number
DE102018211683B4
DE102018211683B4 DE102018211683.0A DE102018211683A DE102018211683B4 DE 102018211683 B4 DE102018211683 B4 DE 102018211683B4 DE 102018211683 A DE102018211683 A DE 102018211683A DE 102018211683 B4 DE102018211683 B4 DE 102018211683B4
Authority
DE
Germany
Prior art keywords
metallization
conductive via
metallization line
line
level
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102018211683.0A
Other languages
English (en)
Other versions
DE102018211683A1 (de
Inventor
Nicholas V. LiCausi
Errol Todd Ryan
Sean Xuan Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries US Inc filed Critical GlobalFoundries US Inc
Publication of DE102018211683A1 publication Critical patent/DE102018211683A1/de
Application granted granted Critical
Publication of DE102018211683B4 publication Critical patent/DE102018211683B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal

Abstract

Verfahren, umfassend:ein Bilden einer ersten Metallisierungsebene (110) mit einer ersten Metallisierungsleitung (115);ein Bilden einer zweiten Metallisierungsebene (120) über der ersten Metallisierungsebene (110), wobei die zweite Metallisierungsebene (120) eine dielektrische Schicht (121), eine zweite Metallisierungsleitung (125a), eine dritte Metallisierungsleitung (125b), eine erste leitfähige Durchkontaktierung (130a), die sich von der zweiten Metallisierungsleitung (125a) durch die dielektrische Schicht (121) zu der ersten Metallisierungsleitung (115) erstreckt, und eine zweite leitfähige Durchkontaktierung (130b) umfasst, die sich von der dritten Metallisierungsleitung (125b) durch die dielektrische Schicht (121) zu der ersten Metallisierungsleitung (115) erstreckt; undein Teilen der ersten Metallisierungsleitung (115) in einen ersten Abschnitt (115a) und einen zweiten Abschnitt (115b), der von dem ersten Abschnitt (115a) getrennt wird, nachdem die zweite Metallisierungsebene (120) gebildet wurde,wobei der erste Abschnitt (115a) der ersten Metallisierungsleitung (115) durch die erste leitfähige Durchkontaktierung (130a) mit der zweiten Metallisierungsleitung (125a) verbunden wird und der zweite Abschnitt (115b) der ersten Metallisierungsleitung (115) durch die zweite leitfähige Durchkontaktierung (130b) mit der dritten Metallisierungsleitung (125b) verbunden wird.

Description

  • HINTERGRUND
  • Die vorliegende Erfindung betrifft die Fertigung von Halbleitervorrichtungen und integrierten Schaltungen. Insbesondere betrifft die Erfindung Verfahren zur Herstellung von Metallisierungsebenen für integrierte Schaltungen.
  • Metallisierungsebenen oder -schichten einer integrierten Schaltung stellen Verbindungen zwischen Vorrichtungen und Komponenten der integrierten Schaltungen bereit. Jede Metallisierungsebene umfasst im Allgemeinen mehrere leitfähige Metallisierungsleitungen. Die Metallisierungsleitungen einer Ebene können durch leitfähige Durchkontaktierungen (vias) mit den Metallisierungsleitungen einer höheren oder niedrigeren Eben verbunden werden. Mit der weiteren Verkleinerung von integrierten Schaltungsvorrichtungen können die Beschränkungen von verschiedenen Fertigungstechniken die Stellen begrenzen, an denen leitfähige Durchkontaktierungen platziert werden können, sowie kann eine Begrenzung dahingehend erfolgen, wie nahe zueinander leitfähige Durchkontaktierungen angeordnet werden können, wobei das Design für spezielle Metallisierungsebenen und auch für die gesamte integrierte Schaltung begrenzt wird.
  • Dokument US 2015 / 0 200 244 A1 zeigt ein Verfahren zum Bilden einer Halbleitervorrichtung mit einem Bilden einer inneren Kondensatorplatte in einem äußeren Bereich der Halbleitervorrichtung, der einen inneren Bereich der Halbleitervorrichtung umgibt, wobei die innere Kondensatorplatte elektrisch mit einem Spannungsknoten in dem inneren Bereich verbunden ist, und ein Bilden einer äußeren Kondensatorplatte in dem äußeren Bereich zwischen der inneren Kondensatorplatte und einer Schnittfuge durch ein Bilden von Finnen, um die äußere Kondensatorplatte mit dem inneren Bereich elektrisch zu verbinden, der eine aktive Schaltung umfasst, ein Bilden von ersten Durchkontaktierungen und ersten Metallleitungen, um an die innere Kondensatorplatte kapazitiv zu koppeln, und ein Bilden von zweiten Durchkontaktierungen und zweiten Metallleitungen, wobei mindestens ein Teil der zweiten Durchkontaktierungen einen Metallkern und eine Außenschicht aus einem Oxid des Metallkerns aufweist.
    Aus der Schrift US 2004 / 0 232 552 A1 ist ein Verfahren zum Bilden einer Dual-Damascene-Struktur bekannt. Das Verfahren umfasst ein Bilden von ersten leitenden Strukturen auf einem Substrat, wobei ein Opfermaterial die ersten leitenden Strukturen trennt, ein Entfernen des Opfermaterials, um Aussparungen zwischen den ersten leitenden Strukturen zu bilden, ein nicht konformes Abscheiden von einem dielektrischen Material über den ersten leitenden Strukturen und in den Aussparungen, so dass ein Luftspalt innerhalb des dielektrischen Materials in den Aussparungen gebildet wird, ein Bilden einer Opferschicht über dem dielektrischen Material, ein Ätzen einer Dual-Damascene-Aussparung in die Opferschicht und das dielektrische Material, und ein Füllen der Dual-Damascene-Aussparung mit einem leitenden Material, um eine zweite leitende Struktur zu bilden.
    In der Schrift US 2013 / 0 292 835 A1 ist ein Verfahren zum Herstellen einer mikroelektronischen Vorrichtung beschrieben, wobei das Verfahren ein Bilden eines Paares von benachbarten Metallverbindungsleitungen über einem Substrat, wobei das Paar von Metallverbindungsleitungen durch einen Zwischenraum getrennt wird, ein Bilden eines Luftspalts in einem dielektrischen Low-k-Zwischenschichtmaterial, das in dem Raum zwischen den Metallverbindungsleitungen angeordnet ist, und ein Bilden einer angrenzenden dielektrischen Diffusionsbarriere umfasst, die den Raum überspannt und zwischen dem Luftspalt und einer Seitenwand von jeder der Metallverbindungsleitungen angeordnet ist, wobei das Bilden der Diffusionsbarriere ein Abscheiden einer dielektrischen Schicht in einem Atomlagenabscheidungsprozessumfasst.
  • ZUSAMMENFASSUNG
  • In einer Ausführungsform der Erfindung umfasst ein Verfahren ein Bilden einer ersten Metallisierungsebene und einer zweiten Metallisierungsebene über der ersten Metallisierungsebene. Die erste Metallisierungsebene weist eine Metallisierungsleitung auf und die zweite Metallisierungsleitung weist eine dielektrische Schicht und zwei Metallisierungsleitungen auf, die durch leitfähige Durchkontaktierungen verbunden sind, die sich durch die dielektrische Schicht zu der Metallisierungsleitung in der ersten Metallisierungsebene erstrecken. Nach der Bildung der zweiten Metallisierungsebene wird die Metallisierungsleitung in der ersten Ebene in zwei unzusammenhängende Abschnitte getrennt, wobei sich ein Abschnitt, der mit einer Metallisierungsleitung in der zweiten Ebene durch eine leitfähige Durchkontaktierung verbunden ist, und der andere Abschnitt ergeben, der mit der anderen Metallisierungsleitung in der zweiten Ebene durch die andere leitfähige Durchkontaktierung verbunden ist.
  • Figurenliste
  • Die beiliegenden Zeichnungen, die dieser Beschreibung beigefügt sind und einen Teil davon darstellen, veranschaulichen verschiedene Ausführungsformen der Erfindung und dienen zusammen mit einer allgemeinen Beschreibung der Erfindung oben und der detaillierten Beschreibung der Ausführungsformen unten zur Erläuterung der Ausführungsformen der Erfindung.
    • 1A und 2 bis 9 stellen Querschnittansichten einer Struktur an sukzessiven Fertigungsstufen eines Bearbeitungsverfahrens gemäß Ausführungsformen der Erfindung dar.
    • 1B stellt eine isometrische Ansicht der Struktur dar, die in 1A dargestellt ist.
  • DETAILLIERTE BESCHREIBUNG
  • Mit Bezug auf die 1A und 1B und gemäß Ausführungsformen der Erfindung ist eine Struktur 100 nach einer Bildung einer ersten Metallisierungsebene 110 und einer zweiten Metallisierungsebene 120 über der ersten Metallisierungsebene 110 dargestellt. In 1B ist ein Abschnitt der Struktur 100 aus Klarheitsgründen und zum leichteren Verständnis entfernt, wobei der Abschnitt einen Abschnitt einer dielektrischen Schicht 121 und einer Metallisierungsleitung 125 umfasst. Die erste Metallisierungsebene 110 weist eine Metallisierungsleitung 115 auf und kann zusätzliche Metallisierungsleitungen 117 und ein dielektrisches Material 116 aufweisen, das zwischen den Metallisierungsleitungen 115, 117 angeordnet ist, wie in der isometrischen Ansicht der Struktur 100 in 1B gezeigt ist. Die erste Metallisierungsebene 110 kann über einer dielektrischen Zwischenschicht 101 angeordnet sein, die die Metallisierungsebene von darunterliegenden Komponenten der Schaltungsstruktur oder einer anderen Metallisierungsebene (in den 1A bis 9 nicht dargestellt) elektrisch isolieren kann. Die Struktur 100 weist auch eine zweite Metallisierungsebene 120 auf, die eine dielektrische Schicht 121, eine Metallisierungsleitung 125a und eine andere Metallisierungsleitung 125b aufweist. Die Struktur 100 kann auch zusätzliche Metallisierungsleitungen 125 umfassen. Die zweite Metallisierungsebene 120 weist auch eine leitfähige Durchkontaktierung 130a, die sich von der Metallisierungsleitung 125a durch die dielektrische Schicht 121 zu der Metallisierungsleitung 115 erstreckt, und eine andere leitfähige Durchkontaktierung 130b auf, die sich von der Metallisierungsleitung 125b durch die dielektrische Schicht 121 zu der Metallisierungsleitung 115 erstreckt. Die Struktur 100 kann auch eine dielektrische Abdeckung 122 umfassen, die über der ersten Metallisierungsebene 110 und unter der dielektrischen Schicht 121 angeordnet ist. Die dielektrische Abdeckung 122 kann ein von dem dielektrischen Material der dielektrischen Schicht 121 verschiedenes dielektrisches Material darstellen und kann eine von der dielektrischen Schicht 121 verschiedene Ätzselektivität aufweisen. Die dielektrische Schicht 121 kann z.B. ein dielektrisches Oxidmaterial darstellen und die dielektrische Abdeckung 122 kann ein dielektrisches Nitridmaterial darstellen. Die hierin verwendeten Begriffe „erste“ und „zweite“ werden in Verbindung mit unterschiedlichen Metallisierungsebenen verwendet, um die relativen Positionen der Metallisierungsebenen innerhalb der Struktur 100 zur einfacheren Bezugnahme und nicht zur Beschränkung anzuzeigen. Eine „erste Metallisierungsebene“, z.B. die Metallisierungsebene 110 in den 1A bis 1B, kann einer ersten oder untersten Metallisierungsebene in einer integrierten Schaltungsstruktur oder einer höheren Metallisierungsebene in der Struktur entsprechen; in ähnlicher Weise kann eine „zweite Metallisierungsebene“, z.B. die Metallisierungsebene 120, einer zweiten Metallisierungsebene, die über der ersten Metallisierungsebene gebildet ist, oder einer höheren Metallisierungsebene in der integrierten Schaltungsstruktur entsprechen.
  • Unabhängig davon, ob eine Metallisierungsebene einer integrierten Schaltungsstruktur die erste Metallisierungsebene 110 oder die zweite Metallisierungsebene 120 oder eine andere Metallisierungsebene darstellt, kann die Metallisierungsebene einer integrierten Schaltungsstruktur im Allgemeinen durch einen geeigneten Prozess gebildet werden, z.B. einen fotolithografischen Ätzprozess, in dem Gräben gemäß einer strukturierten Hartmaske in eine dielektrische Schicht geätzt werden, z.B. die dielektrische Schicht 121. Diese Prozesse können Einzelbelichtungsstrukturierungsprozesse, selbstausgerichtete Doppelstrukturierungs (SADP) -Prozesse usw. darstellen. Durch die dielektrische Schicht 121 können auch Via-Öffnungen unter Verwendung von ähnlichen Ätzprozessen geätzt werden, wobei sich Via-Öffnungen ergeben, die bezüglich der Metallisierungsgräben selbst ausgerichtet sind. Die Gräben und Via-Öffnungen können dann mit einem leitfähigen Material gefüllt werden, um Metallisierungsleitungen 125, 125a, 125b und leitfähige Durchkontaktierungen 130a, 130b zu bilden. In beispielhaften Ausführungsformen, und wie in den 1A und 1B dargestellt ist, können leitfähige Durchkontaktierungen 130a und 130b neben leitfähigen Durchkontaktierungen mit Mittelpunkten angeordnet sein, die durch einen Abstand D getrennt sind, wie in 1A dargestellt ist, der im Wesentlichen gleich einer kleinsten kritischen Dimension ist, die für die integrierte Schaltungsstruktur festgelegt ist, z.B. ein kleinster Abstand zwischen den Metallisierungsleitungen in einer Ebene. Aufgrund der fortgesetzten Verkleinerung von Schaltungen und Schaltungsmerkmalen erfordern die Designregeln für Metallisierungsebenen häufig, dass leitfähige Durchkontaktierungen um wenigstens zweimal einen kleinsten Abstand, der für eine Metallisierungsebene festgelegt ist, getrennt sind, da die leitfähigen Durchkontaktierungen mit separaten Metallisierungsleitungen ansonsten aufgrund von Begrenzungen in Fertigungsprozessen für die untere Metallisierungsebene nicht in einer niedrigeren Metallisierungsebene verbunden werden können, wie z.B. Prozesse zum Bilden und Schneiden von Metallisierungsleitungen innerhalb einer Metallisierungsebene. Die hierin offenbarten und beschriebenen Prozesse erlauben vorteilhafterweise, dass zwei leitfähige Durchkontaktierungen nebeneinander gebildet werden, so dass ihre Mittelpunkte durch die kleinste kritische Dimension oder den kleinsten Abstand getrennt sind und jede leitfähige Durchkontaktierung eine Metallisierungsleitung einer oberen Metallisierungsebene (z.B. die zweite Metallisierungsebene 120) mit einer separaten Metallisierungsleitung einer unteren Metallisierungsebene (z.B. der ersten Metallisierungsebene 110) verbindet.
  • Die Metallisierungsebene 110 kann, wie in der Ausführungsform der Struktur 100 gemäß der Darstellung in 1A gezeigt ist, eine Barrierenschicht 111 umfassen, die unter der Metallisierungsleitung 115 angeordnet ist. Die Barrierenschicht 111 kann auch auf Seitenwänden der Metallisierungsleitung 115 angeordnet sein. Die zweite Metallisierungsebene 120 kann eine Barrierenschicht 126 aufweisen, die unter und/oder auf Seitenwänden der Metallisierungsleitungen 125, 125a, 125b und der leitfähigen Durchkontaktierungen 130a, 130b angeordnet ist. Eine Barrierenschicht (barrier liner), z.B. die Barrierenschicht 111 und/oder die Barrierenschicht 126, kann aus Titan, Titannitrid, Tantal, Tantalnitrid oder einem anderen Barrierenschichtmaterial, z.B. durch eine chemische Gasphasenabscheidung (CVD) oder Atomlagenabscheidung (ALD), gebildet werden. Eine Barrierenschicht kann gebildet werden, um zu verhindern, dass das Metallmaterial der Metallisierungsleitungen mit einem Material einer darunterliegenden dielektrischen Schicht, z.B. der dielektrischen Zwischenschicht 101, wechselwirkt oder kombiniert. Die Metallisierungsleitung 115 kann auch eine leitfähige Abdeckung 112 über der Metallisierungsleitung 115 umfassen. Die Metallisierungsleitungen 125, 125a, 125b, sowie die leitfähigen Durchkontaktierungen 130a, 130b können auch eine leitfähige Abdeckung 127 umfassen. Eine leitfähige Abdeckung 112, 127 kann z.B. aus Kobalt oder Ruthenium oder einem anderen leitfähigen Material gebildet sein und kann z.B. durch eine chemische Gasphasenabscheidung oder Atomlagenabscheidung gebildet werden.
  • Mit Bezug auf 2, in der ähnliche Bezugszeichen ähnliche Merkmale in den 1A und 1B bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird eine Abdeckung 140 selektiv auf den Metallisierungsleitungen 125, 125a, 125b und auf oberen Oberflächen der leitfähigen Durchkontaktierungen 130a, 130b gebildet. Über der zweiten Metallisierungsebene 120 wird ein strukturierter Lithografiestapel 150 gebildet. Wie hierin weiterhin ausgeführt wird, schützt die Abdeckung 140 die Metallisierungsleitungen 125a und 125b, sowie obere Oberflächen der leitfähigen Durchkontaktierungen 130a und 130b während einer Entfernung eines Abschnitts der Metallisierungsleitung 115 in der ersten Metallisierungsebene 110. Wie weiterhin hierin beschrieben wird, umfasst die Abdeckung 140 ein Material, das Ätzprozessen widersteht, die dielektrische Materialien ätzen, z.B. die dielektrisch Schicht 121 oder die dielektrische Abdeckung 122, das Ätzprozessen zur Entfernung oder zum „Schneiden“ eines Abschnitts der Metallisierungsleitung 115 widersteht, und das später ohne Beeinträchtigung von anderen Abschnitten der Struktur 100 selektiv entfernt werden kann. Die Abdeckung 140 kann z.B. ein Leiter sein, z.B. Wolfram, Nickel, Molybdän oder Aluminium, oder kann einen Halbleiter darstellen, z.B. Silizium, oder eine Kombination davon. Die Abdeckung 140 kann alternativ ein Isolator sein, z.B. Hafniumoxid, Aluminiumoxid oder Kombinationen davon. Die Abdeckung 140 kann eine Kombination aus einem Leiter und einem Isolator oder eine Kombination aus einem Halbleiter und einem Isolator darstellen oder kann eine Kombination aus Leiter-, Halbleiter- und Isolatormaterialien darstellen. Der strukturierte Lithografiestapel 150 kann z.B. eine organische Planarisierungsschicht (OPL) 151, eine antireflektierende Beschichtung 152 und eine Fotolackschicht 153 umfassen. Die Fotolackschicht 153 kann strukturiert sein, so dass eine Öffnung 154 über einem zu ätzenden Abschnitt der dielektrischen Schicht 121 ausgerichtet ist, wie unten weiter ausgeführt wird.
  • Mit Bezug auf 3, in der ähnliche Bezugszeichen ähnliche Merkmale in 2 bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird der strukturierte Lithografiestapel 150 selektiv geätzt, um eine Öffnung 154 durch den strukturierten Lithografiestapel 150, beispielsweise durch die organische Planarisierungsschicht 151, zu ätzen, so dass die dielektrische Schicht 121a zwischen der leitfähigen Durchkontaktierung 130a und der leitfähigen Durchkontaktierung 130b freigelegt wird. Eine oder mehrere Schichten des strukturierten Lithografiestapels 150, z.B. die Fotolackschicht 153 und die antireflektierende Beschichtung 152, können nach einem selektiven Ätzen des strukturierten Lithografiestapels 150 entfernt werden.
  • Mit Bezug auf 4, in der ähnliche Bezugszeichen ähnliche Merkmale in 3 bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird ein Graben 155 in der dielektrischen Schicht 121 zwischen den leitfähigen Durchkontaktierungen 130a und 130b gebildet, wobei der Abschnitt der dielektrischen Schicht 121a, der durch die Öffnung 154 freigelegt wird, entfernt wird, um einen Abschnitt der Metallisierungsleitung 115 freizulegen. Der Graben 155 kann durch einen Ätzprozess bezüglich der dielektrischen Schicht 121 selektiv geätzt werden. Die leitfähigen Durchkontaktierungen 130a und 130b dienen dazu, das Ätzen des Grabens 155 selbst auszurichten, so dass der freiliegende Abschnitt der Metallisierungsleitung 115 bezüglich den Seitenwänden der leitfähigen Durchkontaktierung 130a und der leitfähigen Durchkontaktierung 130b ausgerichtet ist. In Ausführungsformen, in denen die Struktur 100 eine dielektrische Abdeckung 122 umfasst, kann die dielektrische Abdeckung 122 zwischen den leitfähigen Durchkontaktierungen 130a und 130b nach dem Ätzen des Grabens 155 in der dielektrischen Schicht 121 entfernt werden, um den Abschnitt der Metallisierungsleitung 115 freizulegen. Der strukturierte Lithografiestapel 150 kann über der zweiten Metallisierungsebene 120 zum Schutz von anderen Abschnitten der dielektrischen Schicht 121 und der dielektrischen Abdeckung 122 bezüglich des Ätzprozesses oder der Ätzprozesse verbleiben.
  • Mit Bezug auf 5, in der ähnliche Bezugszeichen ähnliche Merkmale in 4 bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird ein Abschnitt der Metallisierungsleitung 115 entfernt oder „geschnitten“, wobei die Metallisierungsleitung 115 in zwei Abschnitte 115a, 115b geteilt wird, die voneinander getrennt sind. Die Entfernung des Abschnitts der Metallisierungsleitung 115 kann z.B. durch einen Ätzprozess unter Verwendung eines Ätzmittels erreicht werden, das den freiliegenden Abschnitt der Metallisierungsleitung 115 und Abschnitte der Barrierenschicht 111 und der leitfähigen Abdeckung 112 selektiv entfernt, ohne die dielektrische Schicht 121, die dielektrische Zwischenschicht 101 oder die Abdeckung 140 zu beeinflussen. Gemäß der Beschreibung oben umfasst die Abdeckung 140 ein Material oder Materialien, die gegenüber Ätzprozessen widerstandsfähig sind, die dielektrische Materialien ätzen, und die gegenüber Ätzprozessen zur Entfernung eines Abschnitts der Metallisierungsleitung 115 widerstandsfähig sind, so dass die Metallisierungsleitungen 125 und 125a, 125b und oberen Oberflächen der leitfähigen Durchkontaktierungen 130a, 130b während des Ätzprozesses intakt bleiben. Nach der Entfernung des Abschnitts der Metallisierungsleitung 115 können die Abschnitte 115a und 115b der Metallisierungsleitung 115 freiliegende Endflächen 115c und 115d aufweisen und abhängig von dem ausgewählten Ätzmittel, sowie der Länge einer Zeit, in der Ätzmittel einwirkt, können Seitenwände der leitfähigen Durchkontaktierungen 130a und 130b freigelegt und zum Teil zurückgeätzt werden, wie in 5 dargestellt ist.
  • Mit Bezug auf 6, in der ähnliche Bezugszeichen ähnliche Merkmale in 5 bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird ein leitfähiges Barrierenmaterial 160 selektiv über der freiliegenden Endfläche 115c des Abschnitts 115a und der freiliegenden Endfläche 115d des Abschnitts 115b der Metallisierungsleitung 115 entfernt und es wird ein dielektrisches Lückenfüllmaterial 165 zwischen den Abschnitten 115a, 115b der Metallisierungsleitung 115 und zwischen den leitfähigen Durchkontaktierungen 130a, 130b abgeschieden. Das leitfähige Barrierenmaterial 160 kann auch über freiliegenden Seitenwänden der leitfähigen Durchkontaktierung 130a und der leitfähigen Durchkontaktierung 130b selektiv gewachsen werden. Das leitfähige Barrierenmaterial 160 kann durch einen CVD- oder ALD-Prozess oder einen anderen alternativen Prozess gewachsen werden. Es kann z.B. aus Kobalt, Ruthenium oder einem anderen leitfähigen Barrierenmaterial gebildet sein. Das leitfähige Barrierenmaterial wächst nicht über dem Material der Abdeckung 140 oder haftet daran. Das Aufwachsen des leitfähigen Barrierenmaterials 160 kann teilweise einen „Wiederaufbau“ von Abschnitten der leitfähigen Durchkontaktierungen 130a und 130b unterstützen, die eventuell bei der Entfernung des Abschnitts der Metallisierungsleitung 115 gemäß der Beschreibung oben entfernt wurden. Das leitfähige Barrierenmaterial 160 kann gemäß der Beschreibung hierin auch verhindern, dass das Metallmaterial der Abschnitte 115a und 115b und der leitfähigen Durchkontaktierungen 130a und 130b mit dem dielektrischen Lückenfüllmaterial 165 interagiert oder kombiniert.
  • Das dielektrische Lückenfüllmaterial 165 kann ein dielektrisches Material sein, das ausgewählt wird, um eine Kapazität zwischen den Abschnitten 115a und 115b oder den leitfähigen Durchkontaktierungen 130a und 130b zu verringern. Gemäß der Beschreibung oben können die leitfähigen Durchkontaktierungen 130a und 130b Mittelpunkte aufweisen, die durch einen Abstand getrennt sind, der im Wesentlichen gleich einer kleinsten kritischen Dimension ist, die für die Struktur 100 festgelegt ist. Da eine Kapazität zwischen den leitfähigen Durchkontaktierungen umgekehrt proportional zu der Beabstandung zwischen den leitfähigen Durchkontaktierungen ist, kann die Kapazität zwischen den leitfähigen Durchkontaktierungen 130a und 130b relativ hoch sein. Es kann demzufolge ein dielektrisches Lückenfüllmaterial 165 mit einer relativ hohen Dielektrizitätskonstanten ε verwendet werden, um diese Kapazität zu verringern. Das dielektrische Lückenfüllmaterial 165 kann z.B. ein mit Stickstoff dotiertes Siliziumkarbid sein. In einem anderen Beispiel kann das dielektrische Lückenfüllmaterial 165 ein high-k-Oxidmaterial darstellen. In einer Ausführungsform kann das dielektrische Lückenfüllmaterial 165 stark konform sein, so dass keine Abschnürung auftritt, mit dem Ergebnis, dass das dielektrische Lückenfüllmaterial 165 fest ist und keine Luftspalte oder Einschlüsse aufweist. In einer alternativen Ausführungsform kann die Füllung der Lücken teilweise erfolgen, was einen Lufteinschluss oder einen Luftspalt 129 durch Abschnüren an einer Tiefe erzeugt, an der der Luftspalt 129 nicht geöffnet wird, wenn das dielektrische Lückenfüllmaterial 165 in einer nachfolgenden Fertigungsphase vertieft wird.
  • Mit Bezug auf 7, in der ähnliche Bezugszeichen ähnliche Merkmale in 6 bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird ein dielektrisches Lückenfüllmaterial 165 vertieft und die Abdeckung 140 wird über den Metallisierungsleitungen 125, 125a und 125b und den leitfähigen Durchkontaktierungen 130a, 130b entfernt. Obwohl es in alternativen Ausführungsformen zulässig sein kann, dass die Fertigung ohne eine Entfernung der Abdeckung 140 fortgesetzt wird, z.B. wenn die Abdeckung 140 ein leitfähiges Material darstellt, z.B. Wolfram, ist es im Allgemeinen vorteilhaft, die Abdeckung 140 zu entfernen, so dass die Abdeckung 140 nachfolgende Fertigungsprozesse nicht stört. In ähnlicher Weise ist es im Allgemeinen vorteilhaft, das dielektrische Lückenfüllmaterial 165 zu vertiefen, so dass die Gegenwart eines Materials mit großer Dielektrizitätskonstante über der zweiten Metallisierungsschicht 120 nachfolgende Fertigungsprozesse nicht stört, während das dielektrische Lückenfüllmaterial 165 in alternativen Ausführungsformen nicht unbedingt vertieft wird.
  • Mit Bezug auf 8, in der ähnliche Bezugszeichen ähnliche Merkmale in 7 bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird eine konforme dielektrische Deckschicht 170 über der zweiten Metallisierungsschicht 120 abgeschieden. Die konforme dielektrische Deckschicht 170 kann aus einem ähnlichen Material gebildet sein, wie die dielektrische Abdeckung 122, z.B. aus einem auf Stickstoff basierenden dielektrischen Material.
  • Mit Bezug auf 9, in der ähnliche Bezugszeichen ähnliche Merkmale in 8 bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird eine dritte Metallisierungsebene 200 über der konformen dielektrischen Deckschicht 170 und der zweiten Metallisierungsebene 120 gebildet. Ähnlich zu der ersten Metallisierungsebene 110 oder der zweiten Metallisierungsebene 120 kann die dritte Metallisierungsebene 200 eine Metallisierungsleitung oder Metallisierungsleitungen 205 umfassen, die in einer dielektrischen Schicht 201 gebildet ist oder sind. Die Metallisierungsleitungen 205 können eine Barrierenschicht 202 und eine leitfähige Deckschicht 206 umfassen. Die Herstellung der dritten Metallisierungsebene 200 kann Prozesse umfassen, die hierin beschrieben sind, oder kann andere Prozesse zur Fertigung von Metallisierungsebenen umfassen, die für integrierte Schaltungsstrukturen bekannt sind.
  • Die oben beschriebenen Verfahren werden in der Fertigung von integrierten Schaltungschips verwendet. Die sich ergebenden integrierten Schaltungschips können durch den Hersteller in der Form von rohen Wafern (z.B. als ein einzelner Wafer mit mehreren nicht gehausten Chips), als ein reines Die oder in gehauster Form vertrieben werden. Im letzteren Fall wird der Chip in einem Einzelchipgehäuse (z.B. ein Plastikträger mit Leitungen, die an einem Motherboard oder einem anderen Träger höherer Ordnung angebracht sind) oder in einem Mehrchipgehäuse (z.B. einem Keramikträger mit Oberflächenzwischenverbindungen und/oder vergrabenen Zwischenverbindungen) montiert. In jedem Fall kann der Chip mit anderen Chips, diskreten Schaltungselementen und/oder Signal bearbeitenden Vorrichtungen als Teil eines Zwischenprodukts oder eines Endprodukts integriert werden.
  • Eine Bezugnahme hierin auf Begriffe wie etwa „vertikal“, „horizontal“ usw. erfolgt beispielhaft und nicht beschränkend, um einen Bezugsrahmen zu etablieren. Der Begriff „horizontal“, wie hierin verwendet wird, ist als eine Ebene parallel zu einer konventionellen Ebene eines Halbleitersubstrats festlegend zu verstehen, unabhängig von dessen tatsächlicher 3-dimensionaler räumlicher Orientierung. Die Begriffe „vertikal“ und „normal“ beziehen sich auf eine Richtung senkrecht zu der eben definierten horizontalen Richtung. Der Begriff „lateral“ bezieht sich auf eine Richtung innerhalb der horizontalen Ebene. Begriffe wie etwa „über“ und „unter“ werden verwendet, um eine Positionierung von Elementen oder Strukturen relativ zueinander gegenüber der relativen Erhöhung anzuzeigen.
  • Ein mit einem anderen Element „verbundenes“ oder „gekoppeltes“ Element kann mit dem anderen Element direkt verbunden oder gekoppelt sein, oder es kann stattdessen wenigstens ein dazwischenliegendes Element vorhanden sein. Ein Merkmal kann mit einem anderen Element „direkt verbunden“ oder „direkt gekoppelt“ sein, wenn keine dazwischenliegenden Elemente vorhanden sind. Ein Merkmal kann mit einem anderen Element „indirekt verbunden“ sein oder „indirekt gekoppelt“ sein, wenn wenigstens ein dazwischenliegendes Element vorhanden ist.

Claims (14)

  1. Verfahren, umfassend: ein Bilden einer ersten Metallisierungsebene (110) mit einer ersten Metallisierungsleitung (115); ein Bilden einer zweiten Metallisierungsebene (120) über der ersten Metallisierungsebene (110), wobei die zweite Metallisierungsebene (120) eine dielektrische Schicht (121), eine zweite Metallisierungsleitung (125a), eine dritte Metallisierungsleitung (125b), eine erste leitfähige Durchkontaktierung (130a), die sich von der zweiten Metallisierungsleitung (125a) durch die dielektrische Schicht (121) zu der ersten Metallisierungsleitung (115) erstreckt, und eine zweite leitfähige Durchkontaktierung (130b) umfasst, die sich von der dritten Metallisierungsleitung (125b) durch die dielektrische Schicht (121) zu der ersten Metallisierungsleitung (115) erstreckt; und ein Teilen der ersten Metallisierungsleitung (115) in einen ersten Abschnitt (115a) und einen zweiten Abschnitt (115b), der von dem ersten Abschnitt (115a) getrennt wird, nachdem die zweite Metallisierungsebene (120) gebildet wurde, wobei der erste Abschnitt (115a) der ersten Metallisierungsleitung (115) durch die erste leitfähige Durchkontaktierung (130a) mit der zweiten Metallisierungsleitung (125a) verbunden wird und der zweite Abschnitt (115b) der ersten Metallisierungsleitung (115) durch die zweite leitfähige Durchkontaktierung (130b) mit der dritten Metallisierungsleitung (125b) verbunden wird.
  2. Verfahren nach Anspruch 1, wobei der erste Abschnitt (115a) nach dem Teilen der ersten Metallisierungsleitung (115) ein erstes Ende in Ausrichtung zu und im Kontakt mit einer ersten Seitenwand der ersten leitfähigen Durchkontaktierung (130a) aufweist und der zweite Abschnitt (115b) ein zweites Ende in Ausrichtung zu und im Kontakt mit einer zweiten Seitenwand der zweiten leitfähigen Durchkontaktierung (130b) aufweist.
  3. Verfahren nach Anspruch 1, wobei das Teilen der ersten Metallisierungsleitung (115) umfasst: ein Ätzen eines Grabens (155) in die dielektrische Schicht (121) zwischen der ersten leitfähigen Durchkontaktierung (130a) und der zweiten leitfähigen Durchkontaktierung (130b), wobei das Ätzen einen Abschnitt der ersten Metallisierungsleitung (115) freilegt; und ein Entfernen des freiliegenden Abschnitts der ersten Metallisierungsleitung (115).
  4. Verfahren nach Anspruch 3, wobei das Ätzen des Grabens (155) in die dielektrische Schicht (121) umfasst: ein Bilden eines strukturierten Lithografiestapels (150) über der zweiten Metallisierungsebene (120); ein selektives Ätzen des strukturierten Lithografiestapels (150), um die dielektrische Schicht (121) zwischen der ersten leitfähigen Durchkontaktierung (130a) und der zweiten leitfähigen Durchkontaktierung (130b) freizulegen; und ein Ätzen der freiliegenden dielektrischen Schicht (121), um den Abschnitt der ersten Metallisierungsleitung (115) freizulegen.
  5. Verfahren nach Anspruch 4, wobei das Ätzen der freiliegenden dielektrischen Schicht (121) bezüglich dem Abschnitt der ersten Metallisierungsleitung (115) durch die erste leitfähige Durchkontaktierung (130a) und die zweite leitfähige Durchkontaktierung (130b) selbstausgerichtet ist.
  6. Verfahren nach Anspruch 4, ferner umfassend: ein selektives Wachsen einer Abdeckung (140) auf der zweiten Metallisierungsleitung (125a) und der dritten Metallisierungsleitung (125b), wobei die Abdeckung (140) die zweite Metallisierungsleitung (125a) und die dritte Metallisierungsleitung (125b) und obere Oberflächen der ersten leitfähigen Durchkontaktierung (130a) und der zweiten leitfähigen Durchkontaktierung (130b) während eines Entfernens des freiliegenden Abschnitts der ersten Metallisierungsleitung (115) schützt.
  7. Verfahren nach Anspruch 6, wobei die Abdeckung (140) aus Wolfram, Nickel, Molybdän, Silizium, Aluminium, Hafniumoxid oder einer Kombination davon gebildet ist.
  8. Verfahren nach Anspruch 6, wobei die erste Metallisierungsebene (110) eine dielektrische Abdeckung (122) umfasst, die über der ersten Metallisierungsleitung (115) und unter der dielektrischen Schicht (121) der zweiten Metallisierungsleitung (125a) angeordnet ist, wobei die dielektrische Abdeckung (122) eine gegenüber der dielektrischen Schicht (121) und der Abdeckung (140) verschiedene Ätzselektivität aufweist, und wobei das Verfahren ferner umfasst: ein Entfernen der dielektrischen Abdeckung (122) zwischen der ersten leitfähigen Durchkontaktierung (130a) und der zweiten leitfähigen Durchkontaktierung (130b).
  9. Verfahren nach Anspruch 6, ferner umfassend: ein selektives Wachsen eines leitfähigen Barrierenmaterials (160) auf freiliegenden Endflächen (115c, 115d) des ersten Abschnitts (115a) und des zweiten Abschnitts (115b) der ersten Metallisierungsleitung (115).
  10. Verfahren nach Anspruch 9, wobei das leitfähige Barrierenmaterial (160) ferner selektiv über einer ersten freiliegenden Seitenwand der ersten leitfähigen Durchkontaktierungen (130a) und einer zweiten freiliegenden Seitenwand der zweiten leitfähigen Durchkontaktierung (130b) gewachsen wird.
  11. Verfahren nach Anspruch 9, wobei das leitfähige Barrierenmaterial (160) aus Kobalt oder Ruthenium gebildet wird.
  12. Verfahren nach Anspruch 6, ferner umfassend: ein Abscheiden eines dielektrischen Lückenfüllmaterials (165) zwischen dem ersten Abschnitt (115a) und dem zweiten Abschnitt (115b) der ersten Metallisierungsleitung (115) und zwischen der ersten leitfähigen Durchkontaktierung (130a) und der zweiten leitfähigen Durchkontaktierung (130b); ein Entfernen der Abdeckung (140); ein Abscheiden einer konformen dielektrischen Deckschicht (170) über der zweiten Metallisierungsebene (120); und ein Bilden einer dritten Metallisierungsebene (200) über der konformen dielektrischen Deckschicht (170).
  13. Verfahren nach Anspruch 12, wobei das dielektrische Lückenfüllmaterial (165) ein mit Stickstoff dotiertes Siliziumkarbidmaterial oder ein high-k-Oxidmaterial umfasst.
  14. Verfahren nach Anspruch 12, wobei das dielektrische Lückenfüllmaterial (165) einen Luftspalt umfasst.
DE102018211683.0A 2017-08-15 2018-07-13 Verfahren zur herstellung von metallisierungsebenen Active DE102018211683B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/677,693 US10134580B1 (en) 2017-08-15 2017-08-15 Metallization levels and methods of making thereof
US15/677,693 2017-08-15

Publications (2)

Publication Number Publication Date
DE102018211683A1 DE102018211683A1 (de) 2019-02-21
DE102018211683B4 true DE102018211683B4 (de) 2022-02-03

Family

ID=64176602

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018211683.0A Active DE102018211683B4 (de) 2017-08-15 2018-07-13 Verfahren zur herstellung von metallisierungsebenen

Country Status (4)

Country Link
US (1) US10134580B1 (de)
CN (1) CN109411409B (de)
DE (1) DE102018211683B4 (de)
TW (1) TWI679742B (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220406704A1 (en) * 2021-06-22 2022-12-22 International Business Machines Corporation Subtractive metal etch with improved isolation for beol interconnect and cross point
US11804406B2 (en) * 2021-07-23 2023-10-31 International Business Machines Corporation Top via cut fill process for line extension reduction
US20240113019A1 (en) * 2022-09-29 2024-04-04 Intel Corporation Split via structures coupled to conductive lines for advanced integrated circuit structure fabrication

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040232552A1 (en) 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
US20130292835A1 (en) 2011-12-20 2013-11-07 Sean King Conformal low temperature hermetic dielectric diffusion barriers
US20150200244A1 (en) 2007-12-21 2015-07-16 Infineon Technologies Ag Moisture Barrier Capacitors in Semiconductor Components

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL120514A (en) * 1997-03-25 2000-08-31 P C B Ltd Electronic interconnect structure and method for manufacturing it
US6458705B1 (en) * 2001-06-06 2002-10-01 United Microelectronics Corp. Method for forming via-first dual damascene interconnect structure
US7018917B2 (en) * 2003-11-20 2006-03-28 Asm International N.V. Multilayer metallization
JP4956919B2 (ja) * 2005-06-08 2012-06-20 株式会社日立製作所 半導体装置およびその製造方法
KR20080061030A (ko) * 2006-12-27 2008-07-02 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 형성 방법
US8895211B2 (en) * 2012-12-11 2014-11-25 GlobalFoundries, Inc. Semiconductor device resolution enhancement by etching multiple sides of a mask
SG11201601323XA (en) 2013-09-27 2016-03-30 Intel Corp Previous layer self-aligned via and plug patterning for back end of line (beol) interconnects
US9159610B2 (en) * 2013-10-23 2015-10-13 Globalfoundires, Inc. Hybrid manganese and manganese nitride barriers for back-end-of-line metallization and methods for fabricating the same
US9478602B2 (en) * 2014-10-07 2016-10-25 Globalfoundries Inc. Method of forming an embedded metal-insulator-metal (MIM) capacitor
US9972589B1 (en) * 2017-03-30 2018-05-15 Intel Corporation Integrated circuit package substrate with microstrip architecture and electrically grounded surface conductive layer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040232552A1 (en) 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
US20150200244A1 (en) 2007-12-21 2015-07-16 Infineon Technologies Ag Moisture Barrier Capacitors in Semiconductor Components
US20130292835A1 (en) 2011-12-20 2013-11-07 Sean King Conformal low temperature hermetic dielectric diffusion barriers

Also Published As

Publication number Publication date
DE102018211683A1 (de) 2019-02-21
CN109411409B (zh) 2023-06-20
US10134580B1 (en) 2018-11-20
CN109411409A (zh) 2019-03-01
TW201911512A (zh) 2019-03-16
TWI679742B (zh) 2019-12-11

Similar Documents

Publication Publication Date Title
DE102014111783B4 (de) Gestapelte integrierte Schaltungen mit Umverteilungsleitungen und Verfahren zu ihrer Herstellung
DE102014117338B4 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
DE102009000625B4 (de) Verfahren zur Herstellung einer Halbleiterkomponente und eines Halbleiterbauelements sowie zugehöriger Zweifach-Damascene-Prozess
DE102018110326B4 (de) Halbleitervorrichtung und Verfahren zur Herstellung derselben
DE102008016424B4 (de) Verfahren mit einem Bilden einer Kontaktloshöffnung und eines Grabens in einer dielektrischen Schicht mit kleinem ε
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102019200054B4 (de) Verfahren zum Strukturieren von Metallisierungsleitungen mit variabler Breite
DE102016119018A1 (de) Zwischenverbindungsaufbau und -verfahren
DE102010029533B3 (de) Selektive Größenreduzierung von Kontaktelementen in einem Halbleiterbauelement
DE102014118969A1 (de) 3DIC Dichtungsring-Struktur und Verfahren zum Herstellen derselben
DE102013103976B4 (de) Halbleiterbauelement mit selbstausgerichteten Verbindungen und Sperrabschnitten
DE102019203596B4 (de) Mehrfachstrukturierung mit Dornschnitten, die unter Verwendung einer Blockmaske gebildet werden
DE102010037339A1 (de) Durchsubstratmerkmale in Halbleitersubstraten
DE102018211683B4 (de) Verfahren zur herstellung von metallisierungsebenen
DE102018203792B4 (de) Nicht-Dorn-Schnittbildung
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE102019203224B4 (de) Selbstausgerichtete Mehrfachstrukturierungsprozesse mit geschichteten Dornen
DE102018221806B4 (de) Verfahren zur herstellung von back-end-of-line-strukturen mit luftspalten
DE102015119536A1 (de) Kondensatoren mit dielektrischen Barriereschichten und Verfahren zu deren Ausbildung
DE4239457C2 (de) Halbleiterwaferstruktur und Herstellungsverfahren dafür
DE102019130124A1 (de) Funktionale komponente innerhalb einer verbindungsstruktur einer halbleitervorrichtung und verfahren zum bilden derselben
DE102021100639A1 (de) Verschaltungsstruktur einer halbleitervorrichtung
DE102019100014B4 (de) Verfahren zum Strukturieren von dielektrischen Schichten für eine Metallisierung und entsprechende Strukturen
DE102010028463B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit komplexen leitenden Elementen in einem dielektrischen Materialsystem unter Anwendung einer Barrierenschicht und Halbleiterbauelement diese aufweisend
DE102022100607A1 (de) Zwischenblock für ausgesparte kontakte und verfahren zur bildung derselben

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final