DE10260619B4 - Verfahren zur Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε - Google Patents

Verfahren zur Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε Download PDF

Info

Publication number
DE10260619B4
DE10260619B4 DE10260619A DE10260619A DE10260619B4 DE 10260619 B4 DE10260619 B4 DE 10260619B4 DE 10260619 A DE10260619 A DE 10260619A DE 10260619 A DE10260619 A DE 10260619A DE 10260619 B4 DE10260619 B4 DE 10260619B4
Authority
DE
Germany
Prior art keywords
layer
silicon
dielectric
thickness
low
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE10260619A
Other languages
English (en)
Other versions
DE10260619A1 (de
Inventor
Hartmut Ruelke
Joerg Hohage
Thomas Werner
Frank Mauersberger
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to DE10260619A priority Critical patent/DE10260619B4/de
Priority to US10/463,910 priority patent/US7030044B2/en
Priority to CNB2003801073194A priority patent/CN100437971C/zh
Priority to JP2005508530A priority patent/JP2006525651A/ja
Priority to EP03781760A priority patent/EP1576664A1/de
Priority to KR1020057011804A priority patent/KR101127240B1/ko
Priority to AU2003287518A priority patent/AU2003287518A1/en
Priority to PCT/US2003/035272 priority patent/WO2004061949A1/en
Priority to TW092133968A priority patent/TWI349307B/zh
Publication of DE10260619A1 publication Critical patent/DE10260619A1/de
Application granted granted Critical
Publication of DE10260619B4 publication Critical patent/DE10260619B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/952Utilizing antireflective layer

Abstract

Verfahren zur Herstellung einer Halbleiterstruktur durch Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε mit den Schritten:
Bilden eines Mehrschichtstapels in-situ über einer dielektrischen Schicht, die ein dielektrisches Material mit kleinem ε aufweist, durch Bilden einer Schicht aus Siliziumdioxid über der dielektrischen Schicht mit kleinem ε; und
Bilden einer siliziumreichen Oxynitridschicht während der Bildung der Schicht aus Siliziumdioxid, wobei mindestens eine optische Eigenschaft des Mehrschichtstapels so eingestellt wird, dass eine Rückreflexion von der dielektrischen Schicht mit kleinem ε reduziert ist.

Description

  • GEBIET DER VORLIEGENDEN ERFINDUNG
  • Im Allgemeinen betrifft die vorliegende Erfindung die Herstellung integrierter Schaltungen und betrifft insbesondere die Herstellung von Metallisierungsschichten mit einem Metall, das in einem dielektrischen Material eingebettet ist, das zur Verbesserung der Bauteilleistungsfähigkeit eine geringe Permittivität aufweist.
  • BESCHREIBUNG DES STANDS DER TECHNIK
  • In modernen integrierten Schaltungen haben die minimalen Strukturgrößen, etwa die Kanallänge von Feldeffekttransistoren, den Bereich deutlich unter einem Mikrometer erreicht, wodurch zunehmend die Leistungsfähigkeit dieser Schaltungen hinsichtlich der Geschwindigkeit und der Leistungsaufnahme verbessert wurden. In dem Maße, wie sich die Größe der einzelnen Schaltungselemente deutlich verringert, wodurch beispielsweise die Schaltgeschwindigkeit der Transistorelemente verbessert wird, verringert sich ebenso der verfügbare Platz für Verbindungsleitungen, die die einzelnen Schaltungselemente elektrisch miteinander verbinden. Folglich müssen die Abmessungen dieser Verbindungsleitungen reduziert werden, um dem reduzierten Anteil an verfügbarem Platz und einer erhöhten Zahl an Schaltungselementen, die pro Chip vorgesehen sind, Rechnung zu tragen. In integrierten Schaltungen mit minimalen Abmessungen von ungefähr 0.35 μm ist ein begrenzender Faktor der Bauteilleistung die Signalausbreitungsverzögerung, die durch die Schaltgeschwindigkeit der Transistorelemente hervorgerufen wird. Wenn die Kanallänge dieser Transistorelemente nunmehr 0.18 μm und weniger erreicht, stellt es sich jedoch heraus, dass die Signalausbreitungsverzögerung nicht mehr durch die Feldeffekttransistoren bestimmt ist, sondern auf Grund der erhöhten Packungsdichte der Schaltungen durch die Nähe der Verbindungsleitungen begrenzt ist, da die Kapazität zwischen den Leitungen vergrößert wird und gleichzeitig einhergeht mit einer reduzierten Leitfähigkeit dieser Leitungen auf Grund ihrer reduzierten Querschnittsfläche. Die parasitären RC-Zeitkonstanten, die durch die erhöhte Kapazität zwischen den Leitungen und dem höheren Leitungswiderstand angewachsen sind, können nicht in einfacher Weise kompensiert werden, ohne dass eine neue Art eines Materials zur Herstellung von Metallisierungsschichten eingeführt wird.
  • Traditionell werden Metallisierungsschichten mittels eines dielektrischen Schichtstapels, der beispielsweise Siliziumdioxid und/oder Siliziumnitrid aufweist, und mit Aluminium als dem typischem Metall gebildet. Da Aluminium deutliche Elektromigrationseigenschaften bei höheren Stromdichten zeigt, wird es gegenwärtig durch Kupfer ersetzt, das einen deutlich geringeren elektrischen Widerstand, eine höhere thermische Leitfähigkeit und eine höhere Widerstandsfähigkeit gegenüber Elektromigration aufweist. Obwohl sich die Bauteileigenschaften durch Verwenden von Kupfer als dem Metallisierungsmetall deutlich verbessern können, stellt sich für Bauteile mit Strukturgrößen von 0.13 μm und darunter heraus, dass zusätzlich die gut etablierten und gut bekannten dielektrischen Materialien Siliziumdioxid (ε ≈ 4.2) und Siliziumnitrid (ε > 5) durch sogenannte dielektrische Materialien mit kleinem ε zu ersetzen sind, um die Signalausbreitungsverzögerung in Verbindungsleitungen wirksam zu verringern. Der Übergang von der gut bekannten und gut etablierten Aluminium/Siliziumdioxid-Metallisierungsschicht auf eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε ist jedoch mit einer Reihe von Problemen behaftet, die es zu lösen gilt.
  • Beispielsweise kann Kupfer nicht in einfacher Weise in höheren Mengen durch gut etablierte Abscheideverfahren, etwa die chemische Dampfabscheidung aufgebracht werden. Ferner kann Kupfer nicht effizient durch anisotrope Ätzprozesse strukturiert werden und daher wird die sogenannte Damaszener-Technik bei der Herstellung von Metallisierungsschichten mit Kupfer angewendet. Typischer Weise wird in der Damaszener-Technik die dielektrische Schicht abgeschieden und anschließend mit Gräben und Kontaktdurchführungen strukturiert, die nachfolgend mit Kupfer mittels Plattierungsverfahren, etwa dem Elektroplattieren oder dem stromlosen Plattieren gefüllt werden. Um die Gräben und die Kontaktdurchführungen zuverlässig zu füllen, ist ein gewisses Maß an ”Überfüllung” erforderlich und macht eine anschließende Entfernung des überschüssigen Kupfers notwendig. Zum Entfernen des überschüssigen Kupfers, wodurch gleichzeitig die Oberfläche der Metallisierungsschicht eingeebnet wird, hat sich das chemisch-mechanische Polieren (CMP) als eine verlässliche Prozesstechnik erwiesen, obwohl das Entfernen eines oder mehrerer Materialien von einer Substratoberfläche mit einer ausreichend hohen Abtragsrate ohne unnötiges Beeinflussen darunter liegender Materialschichten eine äußerst komplexe Aufgabe darstellt.
  • Diese Sachlage wird noch komplexer, wenn ein dielektrisches Material mit kleinem ε anstelle des gut bekannten Siliziumdioxids verwendet wird, da typischer Weise die Eigenschaften des dielektrischen Materials mit kleinem ε sich deutlich von jenen des Siliziumdioxids, insbesondere in Hinblick auf die mechanische Stabilität, unterscheiden. Da Kupfer leicht in einer Vielzahl dielektrischer Materialien diffundiert, werden für gewöhnlich eine oder mehrere Barrierenschichten vor dem Abscheiden des Kupfers vorgesehen und diese Barrierenschichten müssen zusammen mit dem Kupfer entfernt werden, um die elektrisch isolierten Verbindungsleitungen und Kontaktöffnungen zu bilden. Typische Barrierenmaterialien, etwa Tantal und Tantalnitrid zeigen eine deutlich höhere Härte als Kupfer, so dass zumindest im letzten Schritt des CMP-Prozesses die entsprechenden Prozessparameter so gewählt werden, um eine ausreichend hohe Abtragsrate zu erhalten, wobei jedoch das darunter liegende weiche dielektrische Material mit kleinem ε gefährdet wird. Da ein gewisses Maß an Nachpolieren erforderlich ist, um die einzelnen Gräben und Leitungen elektrisch voneinander zu isolieren, kann ein deutliches Polieren der dielektrischen Schicht mit kleinem ε und ebenso des Kupfers auftreten, insbesondere, wenn die Abtragsrate über die Substratoberfläche hinweg verschieden ist. Die endgültigen Gräben und Kontaktdurchführungen können dann eine ungewünschte Schwankung des Widerstandes auf Grund von Streuungen in den Querschnittsflächen zeigen, so dass es erforderlich ist, die Prozesstoleranzen entsprechend weiter festzulegen.
  • Ein weiteres Problem der Strukturierung der dielektrischen Schicht mit kleinem ε betrifft die Photolithographietechnik, da insbesondere die Damaszener-Technik die Herstellung präzise ausgerichteter Gräben und Kontaktdurchführungen über einem dielektrischen Material mit kleinem ε, das möglicher Weise hoch reflektierende Kupferbereiche aufweist, erforderlich macht. Folglich wird typischer Weise eine antireflektierende Beschichtung (ARC) über dem dielektrischen Material mit kleinem ε gebildet, um die Rückreflexion von Licht in eine Photolackschicht, die über der ARC-Schicht gebildet ist, zu minimieren.
  • Mit Bezug zu den 1a und 1b wird nun eine typische konventionelle Prozesstechnik zur Strukturierung eines dielektrischen Materials mit kleinem ε beschrieben.
  • In 1a umfasst eine Halbleiterstruktur 100 ein Substrat 101 mit einer ersten dielektrischen Schicht 102, in der mehrere schmale Metallgebiete 103 und ein breites Metallgebiet 104 ausgebildet sind. Das Substrat 101 kann mehrere Schaltungselemente (nicht gezeigt) enthalten, von denen einige oder alle elektrisch mit einem oder mehreren der Metallgebiete 103 und 104 verbunden sind. Das Metallgebiet kann aus einem beliebigen geeigneten Material, etwa Aluminium, Kupfer, Tantal, Titan, Wolfram und dergleichen aufgebaut sein. Die erste dielektrische Schicht 102 kann ein geeignetes isolierendes Material aufweisen, und in technisch weit entwickelten integrierten Schaltungen kann die erste dielektrische Schicht 103 ein dielektrisches Material mit kleinem ε aufweisen. Eine Ätzstopschicht 105 ist über der ersten dielektrischen Schicht 102 und den Metallgebieten 103, 104, gebildet, woran sich eine zweite dielektrische Schicht 106 anschließt, die im Wesentlichen aus einem dielektrischen Material mit kleinem ε aufgebaut ist, in der leitende Verbindungsleitungen und Kontaktführungen herzustellen sind. Zu geeigneten Materialien mit kleinem ε gehören wasserstoffenthaltendes Siliziumoxidkarbid (SiCOH), oder andere siliziumenthaltende Materialien, etwa Silk. Andere geeignete Materialien mit kleinem ε sind MSQ, HSQ und dergleichen. Eine antireflektierende Schicht 107 ist über der zweiten dielektrischen Schicht 106 angeordnet und eine Lackmaske 108 ist auf der antireflektierenden Schicht 107 gebildet. Die Lackmaske 108 weist Öffnungen 109 und 110 auf, deren Abmessungen im Wesentlichen den Abmessungen der Leitungen und Kontaktdurchführungen entsprechen, die in der zweiten dielektrischen Schicht 106 zu bilden sind.
  • Ein typischer Prozess zur Herstellung der Halbleiterstruktur 100, wie sie in 1a gezeigt ist, kann die folgenden Prozesse aufweisen. Nach dem Herstellen der ersten dielektrischen Schicht 102 und der darin gebildeten Metallgebieten 103, 104 auf dem Substrat 101 – wobei die Herstellung der ersten dielektrischen Schicht 102 und der Metallgebiete 103, 104 im Wesentlichen die gleichen Prozessschritte aufweisen kann, wie dies nachfolgend beschrieben wird – wird die Ätzstopschicht 105 beispielsweise durch chemische Dampfabschneidung gebildet. Typischer Weise wird die Ätzstopschicht 105 aus einem Material mit kleinem ε gebildet, um die Gesamteigenschaften der letztlich erhaltenen isolierenden Schicht nicht unnötig zu beeinträchtigen. Zu geeigneten Materialien gehören Siliziumkarbid und mit Stickstoff angereichertes Siliziumkarbid. Für weniger kritische Anwendungen kann die Ätzstopschicht 105 Siliziumnitrid und andere dielektrische Materialien mit einem relativ großen ε aufweisen. Anschließend wird die zweite dielektrische Schicht 106 durch fortschrittliche Abscheideverfahren oder Aufschleuder-Techniken, abhängig von der Art des verwendeten Materials mit kleinem ε, gebildet. Unabhängig von dem Verfahren zur Herstellung der zweiten dielektrischen Schicht 106 unterscheiden sich im Allgemeinen die mechanischen Eigenschaften deutlich von jenen eines konventionellen dielektrischen Materials, etwa Siliziumdioxid. Nach der Herstellung der dielektrischen Schicht 106 mit kleinem ε wird die antireflektierende Schicht 107 gebildet, wobei deren optische Eigenschaften so eingestellt werden, um die Rückreflexion für eine gegebene Wellenlänge während eines nachfolgenden Photolithographieschrittes zu minimieren. Beispielsweise kann die antireflektierende Schicht 107 ein siliziumreiches Oxynitrid aufweisen, dessen optische Eigenschaften eingestellt werden können, indem die Menge des in die Schicht 107 während des Abscheidens eingebauten Siliziums durch Bereitstellen eines spezifizierten Verhältnisses der Vorstufengase während des Abscheidens der Siliziumoxynitridschicht 107 gesteuert wird, um einen spezifizierten Brechungsindex und Extinktionskoeffizienten zu erhalten. Zu dem wird die Dicke der Schicht 107 so gesteuert, um schließlich den optischen Eigenschaften der darunter liegenden Materialschichten und dem Photolack, der zur Herstellung der Lackmaske 108 verwendet wird, zu entsprechen. Eine geeignete Anpassung der antireflektierenden Schicht 107 ist insbesondere während der Herstellung von Gräben und Kontaktdurchführungen über den äußerst reflektierenden Metallgebieten 103, 104 wichtig. Anschließend wird eine Schicht aus Photolack auf der antireflektierenden Schicht 107 gebildet, wobei eine Dicke und eine Zusammensetzung des Photolacks entsprechend der zur Belichtung des Photolacks verwendeten Wellenlänge und entsprechend der darunter liegenden antireflektierenden Schicht 107 gewählt wird. Nach der Belichtung wird der Photolack dann entwickelt, um die Lackmaske 108 mit den Öffnungen 109 und 110 zu bilden.
  • 1b zeigt schematisch die Halbleiterstruktur 100 in einem fortgeschrittenen Herstellungsstadium. Öffnungen 113 und 114 sind in der Ätzstopschicht 105, der zweiten dielektrischen Schicht 106 und der antireflektierenden Schicht 107 über den Metallgebieten 103 bzw. 104 gebildet. Eine Barrierenschicht 111 mit beispielsweise Tantal und/oder Tantalnitrid ist auf der antireflektierenden Schicht 107 und in den Öffnungen 113 und 114 gebildet. Des weiteren ist Kupfer 112 in die Öffnungen 113 und 114 eingefüllt, wobei überschüssiges Kupfer ebenso außerhalb den Öffnungen 113 und 114 vorgesehen ist.
  • Beginnend von der Anordnung aus 1a wird ein anisotroper Ätzprozess ausgeführt, um die Öffnungen 113, 114 in der antireflektierenden Schicht 107, der dielektrischen Schicht 106 mit kleinem ε und der Ätzstopschicht 105 zu bilden. Auf Grund der sehr unterschiedlichen Eigenschaften dieser Schichten können unterschiedliche Ätzparameter gewählt werden, um schließlich die Öffnungen 113, 114 zu erhalten. Insbesondere zeigt die Ätzstopschicht 105 eine deutlich geringere Ätzrate als die dielektrische Schicht 106 mit kleinem ε, um damit den Ätzprozess zuverlässig auf und in der Ätzstopschicht 105 anzuhalten, die dann mittels eines anderen Ätzvorganges geöffnet wird. Nach dem Ausführen eines oder mehrerer Reinigungsschritte, um beispielsweise die freigelegte Metalloberfläche der Gebiete 103, 104 zu reinigen, wird die Barrierenschicht 111 durch fortschrittliche Sputter-Abscheidetechniken abgeschieden, wobei abhängig von der Art des Materials der Schicht 106 und dem in die Öffnungen 113, 114 einzufüllenden Metalls eine geeignete Zusammensetzung der Barrierenschicht 111 gewählt wird. In einer Schicht 106 auf Siliziumbasis mit Kupfer als dem Füllmetall wird häufig eine Doppelschicht aus Tantal/Tantalnitrid als die Barrierenschicht 111 verwendet. Danach wird, wenn Kupfer als Metall verwendet wird, eine Kupfersaatschicht (nicht gezeigt) durch Sputter-Abscheidung auf die Barrierenschicht 111 aufgebracht und anschließend wird das Kupfervolumenmaterial mittels elektrochemischer Verfahren abgeschieden.
  • 1c zeigt schematisch die Halbleiterstruktur 100 mit einer fertiggestellten Metallisierungsschicht 120 mit der dielektrischen Schicht 106 mit kleinem ε und den kupfergefüllten Gräben 113, 114. Wie zuvor angemerkt wurde, wird das überschüssige Kupfer der Schicht 112, das in 1b gezeigt ist, durch CMP entfernt, wobei typischer Weise ein Mehr-Schritt-Prozess ausgeführt wird, um das überschüssige Kupfer wirksam zu entfernen und die Oberfläche der Struktur 100 einzuebnen. Während des Abtragens des überschüssigen Kupfers wird ebenso die Barrierenschicht 111 außerhalb der Gräben 113 und 114 entfernt, um benachbarte Gräben elektrisch voneinander zu isolieren. Des weiteren muss die antireflektierende Schicht 107, die typischer Weise ein relativ hohen ε-Wert aufweist, entfernt werden, um nicht unnötig die Eigenschaften einer geringen Permittivität der Metallisierungsschicht 120 zu beeinträchtigen. Beim Entfernen der Barrierenschicht 111 und der antireflektierenden Schicht 107 wird eine gewisse Menge des dielektrischen Materials der Schicht 106 und des Kupfers in den Gräben 113, 114 möglicher Weise auch entfernt, wobei der Grad des Nachpolierens von der Art der Struktur, deren Lage auf der Substratoberfläche, da die Abtragsrate in Abhängigkeit von dem Substratdurchmesser variieren kann und dergleichen abhängt. In 1c kann die Abtragsrate an den relativ dicht beabstandeten Gräben 113 höher sein als an der Substratposition in der Nähe des isolierten Grabens 114. Auf Grund der reduzierten mechanischen Stabilität der dielektrischen Schicht 106 mit kleinem ε kann eine deutliche Änderung der Schichtdicke auf Grund von Erosion auftreten, wie dies durch 121 gekennzeichnet ist, die schließlich zu einer entsprechenden Variation des Leitungswiderstands der Gräben 113 führt. Wie zuvor erläutert ist, ist das unvollständige Entfernen der antireflektierenden Schicht 107 keine vielversprechende Option, da der relativ hohe ε-Wert zu deutlichen Schwankungen der parasitären RC-Zeitkonstanten in Gebieten führen kann, in denen die antireflektierende Schicht 107 nur minimal entfernt wurde.
  • Es wurde daher vorgeschlagen, eine spezielle Deckschicht vor der Ausbildung der antireflektierenden Schicht 107 vorzusehen, die die darunter liegende dielektrische Schicht mit kleinem ε während des CMP-Prozesses schützen kann. Die entsprechende Herstellung einer zusätzlichen Deckschicht und einer antireflektierenden Schicht führt jedoch zu zusätzlichen Kosten und zu einer höheren Komplexität.
  • Angesichts der oben erkannten Probleme besteht daher ein Bedarf für eine verbesserte Technik zur Strukturierung einer dielektrischen Materialschicht mit kleinem ε.
  • ÜBERBLICK ÜBER DIE ERFINDUNG
  • Die vorliegende Erfindung richtet sich im Allgemeinen an ein Verfahren zur Herstellung einer Deckschicht, die in ausreichender Weise die dielektrische Schicht mit kleinem ε während des chemisch-mechanischen Polierens schützt und die es zusätzlich ermöglicht, deren optischen Eigenschaften in einer einzelnen Abscheidekammer einzustellen, ohne den Abscheideprozess unnötig komplex zu machen.
  • Die Aufgabe der vorliegenden Erfindung wird durch die Verfahren gemäß den Ansprüchen 1 und 17 gelöst.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Weitere Ausführungsformen der vorliegenden Erfindung sind den angefügten Patentansprüchen definiert und gehen aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird; es zeigen:
  • 1a bis 1c schematisch Querschnittsansichten einer Halbleiterstruktur mit einer dielektrischen Schicht mit kleinem ε, die gemäß einem konventionellen Prozessablauf strukturiert wird;
  • 2a bis 2c schematisch Querschnittsansichten während der Strukturierung einer dielektrischen Schicht mit einem dielektrischen Material mit kleinem ε gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung; und
  • 3 schematisch eine Abscheideanlage zur Plasma unterstützten chemischen Dampfabscheidung (PECVD), die zur Bildung einer Mehrfach-Deckschicht geeignet ist, wie dies in den 2a bis 2c beschrieben ist.
  • DETAILLIERTE BESCHREIBUNG
  • Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte es selbstverständlich sein, dass die folgende Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Erfindung auf die speziellen offenbarten anschaulichen Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Mit Bezug zu den 2a bis 2c und 3 werden nun weitere anschauliche Ausführungsformen der vorliegenden Erfindung beschrieben.
  • In 2a umfasst eine Halbleiterstruktur 200 ein Substrat 201 mit einer dielektrischen Schicht 202, die ein dielektrisches Material aufweist, etwa ein standardmäßiges Material, beispielsweise Siliziumdioxid, Siliziumnitrid und dergleichen, oder ein dielektrisches Material mit kleinem ε. Die dielektrische Schicht 202 kann ein Metallgebiet 203 aufweisen, über dem ein Graben oder eine Kontaktdurchführung zu bilden ist. Wie zuvor mit Bezug zu den 1a bis 1c angemerkt ist, kann auch in diesem Falle das Substrat 201 eine Vielzahl von Schaltungselementen aufweisen, von denen eines oder mehrere elektrisch mit dem Metallgebiet 203 verbunden sein können. Eine Ätzstopschicht 205 ist über der dielektrischen Schicht 202 und dem Metallgebiet 203 gebildet, wobei die Ätzstopschicht 205 aus einem beliebigen geeigneten Material gebildet sein kann, das eine hohe Ätzselektivität in Bezug auf eine darüber liegende dielektrische Schicht 206 besitzt, die im Wesentlichen ein dielektrisches Material mit kleinem ε aufweist. Zu geeigneten Materialien für die dielektrische Schicht 206 können wasserstoffenthaltendes Siliziumoxykarbid (SiCOH), poröses SiCOH, Silk, poröses Silk, HSQ, MSQ und der gleichen gehören. Ein Mehrfachschichtstapel 230 ist auf der dielektrischen Schicht 206 gebildet, wobei in einer Ausführungsform der Mehrschichtstapel 230 eine erste Schicht 231 aufweist, die im Wesentlichen aus Siliziumdioxid aufgebaut ist, eine zweite Schicht 232, die im Wesentlichen siliziumreiches Oxynitrid auf weist, und einer Schutzschicht 233 mit einem deutlich reduzierten Anteil an Stickstoffatomen aufweist. Der Mehrschichtstapel 230 wird auch als eine Schicht auf Siliziumdioxidbasis bezeichnet auf Grund des in dem Mehrschichtstapel vorhandenen Siliziumdioxids und auf Grund der Herstellungssequenz, die nachfolgend beschrieben wird.
  • Die erste Schicht, die zweite Schicht und die Schutzschicht 231, 232 und 233 des Mehrschichtstapels 230 besitzen entsprechende Dicken 234, 235 bzw. 236. Die optischen Eigenschaften des Mehrschichtstapels 230 sind durch die entsprechenden Dicken und die Zusammensetzung der einzelnen Schichten bestimmt. Insbesondere können die optischen Eigenschaften, etwa der Brechungsindex und der Extinktionskoeffizient der zweiten Schicht 232 eingestellt werden, indem die Menge des Siliziums und Stickstoffs, die darin enthalten sind, entsprechend gewählt werden. Eine Photolackmaske 208 wird auf dem Mehrschichtstapel 230 mit einer darin ausgebildeten Öffnung 210 vorgesehen. Die Abmessungen der Öffnung 210 entsprechen im Wesentlichen den Abmessungen eines Grabens oder einer Kontaktdurchführung, die in der dielektrischen Schicht 206 mit kleinem ε zu bilden ist.
  • Mit Bezug zu 2a sowie der 3 wird nunmehr ein Prozessablauf zur Herstellung der Halbleiterstruktur 200 gemäß anschaulicher Ausführungsformen beschrieben. Die dielektrische Schicht 202 und das Metallgebiet 203 können entsprechend wohl bekannter und wohl etablierter Prozesstechniken hergestellt werden, die von der Art der betrachteten Metallisierungsschicht abhängen. Wenn beispielsweise die dielektrische Schicht 202 und das Metallgebiet 203 Kontaktbereiche für darunter liegende Schaltungselemente, etwa Transistoren, repräsentieren sollen, kann die Herstellungssequenz Prozessschritte beinhalten, etwa das Abscheiden von Siliziumdioxid und Wolfram als ein Kontaktmetall, um damit die Schicht 202 und das Metallgebiet 203 zu verwirklichen. Wenn die dielektrische Schicht 202 eine dielektrische Schicht mit kleinem ε repräsentieren soll, können die entsprechenden Prozessschritte ähnliche Prozesse enthalten, wie sie nachfolgend unter Bezugnahme auf das Herstellen und Strukturieren der dielektrischen Schicht 206 beschrieben sind. Anschließend kann die Ätzstopschicht 205 beispielsweise durch Plasma verstärkte chemische Dampfabschneidung (PECVD) aus geeigneten Vorstufengasen abgeschieden werden.
  • 3 zeigt schematisch eine PECVD-Anlage 300 in vereinfachter Weise. Die Abscheideanlage 300 umfasst eine Prozesskammer 301 mit einer Plasmaanregungseinrichtung 302, die mit einer Leistungsquelle 303, etwa einem RF-Generator verbunden ist. Eine Quelle aus Vorstufengasen 304 ist mittels einer steuerbaren Ventilanordnung 305 mit der Prozesskammer 301 verbunden. Ein Auslass 306 ist mit einer geeigneten Einrichtung (nicht gezeigt) verbunden, die ausgebildet ist, Gase und Nebenprodukte aus der Prozesskammer 301 abzuführen und einen erforderlichen Druck innerhalb der Kammer 301 aufrecht zu erhalten. Ferner umfasst die Abscheideanlage 300 einen Substrathalter 307, der ausgebildet ist, ein Substrat, etwa das in 2a gezeigte Substrat 201 aufzunehmen, und in Position zu halten. Der Substrathalter 307 kann eine steuerbare Heizung 308 zur Beibehaltung der Temperatur des Substrats 201 innerhalb eines spezifizierten Bereiches aufweisen.
  • Nach dem Befestigen des Substrats 201 auf dem Substrathalter 307 wird eine Plasmaumgebung innerhalb der Prozesskammer 301 aufgebaut, indem der RF-Generator 203 aktiviert und geeignete Vorstufen- und Trägergase der Kammer 301 zugeführt werden. Wenn die Ätzstopschicht 205 im Wesentlichen aus Siliziumkarbid und/oder einer stickstoffangereicherten Siliziumkarbidschicht aufgebaut ist, können entsprechende Vorstufengase, etwa 3MS (Trimethylsilan) und Ammoniak zugeführt werden.
  • Anschließend wird die dielektrische Schicht 206 mit kleinem ε beispielsweise durch PECVD aus geeigneten Vorstufengasen gebildet, wobei eine Abscheideanlage, wie sie in 3 beispielhaft gezeigt ist, verwendet wird. Beispielsweise können dielektrische Materialien mit kleinem ε auf Siliziumbasis aus 3MS gemäß gut bekannter Prozessrezepte abgeschieden werden. In anderen Ausführungsformen kann die dielektrische Schicht 206 durch Aufschleuder-Verfahren hergestellt werden, um beispielsweise eine Schicht aus MSQ oder HSQ (Wasserstoffsisquioxan) zu bilden. Es sollte beachtet werden, dass die vorliegende Erfindung nicht durch die Art des Material mit kleinem ε eingeschränkt ist und dass diese in Verbindung mit einer beliebigen. Art eines Materials mit kleinem ε angewendet werden kann, unabhängig von der Weise, in der die Schicht 206 hergestellt wird. Anschließend kann das Substrat 201 in eine Abscheideanlage, etwa die Anlage 300, eingeführt werden oder kann in der Prozesskammer 301 gehalten werden, wenn die dielektrische Schicht 206 mit kleinem ε mittels PECVD abgeschieden wurde. In einer speziellen Ausführungsform wird die erste Schicht 231, die im Wesentlichen Siliziumdioxid aufweist, aus Silan und Stickstoffoxid (N2O) gebildet. Während der Abscheidung des Siliziumsdioxids wird der Druck in der Kammer 301 in einem Bereich von ungefähr 2 bis 4 Torr in einem Verhältnis von Silan zu Stickstoffoxid in einem Bereich von ungefähr 1/45:1/55 gehalten. Dabei kann die Durchflussrate des Stickstoffoxids auf ungefähr 3500–4500 sccm und. die Durchflussrate von Silan auf ungefähr 60–100 sccm eingestellt werden. Die der Plasmaanregungseinrichtung 302 zugeführt RF-Leistung kann in einem Bereich von ungefähr 150–450 Watt gehalten werden, wobei die Temperatur des Substrats 201 in einem Bereich von ungefähr 350 bis 450°C gehalten wird. Mit den zuvor spezifizierten Parameterbereichen kann eine Abscheiderate von ungefähr 2.5–4 nm/Sekunde, was im Weiteren als Prozess der geringen Abscheiderate bezeichnet wird, erreicht werden. Da die Abscheiderate im Voraus mit ausreichender Genauigkeit bekannt ist, beispielsweise durch Ausführen eines oder mehrerer Testdurchläufe, kann die Dicke 234 der Schicht 231 durch Einstellen der Abscheidezeit gesteuert werden. In anderen Ausführungsformen kann die Dicke 234 durch eine in-situ Messung mittels eines geeigneten Messinstruments (nicht gezeigt), etwa einem Ellipsometer, das optisch an die Prozesskammer 301 angekoppelt ist, gesteuert werden.
  • In einer weiteren anschaulichen Ausführungsform, die als ein Prozess mit hoher Abscheiderate bezeichnet wird, kann eine höhere Abscheiderate durch die folgenden Prozessparameter erreicht werden. Die Silandurchflussrate wird auf ungefähr 100 bis 400 sccm, das Silan-Stickstoffoxid (N2O) Verhältnis im Bereich von ungefähr 1/10 bis 1/20 eingestellt, wobei die verbleibenden Parameter auf die Werte eingestellt werden können, die in dem vorhergehenden Prozess mit geringer Abscheiderate spezifiziert sind. Mit dieser Parametereinstellung wird eine Abscheiderate von ungefähr 10–30 nm/Sekunde erreicht. Vor der Herstellung der zweiten Schicht 232 kann ein Pumpschritt ausgeführt werden, um Gasreste und Nebenprodukte des vorhergehenden Abscheideprozesses zu entfernen. Daher wird der Druck auf einen Bereich von ungefähr 4 bis 8 Torr eingestellt, während Stickstoff als Trägergas mit einer Durchflussrate von ungefähr 7000 bis 9000 sccm zugeführt wird. Ferner wird das Silan-Stickstoffoxid-Verhältnis auf ungefähr 2–3 erhöht, wobei eine typische Durchflussrate für Silan im Bereich von 400–600 sccm und jene für Stickstoffoxid entsprechend eingestellt wird. Mit einer RF-Leistung im Bereich von ungefähr 200–600 Watt, wobei die Substrattemperatur im Wesentlichen im gleichen Bereich wie in dem vorhergehenden Abscheideschritt gehalten wird, wird eine Abscheiderate von ungefähr 8 bis 12 nm/Sekunde erreicht. Wie zuvor dargelegt ist, können die optischen Eigenschaften des Mehrschichtstapels 230 durch Einstellen der entsprechenden Dicken der einzelnen Schichten und insbesondere durch Variieren des Silizium- und Stickstoffgehalts in der zweiten Schicht 232 justiert werden. Für ein Silan:Stickstoffoxid(N2O)-Verhältnis in dem zuvor spezifizierten Bereich kann der Brechungsindex der zweiten Schicht 232 auf ca. 2.20 bis 2.60 und der Extinktionskoeffizient auf ungefähr 0.80 bis 0.90 für eine Belichtungswellenlänge von 248 nm eingestellt werden. Im Gegensatz dazu zeigt die erste Schicht 231, die im Wesentlichen Siliziumdioxid aufweist, relativ gleichförmige optische Eigenschaften mit einem Brechungsindex im Bereich von ungefähr 1.40 bis 1.47 bei 673 nm mit lediglich geringen Variationen. Somit kann für eine erforderliche Dicke der ersten Schicht 231, die entsprechend den Anforderungen eines nachfolgend ausgeführten CMP-Prozesses ausgewählt ist, die antireflektierende Eigenschaft des Mehrschichtstapels 230 dann geeignet eingestellt werden, indem die optischen Eigenschaften und/oder die Dicke der zweiten Schicht 232 gesteuert wird. In einigen anschaulichen Ausführungsformen wird die Dicke 234 der ersten Schicht 231 in einem Bereich von ungefähr 20 bis 120 nm eingestellt, wobei der Prozess mit geringer Abscheiderate für einen Bereich von ungefähr 20 bis 50 nm und der Prozess mit hoher Abscheiderate für den Bereich von ungefähr 50 bis 120 nm angewendet werden kann, wohingegen die Dicke 235 der zweiten Schicht 232 auf einen Bereich von ungefähr 30 bis 90 nm eingestellt wird.
  • In einer speziellen Ausführungsform ist die Schutzschicht 233 auf der zweiten Schicht 232 mit einer deutlich reduzierten Konzentration an Stickstoff, insbesondere an einer Oberfläche 237 davon, gebildet, die mit einer darauf ausgebildeten Photolackschicht in Kontakt ist. Der reduzierte Stickstoffgehalt in der Schutzschicht 233 und insbesondere an der Oberfläche 237 verringert deutlich eine Wechselwirkung eines Photolacks mit Stickstoff oder verhindert diese fasst vollständig, wodurch ansonsten Photolackreste nach der Entwicklung des Photolacks gebildet werden können.
  • Die Schutzschicht 233 kann durch eine Plasmabehandlung in einer Stickstoffoxid-(N2O)-Umgebung bei einem Druck von ungefähr 3.0 bis 5.0 Torr bei einer Temperatur von ungefähr 350 bis 450°C unter Anwendung einer RF-Leistung von ungefähr 50 bis 200 Watt, wodurch eine Durchflussrate des Stickstoffoxids (N2O) auf ungefähr 250 bis 600 sccm festgelegt ist, gebildet werden. Mit der oben spezifizierten Parametereinstellung wird eine Dicke 236 der Schutzschicht 233 in einem Bereich von ungefähr 1 bis 4 nm erreicht, wobei insbesondere an der Oberfläche 237 ein wesentlicher Anteil der Silizium-Stickstoff-Bindungen durch eine Silizium-Sauerstoff-Bindung ersetzt wird. Die Herstellung der Schutzschicht 233 kann unmittelbar nach dem Abscheiden der zweiten Schicht 232 ausgeführt werden.
  • Anschließend wird eine Schicht aus Photolack auf dem Mehrschichtstapel 230 gebildet, wobei eine Schichtdicke des Photolacks sowie die Art und dessen Zusammensetzung entsprechend den Photolithographieerfordernissen ausgewählt sind. Wie zuvor dargelegt ist, werden die optischen Eigenschaften, etwa der Brechungsindex und der Extinktionskoeffizient sowie die einzelnen Dicken 234, 235 und 236 des Mehrschichtstapels an den verwendeten Photolack so angepasst, um eine minimale Variation kritischer Abmessungen zu erreichen. Danach wird die Photolackschicht belichtet und entwickelt, um die Öffnung 210 zu bilden, wobei während der Belichtung eine Rückreflexion von Licht in Gebieten des Photolacks, die benachbart zu der Öffnung 210 liegen, minimiert ist. Auf diese Weise können Lackrückstände in der Öffnung 210, die auch als Fußbildung und Ausfächerung bezeichnet werden, reduziert oder sogar vollständig vermieden werden.
  • 2b zeigt die Halbleiterstruktur 200 schematisch mit einer Öffnung 213, die in dem Mehrschichtstapel 230, der dielektrischen Schicht 206 mit kleinem ε und der Ätzstopschicht 205 gebildet ist. Eine Barrierenschicht 211 ist über dem Mehrschichtstapel 230 und innerhalb der Öffnung 213 gebildet, und eine Metallschicht 212, die beispielsweise Kupfer aufweist, ist über der Struktur 200 so gebildet, um die Öffnung 213 im Wesentlichen vollständig zu füllen.
  • Die Öffnung 213 kann in einer Sequenz aus anisotropen Ätzprozessen hergestellt werden, die ähnlich sind zu jenen, die in 1b beschrieben sind, und die Barrierenschicht 211, die zwei oder mehrere Teilschichten aufweisen, die beispielsweise Tantal/Tantalnitridschichten enthalten, wird anschließend durch Sputter-Abscheidung aufgebracht. Anschließend wird eine dünne Saatschicht (nicht gezeigt) durch Sputter-Abscheidung gebildet und Volumenmetall wird mittels eines gut bekannten elektrochemischen Abscheideverfahrens abgeschieden.
  • Anschließend wird das überschüssige Metall der Schicht 212 mittels chemisch-mechanischen Polierens entfernt, wobei zusätzlich die Barrierenschicht 211 außerhalb der Öffnung 213 ebenso abgetragen wird. Während des CMP kann der Mehrschichtstapel 230 ebenso teilweise entfernt werden, wobei die erste Schicht 231, die im Wesentlichen Siliziumdioxid aufweist, zuverlässig das darunter liegende dielektrische Material mit kleinem ε mit reduzierter mechanischer Stabilität schützt. In einer anschaulichen Ausführungsform werden die Schutzschicht 233 und die zweite Schicht 232 im Wesentlichen vollständig entfernt. Folglich ist die gesamte dielektrische Konstante des zuletzt erhaltenen Innerschicht-Dielektrikums im Wesentlichen durch die dielektrische Schicht 206 mit kleinem ε bestimmt, da die zweite Schicht 232, die eine große Menge an Stickstoff aufweist und damit eine hohe dielektrische Konstante zeigt, entfernt ist. Ferner kann ein Teil der ersten Schicht 231 ebenso entfernt werden, um die gesamte dielektrische Konstante weiter zu minimieren. Da die erste Schicht 231 eine relativ geringe Abtragsrate während des Kupfer-CMPs zeigt, ist das darunter liegende dielektrische Material mit kleinem ε der Schicht 206 zuverlässig geschützt, selbst wenn geringfügige Prozessvariationen während des CMPs auftreten. Folglich wird ein ungewünschtes Abtragen des dielektrischen Materials mit kleinem ε im Wesentlichen vermieden und somit werden Variationen bei den Abmessungen der metallgefüllten Öffnungen 213 und damit Variationen in deren Widerstandswert ebenso deutlich reduziert.
  • 2c zeigt die Halbleiterstruktur 200 schematisch nach Abschluss des zuvor beschriebenen CMP-Prozesses. Eine Siliziumdioxidschicht mit verringerter Dicke, die durch 231a bezeichnet ist, ist noch über der dielektrischen Schicht 206 mit kleinem ε gebildet, so dass durch das CMP hervorgerufene Schäden in der Schicht 206 minimiert sind. In einer Ausführungsform kann die Dicke der Schicht 231a auf 20 nm oder weniger reduziert werden, um ein erforderliche niedrige gesamte Dielektrizitätskonstante des Innerschicht-Dielektrikums zu erreichen.
  • Es sollte beachtet werden, dass in den oben angeführten Ausführungsformen eine Einzel-Damaszener-Prozesstechnik beschrieben ist, wobei die vorliegende Erfindung ebenso auf ein beliebiges Prozessschema der Damaszener-Technik anwendbar ist, etwa auf duale Damaszener-Verfahren und dergleichen.
  • Es gilt also, dass gemäß der vorliegenden Erfindung ein Mehrschichtstapel zum Strukturieren eines Dielektrikums mit kleinem ε vorgesehen wird, wobei der Mehrschichtstapel vorzugsweise in-situ durch ein relativ kostengünstiges Plasma verstärktes Abscheideverfahren gebildet werden kann, das einen hohen Durchsatz ermöglicht, – beispielsweise können 80 Substrate oder mehr pro Stunde verarbeitet werden – wobei das dielektrische Material mit kleinem ε wirksam während des CMP zum Entfernen überschüssigen Metalls geschützt ist und wobei gleichzeitig eine effiziente antireflektierende Wirkung erreicht wird, wodurch es möglich ist, das dielektrische Material mit kleinem ε im Wesentlichen ohne das Erzeugen von „Fußbildungs- und Ausfächerungs-”-Effekten zu strukturieren. Auf Grund des wirksamen Schutzes der dielektrischen Schicht mit kleinem ε während des CMP, können Schäden an dem Material, insbesondere in Gebieten mit eng gepackten Strukturen, deutlich reduziert werden. Daher sind Schwankungen beim Schichtwiderstand der entsprechenden Metallstrukturen ebenso deutlich reduziert. Durch Dünnen des Mehrschichtstapels während des CMPs kann dennoch der effektive Wert der Dielektrizitätskonstanten äußerst klein gehalten werden, so dass nachteilige Auswirkungen auf die parasitären RC-Zeitkonstanten im Wesentlichen vermieden werden.

Claims (28)

  1. Verfahren zur Herstellung einer Halbleiterstruktur durch Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε mit den Schritten: Bilden eines Mehrschichtstapels in-situ über einer dielektrischen Schicht, die ein dielektrisches Material mit kleinem ε aufweist, durch Bilden einer Schicht aus Siliziumdioxid über der dielektrischen Schicht mit kleinem ε; und Bilden einer siliziumreichen Oxynitridschicht während der Bildung der Schicht aus Siliziumdioxid, wobei mindestens eine optische Eigenschaft des Mehrschichtstapels so eingestellt wird, dass eine Rückreflexion von der dielektrischen Schicht mit kleinem ε reduziert ist.
  2. Verfahren nach Anspruch 1, wobei die Siliziumdioxidschicht aus Silan abgeschieden wird.
  3. Verfahren nach Anspruch 1, wobei die siliziumreiche Oxynitridschicht durch Variieren einer Abscheidatmosphäre nach der Herstellung der Siliziumdioxidschicht gebildet wird.
  4. Verfahren nach Anspruch 1, wobei eine Dicke des auf der dielektrischen Schicht gebildeten Siliziumdioxids im Bereich von ungefähr 20 bis 120 nm liegt.
  5. Verfahren nach Anspruch 1, wobei eine Dicke der siliziumreichen Oxynitridschicht im Bereich von ungefähr 30 bis 80 nm liegt.
  6. Verfahren nach Anspruch 1, wobei die optischen Eigenschaften eingestellt werden, indem der Siliziumanteil der siliziumreichen Oxynitridschicht variiert wird.
  7. Verfahren nach Anspruch 6, wobei der Siliziumanteil variiert wird, indem ein Silan-Stickstoffoxid-(N2O)Verhältnis in einer Abscheidatmosphäre eingestellt wird.
  8. Verfahren nach Anspruch 1, das ferner Bilden einer stickstoffverarmten Schutzschicht in einem Oberflächengebiet der siliziumreichen Oxynitridschicht umfasst.
  9. Verfahren nach Anspruch 8, wobei die Schutzschicht gebildet wird, indem diese einer Stickstoffoxid-(N2O)-Plasmaumgebung ausgesetzt wird.
  10. Verfahren nach Anspruch 9, wobei die Stickstoffoxid-(N2O)-Plasmaumgebung geschaffen wird, indem eine Silanzufuhr, die während des Abscheidens der siliziumreichen Oxynitridschicht verwendet wird, unterbrochen wird.
  11. Verfahren nach Anspruch 8, wobei eine Dicke der Schutzschicht im Bereich von ungefähr 1 bis 5 nm liegt.
  12. Verfahren nach Anspruch 1, das ferner Bilden einer Lackmaske über der siliziumreichen Oxynitridschicht umfasst.
  13. Verfahren nach Anspruch 12, das ferner Strukturieren der dielektrischen Schicht mit der Lackmaske zur Bildung einer Vertiefung in der dielektrischen Schicht umfasst.
  14. Verfahren nach Anspruch 13, das ferner Füllen der Vertiefung mit einem Metall umfasst.
  15. Verfahren nach Anspruch 14, das ferner Entfernen von überschüssigen Metall- und der siliziumreichen Oxynitridschicht durch chemisch-mechanisches Polieren umfasst.
  16. Verfahren nach Anspruch 15, das ferner umfasst: Reduzieren einer Dicke der siliziumdioxidbasierten Schicht während des chemisch-mechanischen Polierens auf eine Dicke von ungefähr 5–20 nm.
  17. Verfahren zur Herstellung eines Metallgebiets in einem dielektrischen Material mit kleinem ε, wobei das Verfahren umfasst: Abscheiden einer Mehrfachschicht auf Siliziumdioxidbasis zumindest teilweise aus Silan in einer Plasmaumgebung über einer Schicht mit dem dielektrischen Material mit kleinem ε, während optische Eigenschaften der Mehrfachschicht auf Siliziumdioxidbasis gesteuert werden, wobei eine siliziumreiche Oxynitridschicht in der Mehrfachschicht gebildet wird, indem eine Abscheideatmosphäre während der Herstellung der Mehrfachschicht auf Siliziumdioxidbasis variiert wird; Bilden eines vertieften Bereichs durch Photolithographie, wobei die Mehrfachschicht eine Rückreflexion für eine spezifizierte Wellenlänge reduziert; Füllen des vertieften Bereichs mit einem Metall; und Entfernen überschüssigen Metalls und eines Teils der Mehrfachschicht durch chemisch-mechanisches Polieren.
  18. Verfahren nach Anspruch 17, wobei die Mehrfachschicht eine Siliziumdioxidschicht aufweist, die auf der dielektrischen Schicht mit einer Dicke im Bereich von ungefähr 20–120 nm gebildet ist.
  19. Verfahren nach Anspruch 17, wobei eine Dicke der siliziumreichen Oxynitridschicht im Bereich von ungefähr 30 bis 90 nm liegt.
  20. Verfahren nach Anspruch 17, wobei die optischen Eigenschaften eingestellt werden, indem der Siliziumgehalt in der siliziumreichen Oxynitridschicht variiert wird.
  21. Verfahren nach Anspruch 20, wobei der Siliziumgehalt variiert wird, indem ein Silan/Stickstoffoxid-(N2O)Verhältnis in einer Abscheideatmosphäre eingestellt wird.
  22. Verfahren nach Anspruch 17, das ferner Bilden einer stickstoffverarmten Schutzschicht in einem Oberflächengebiet der Mehrfachschicht auf Siliziumdioxidbasis umfasst.
  23. Verfahren nach Anspruch 22, wobei die Schutzschicht gebildet wird, indem diese einer Stickstoffoxid-(N2O)-Plasmaumgebung ausgesetzt wird.
  24. Verfahren nach Anspruch 23, wobei die Stickstoffoxid-(N2O)-Plasmaumgebung geschaffen wird, indem eine Silan-Zufuhr, die während des Abscheidens einer siliziumreiche Oxynitridschicht angewendet wird, unterbrochen wird.
  25. Verfahren nach Anspruch 22, wobei eine Dicke der Schutzschicht im Bereich von ungefähr 1 bis 5 nm liegt.
  26. Verfahren nach Anspruch 23, wobei zumindest die Schutzschicht und die siliziumreiche Oxynitridschicht durch chemisch-mechanisches Polieren entfernt werden.
  27. Verfahren nach Anspruch 26, das ferner umfasst: Reduzieren einer Dicke der Mehrfachschicht auf Siliziumdioxidbasis während des chemisch-mechanischen Polierens auf eine Dicke von ungefähr 5 bis 20 nm.
  28. Verfahren nach Anspruch 27, wobei die Mehrfachschicht auf Siliziumdioxidbasis mit der reduzierten Dicke aus Siliziumdioxid aufgebaut ist.
DE10260619A 2002-12-23 2002-12-23 Verfahren zur Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε Expired - Fee Related DE10260619B4 (de)

Priority Applications (9)

Application Number Priority Date Filing Date Title
DE10260619A DE10260619B4 (de) 2002-12-23 2002-12-23 Verfahren zur Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε
US10/463,910 US7030044B2 (en) 2002-12-23 2003-06-16 Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric
JP2005508530A JP2006525651A (ja) 2002-12-23 2003-11-06 low−k誘電体の最上部に反射防止特性を持つキャップ層の形成法
EP03781760A EP1576664A1 (de) 2002-12-23 2003-11-06 Herstellung einer anti-reflektionsschicht auf eine low-k dielektrische schicht
CNB2003801073194A CN100437971C (zh) 2002-12-23 2003-11-06 在低-k电介质上形成具有消反射特性的盖层的方法
KR1020057011804A KR101127240B1 (ko) 2002-12-23 2003-11-06 로우-k 유전체의 상부에 반사 방지 특성을 갖는 캡층의형성 방법
AU2003287518A AU2003287518A1 (en) 2002-12-23 2003-11-06 Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric
PCT/US2003/035272 WO2004061949A1 (en) 2002-12-23 2003-11-06 Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric
TW092133968A TWI349307B (en) 2002-12-23 2003-12-03 Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE10260619A DE10260619B4 (de) 2002-12-23 2002-12-23 Verfahren zur Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε

Publications (2)

Publication Number Publication Date
DE10260619A1 DE10260619A1 (de) 2004-07-15
DE10260619B4 true DE10260619B4 (de) 2011-02-24

Family

ID=32519313

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10260619A Expired - Fee Related DE10260619B4 (de) 2002-12-23 2002-12-23 Verfahren zur Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε

Country Status (4)

Country Link
US (1) US7030044B2 (de)
CN (1) CN100437971C (de)
DE (1) DE10260619B4 (de)
TW (1) TWI349307B (de)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006046364A1 (de) 2006-09-29 2008-04-03 Advanced Micro Devices, Inc., Sunnyvale ARC-Schicht mit geringerer Neigung zum Ablösen und Verfahren zur Herstellung derselben
KR100790452B1 (ko) * 2006-12-28 2008-01-03 주식회사 하이닉스반도체 다마신 공정을 이용한 반도체 소자의 다층 금속배선형성방법
US7704885B2 (en) * 2007-05-24 2010-04-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
US20080299747A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Method for forming amorphouse silicon film by plasma cvd
US7709370B2 (en) * 2007-09-20 2010-05-04 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US8084862B2 (en) * 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US8618663B2 (en) * 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US8048813B2 (en) * 2008-12-01 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of reducing delamination in the fabrication of small-pitch devices
JP2010171064A (ja) * 2009-01-20 2010-08-05 Panasonic Corp 半導体装置及びその製造方法
US8575019B2 (en) * 2010-09-30 2013-11-05 Institute of Microelectronics, Chinese Academy of Sciences Metal interconnection structure and method for forming metal interlayer via and metal interconnection line
US8629559B2 (en) 2012-02-09 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Stress reduction apparatus with an inverted cup-shaped layer
KR102480116B1 (ko) 2013-11-08 2022-12-23 르네사스 일렉트로닉스 가부시키가이샤 반도체 장치 및 그 제조 방법
CN104952697B (zh) * 2014-03-25 2018-03-27 中芯国际集成电路制造(上海)有限公司 一种mim结构的制备方法
US9627215B1 (en) * 2015-09-25 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for interconnection
US20190157213A1 (en) 2017-11-20 2019-05-23 Globalfoundries Inc. Semiconductor structure with substantially straight contact profile

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6294457B1 (en) * 2001-02-01 2001-09-25 Taiwan Semiconductor Manufacturing Company Optimized IMD scheme for using organic low-k material as IMD layer
US20010027083A1 (en) * 1999-07-13 2001-10-04 Janos Farkas Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
US20020039836A1 (en) * 1999-07-13 2002-04-04 Suresh Venkatesan Method for forming a dual inlaid copper interconnect structure
US6475925B1 (en) * 2000-04-10 2002-11-05 Motorola, Inc. Reduced water adsorption for interlayer dielectric

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6156640A (en) * 1998-07-14 2000-12-05 United Microelectronics Corp. Damascene process with anti-reflection coating
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6100559A (en) * 1998-08-14 2000-08-08 Advanced Micro Devices, Inc. Multipurpose graded silicon oxynitride cap layer
US6294459B1 (en) * 1998-09-03 2001-09-25 Micron Technology, Inc. Anti-reflective coatings and methods for forming and using same
US6255717B1 (en) 1998-11-25 2001-07-03 Advanced Micro Devices, Inc. Shallow trench isolation using antireflection layer
KR100300628B1 (ko) * 1999-02-08 2001-09-26 윤종용 실리콘 옥시나이트라이드 보호층을 갖는 반도체 장치 및 그 제조 방법
US6291363B1 (en) * 1999-03-01 2001-09-18 Micron Technology, Inc. Surface treatment of DARC films to reduce defects in subsequent cap layers
US6214721B1 (en) * 1999-05-27 2001-04-10 National Semiconductor Corp. Method and structure for suppressing light reflections during photolithography exposure steps in processing integrated circuit structures
US6235653B1 (en) * 1999-06-04 2001-05-22 Taiwan Semiconductor Manufacturing Company Ar-based si-rich oxynitride film for dual damascene and/or contact etch stop layer
US20020024139A1 (en) * 2000-02-04 2002-02-28 Chan Simon S. Combined capping layer and ARC for CU interconnects
US6670695B1 (en) * 2000-02-29 2003-12-30 United Microelectronics Corp. Method of manufacturing anti-reflection layer
US6737747B2 (en) * 2002-01-15 2004-05-18 International Business Machines Corporation Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US6664177B1 (en) * 2002-02-01 2003-12-16 Taiwan Semiconductor Manufacturing Company Dielectric ARC scheme to improve photo window in dual damascene process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010027083A1 (en) * 1999-07-13 2001-10-04 Janos Farkas Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
US20020039836A1 (en) * 1999-07-13 2002-04-04 Suresh Venkatesan Method for forming a dual inlaid copper interconnect structure
US6475925B1 (en) * 2000-04-10 2002-11-05 Motorola, Inc. Reduced water adsorption for interlayer dielectric
US6294457B1 (en) * 2001-02-01 2001-09-25 Taiwan Semiconductor Manufacturing Company Optimized IMD scheme for using organic low-k material as IMD layer

Also Published As

Publication number Publication date
US20040121621A1 (en) 2004-06-24
US7030044B2 (en) 2006-04-18
CN1729564A (zh) 2006-02-01
TW200416881A (en) 2004-09-01
DE10260619A1 (de) 2004-07-15
CN100437971C (zh) 2008-11-26
TWI349307B (en) 2011-09-21

Similar Documents

Publication Publication Date Title
DE102012111574B4 (de) Verfahren zum Ausbilden einer leitfähigen Dual-Damaszener-Kontaktstruktur undHerstellungsverfahren für eine Halbleitervorrichtung
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102005020060B4 (de) Verfahren zum Strukturieren eines Dielektrikums mit kleinem ε unter Anwendung einer Hartmaske
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102014111780B4 (de) Verfahren zum Ausbilden von leitenden Strukturen in Vertiefungen
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE10260619B4 (de) Verfahren zur Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε
DE10319136B4 (de) Verfahren zur Herstellung einer Metallisierungsschicht mit einer mit Stickstoff angereicherten Barrierenschicht mit kleinem ε
DE102005057075B4 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht und Verfahren zu dessen Herstellung
DE102004042169B4 (de) Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
DE102004037089A1 (de) Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
DE69838202T2 (de) Endpunktfühlung und Apparat
DE102008016424A1 (de) Verringern der Strukturierungsschwankung von Gräben in Metallisierungsschichtstapeln mit einem Material mit kleinem ε durch Verringerung der Kontamination der Grabendielektrika
DE19844451A1 (de) Sperrschicht und Herstellungsverfahren dafür
DE102008045035B4 (de) Verbessern der Strukturintegrität von Dielektrika mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen unter Anwendung einer Risse verhindernden Materialschicht
DE10224167B4 (de) Verfahren zur Herstellung einer Kupferleitung mit erhöhter Widerstandsfähigkeit gegen Elektromigration in einem Halbleiterelement
DE102006056626A1 (de) Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
DE112018004421T5 (de) Damaszener-dünnschichtwiderstand (tfr) in polymetall-dielektrikum und verfahren zur herstellung
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE102008054069B4 (de) Reduzierte Scheibendurchbiegung in Halbleitern durch Verspannungstechniken im Metallisierungssystem
DE102004001853B3 (de) Verfahren zum Herstellen von Kontaktierungsanschlüssen
DE102008054068A1 (de) Veringern von Metallhohlräumen in einem metallischen Schichtstapel eines Halbleiterbauelements durch Vorsehen einer dielektrischen Barrierenschicht
DE102008044988A1 (de) Verwenden einer Deckschicht in Metallisierungssystemen von Halbleiterbauelementen als CMP- und Ätzstoppschicht
DE102005057061B3 (de) Verfahren zum Entfernen einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfer-metallisierungsschicht
DE102004042168B4 (de) Halbleiterelement mit einem Metallisierungsschichtstapel mit kleinem ε mit erhöhter Widerstandsfähigkeit gegen Elektromigration und Verfahren zum Bilden des Halbleiterelements

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8127 New person/name/address of the applicant

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

8128 New person/name/address of the agent

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

R020 Patent grant now final

Effective date: 20110619

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20130702