JP2006525651A - low−k誘電体の最上部に反射防止特性を持つキャップ層の形成法 - Google Patents

low−k誘電体の最上部に反射防止特性を持つキャップ層の形成法 Download PDF

Info

Publication number
JP2006525651A
JP2006525651A JP2005508530A JP2005508530A JP2006525651A JP 2006525651 A JP2006525651 A JP 2006525651A JP 2005508530 A JP2005508530 A JP 2005508530A JP 2005508530 A JP2005508530 A JP 2005508530A JP 2006525651 A JP2006525651 A JP 2006525651A
Authority
JP
Japan
Prior art keywords
layer
silicon
low
dielectric
silicon dioxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005508530A
Other languages
English (en)
Other versions
JP2006525651A5 (ja
Inventor
リュルケ ハルトムート
ホハゲ ヨーク
トマス ベルネール
マイヤーズベルガー フランク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from DE10260619A external-priority patent/DE10260619B4/de
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2006525651A publication Critical patent/JP2006525651A/ja
Publication of JP2006525651A5 publication Critical patent/JP2006525651A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

low-k誘電体層(206)上にマルチ層スタック(230)を形成する方法が開示されている。マルチ層スタック(230)は化学機械的研磨プロセス中に、下位のlow-k誘電体材料の保護を強化し、また反射防止効果を高める。マルチ層スタック(230)は二酸化シリコンベースの副層(231、232、233)を有し、該副層は効率性が高く、安価なプラズマ支援蒸着法により形成され、光学特性は蒸着中にシランと窒素酸化物の比率を変えることにより調整されうる。

Description

概して本発明は、集積回路の形成に関し、より詳細には、デバイス性能を高めるために誘電率の低い誘電体材料に埋め込まれた金属を有する金属化層(metallization layer)の形成に関する。
最新の集積回路では、電界効果トランジスタのチャネル長などの最小加工寸法はディープサブミクロンの範囲に達しており、これにより、集積回路の性能は速度や電力消費の点から見れば着実に向上している。個々の回路素子の寸法が著しく縮小し、これにより、例えばトランジスタ素子のスイッチング速度が向上しているが、個々の回路素子を電気的に接続する相互接続配線用に利用できるフロアスペースも縮小されてしまう。従って、利用可能なフロアスペースの縮小分とチップ毎に与えられる回路素子数の増加分を埋め合わせるために、これらの相互接続配線の寸法が縮小される必要がある。最小寸法が約0.35μmの集積回路では、デバイス性能の限定要因は、トランジスタ素子のスイッチング速度により生じる信号伝搬遅延である。現在のところ、これらのトランジスタ素子のチャネル長は0.18μmあるいはそれ未満に到達しているが、信号伝搬の遅延は電界効果トランジスタにより定められるのではなく、回路の包装密度が高まっているために、近接の相互接続配線により制限されることが判明している。その理由は、配線間のキャパシタンスが増加し、更に、配線の断面積が縮小していることから配線の導電率が低下していることによる。配線間のキャパシタンスの増加による寄生RC時定数の増加、及び高い配線抵抗は、金属配線層の形成するために新しい種類の材料を導入しなくては容易に埋め合わせをすることができないものとなっている。
従来、金属配線層は、一般の金属としてアルミニウムと、二酸化シリコン及び/又は窒化シリコンなどを含む誘電体層スタックにより形成されている。アルミニウムは高電流密度で著しいエレクトロマイグレーション示すので、電気抵抗が著しく低く、熱導電性の高い、またエレクトロマイグレーションに対して高抵抗の銅をアルミニウムの代りに用いるようになっている。銅を金属配線金属として用いることにより、デバイスの特性は大幅に向上するが、加工寸法が0.13μmあるいはそれ未満のデバイスに対しては更に、相互接続配線による信号伝搬遅延を効率的に低減するために、十分に確立され周知の誘電体材料、二酸化シリコン(k≒4.2)及び窒化シリコン(k>5)が、いわゆるlow-k誘電体材料に代えて用いる必要があることが分かっている。しかしながら、周知の、十分に確立されたアルミニウム/二酸化シリコン金属配線層から、low-k誘電体/銅金属配線層へ移行するには、処理すべき複数の問題がある。
例えば、銅は化学蒸着法などの十分に確立された蒸着法では、多量に、かつ効率的な手法で蒸着することはできない。更に、銅は異方性エッチプロセスでは効率的なパターニングはできないので、銅を含む金属配線層の形成には、いわゆるダマシン技術が採用される。一般に、ダマシン技術では、誘電体層が蒸着され、次にトレンチやビアとともにパターニングされる。このトレンチやビアは続いて電解めっきええまたは無電解めっき、などのめっき法により銅で埋められるものである。トレンチやビアを確実に埋めるためには一定量の“オーバーフィル”が必要とされ、また、そのオーバーフィルのために、余分な銅を後で除去することが必要となる。余分な銅を除去し、それにより付加的に金属配線層の表面を平坦化するために、化学的機械除去(CMP:chemical mechanical polishing)が実行可能な技術として証明されている。しかしながら、下位材料層に必要以上に影響を及ぼさずに、非常に高速な除去レートで基板表面から1つ以上の材料を除去するのことは、非常に複雑なタスクとなってしまう。
周知の二酸化シリコンではなく、low-k誘電材料が使用されると状況は更に複雑になる。その理由は、一般にlow-k誘電体材料の特性は、特に機械的安定性に関する場合は、二酸化シリコンの特性とは実質的に異なるからである。複数の誘電体材料では銅が容易に拡散するので、通常は1つ以上のバリア層が銅の蒸着前に形成され、またこれらのバリア層は電気的に絶縁された相互接続配線とビアを提供するために、銅と共に除去される必要がある。タンタルや窒化タンタルなどの一般のバリア材料は銅と比べると硬度が著しく高いので、少なくともCMPプロセスの最終ステップでは、非常に高速な除去レートが実現されるよう、対応したプロセスパラメータが選択される。しかしながらこれにより、下層の柔らかいlow-k誘電材料が危険にさらされる。個々のトレンチと配線を相互に確実に絶縁するためにはある程度除去を過剰に行うことが要求されるので、基板表面内で除去レートが異なる場合は特に、low-k誘電体層や銅が実際に除去されてしまうことが生じ得る。その後、断面積の変動により最終のトレンチやビアが不必要に抵抗変動するので、その分、プロセスマージンも広く設定することが必要となる。
low-k誘電体層のパターニングにおける更なる問題は、フォトリソグラフィ技術に関するものである。その理由はダマシン技術は特に、場合によっては反射率の高い銅領域を含むlow-k誘電体材料上に正確に刻まれたトレンチ及びビアの形成を要求するためである。これにより、ARC層上に形成されるフォトレジスト層への反射光を最小に抑えるために、通常は反射防止膜(ARC:anti-reflective coating)がlow-k誘電体材料に形成される。
図1a−1cを参照すると、low-k誘電体材料をパターニングする従来の一般のプロセス技術が説明されている。図1aでは、半導体構造100は第1誘電体層102を有す基板101を有しており、該第1誘電体層102には、複数の幅狭の金属領域103と1つの幅広の金属領域104が形成される。基板101は複数の回路素子(図示せず)を有することができ、その一部あるいは全てが1つ以上の金属領域103及び104と電気的に接続されうる。金属領域は、アルミニウム、銅、タンタル、チタニウム、タングステン、などのいずれの適切な金属を有しうる。第1誘電体層102は適切な絶縁材料を有しうる。最新の集積回路では、第1誘電体層102はlow-k誘電体材料を有しうる。エッチストップ層105は、第1誘電体層102及び金属領域103、104上に形成される。次いで実質的にlow-k誘電体材料を有す第2誘電体層106が形成され、その中に高導電性の相互接続配線及びビアが形成される。適切なlow-k材料は水素含有のシリコンオキシカーバイド(silicon oxycarbide:SiCOH)、又はSiLKなどの別のシリコン含有材料を含みうる。他の適切なlow-k材料はMSQ、HSQ、などである。反射防止膜層107は第2誘電体層106上に形成され、レジストマスク108は反射防止膜層107上に形成される。レジストマスク108は開口部109、110を有し、その寸法は第2誘電体層106に形成される配線やビア寸法に実質的に一致する。
図1aに示されているように、半導体構造100を形成する一般のプロセスは以下のプロセスを有する。第1誘電体層102及び金属領域103、104が中に形成された基板101の準備後、エッチストップ層105が化学蒸着法などにより形成される。第1誘電体層102と金属領域103、104は以下に説明しているように、実質的に同一のプロセスステップで形成されうる。一般に、エッチストップ層105は最終的に形成される絶縁層の総合的特徴を必要以上に落とすことがないようlow-k材料から形成される。適切な材料はシリコンカーバイド及び窒素ドープシリコンカーバイドである。総合的特徴を落とさないことがそれほどクリティカルでない場合は、エッチストップ層105は窒化シリコン及び誘電率が相対的に高い他の誘電体材料を有しうる。その後、使用されるlow-k材料の種類に応じて、最新の蒸着法により、又はスピンオン技術により第2誘電体層106が形成される。第2誘電体層106の形成法に関わらず、機械的特性は通常、二酸化シリコンなどの従来の誘電体材料の特性とは大いに異なる。low-k誘電体層106の形成後に反射防止膜107が形成され、その光学特性は、後続のフォトグラフィステップ中に所定の波長に対する反射光を最小にするように調整される。例えば、反射防止膜107は、シリコンリッチのオキシナイトライドを有し、その光学特性は、特定の屈指指数と吸光係数を達成するために、層107の蒸着中に特定レートの前駆ガスを与え、蒸着中に層107に混合されるシリコン量を制限することにより調整される。更に層107の厚みが制御され、レジストマスク108の形成に使用される下位材料層とフォトレジストに光学特性を最終的に一致させることができる。反射防止膜107を正確に適応させることが特に、高反射金属領域103、104にトレンチとビアを形成する間は重要である。次にフォトレジスト層が反射防止膜107上に形成される。フォトレジストの厚みや組成物は下の反射防止膜層107やフォトレジストを露光させるために使用される波長に併せて選択される。露光後、フォトレジストが現像され、開口部109及び110を含むレジストマスク108が形成される。
図1bに最新の製造ステージにおける半導体構造100を概略的に示す。開口部113は、金属領域103上のエッチストップ層105、第2誘電体層106、及び反射防止膜107に形成されている。また開口部114は、金属領域104上のエッチストップ層105、第2誘電体層106、及び反射防止膜107に形成されている。タンタル及び/又は窒化タンタルなどを有すバリア層111は、反射防止膜層107上に、また開口部113と114内に形成される。更に銅112が開口部113及び114に埋め込まれ、余分な銅は開口部113と114の外側にも配される。
図1aの構成を参照すると、異方性エッチプロセスが行われ、反射防止膜層107、low-k誘電体層106、及びエッチストップ層105に開口部113及び114が形成される。これらの層は非常に異なる特徴を持つために、異なるエッチパラメータが選択され、最終的に開口部113、114が形成される。特に、エッチストップ層105のエッチ速度はlow-k誘電体層(のエッチ速度)に比べて非常に遅いために、エッチストップ層105上の、及びエッチストップ層105におけるエッチプロセスが確実にストップされ、その後、別のエッチプロセスにより開始される。領域103、104の露出金属表面の洗浄などの、1回以上の洗浄ステップ後、バリア層111が層106の材料の種類に応じて、また開口部113、114に埋められる金属の種類に応じて最新のスパッタ蒸着により蒸着され、バリア層111の適切な組成物が選択される。埋め込み金属として銅を使用しているシリコンベースの層106では、多くの場合、タンタル/窒化タンタルの二重層(バイレイヤー)がバリア層111として使用される。その後、銅が金属として使用される場合は、銅シード層(図示せず)がバリア層111にスパッタ蒸着され、次にバルク銅が電気化学的技術により蒸着される。
図1cにlow-k誘電体層106と銅で埋め込まれたトレンチ113、114を有する、完成した金属配線層120を有す半導体構造100を示す。先に述べているように、図1bで示した層112の余分な銅がCMP法により除去される。一般にマルチステッププロセスが実施され、余分な銅が効率的に除去され、構造100の表面が平坦化される。余分な銅を除去する間に、トレンチ113及び114の外側のバリア層111が、近隣のトレンチを互いから電気的に絶縁するために除去される。更に、金属配線層120のlow-k特性を必要以上に落とさないように、一般に、誘電率値が比較的高い反射防止膜層107が除去される。バリア層111と反射防止膜層107の除去では、一定量の層106の誘電体材料と、トレンチ113、114の銅も除去される。過剰研磨度は構造の種類や基板表面上の位置により決定する。その理由は、除去レートは基板寸法などにより変動しうるからである。図1cでは、相対的に離間スペースが密なトレンチ113における除去レートは、孤立したトレンチ114近くの基板位置の除去レートよりも高速にできる。low-k誘電体層106の機械的安定性の低下により、121により示されているように、浸食により層厚に大幅な変化が生じ、このことが最終的に、トレンチ113の配線抵抗も同様に変動させることになる。先に述べているように、誘電率値が相対的に高いことから、反射防止膜107が最小限に除去された領域の寄生RC時定数を実質的に変動させうるので、反射防止膜層107が完全には除去されないことは必ずしも保証されているわけではない。
従って、CMPプロセス中に下位low-k誘電体層を保護しうる反射防止膜層107を形成する前に、特殊なキャップ層を提供することが提案されている。しかしながら対応の、付加的なキャップ層と反射防止膜の形成には、更なる複雑性と費用が発生する。
上述の問題点に鑑みて、low-k誘電体材料層をパターニングする改良された技術が必要とされている。
概して本発明は化学的機械研磨中にlow-k誘電体層を十分に保護するキャップ層の形成法に関し、更に本発明により、蒸着プロセスを必要以上に複雑にせずに、単一の蒸着チャンバー内のその光学特性に調整を施すことができる。
本発明の1実施形態によれば、方法はlow-k誘電体層上に二酸化シリコン層を形成することにより、low-k誘電体材料を含む誘電体層上にマルチ層スタック(multi-layer stack)を形成するステップを有する。更に、シリコンリッチのオキシナイトライド層が二酸化シリコンの形成中に形成され、これにより、low-k誘電体層からの反射光を低減することができるように、マルチ層スタックの少なくとも1つの光学特性が調整される。
本発明の更なる実施形態によれば、low-k誘電体材料に金属領域を形成する方法は、low-k誘電体材料を含む層の上に、プラズマ環境で二酸化シリコンベースのマルチ層を蒸着するステップを有する。フォトリソグラフィ技術により凹部あるいはリセス部が形成され、マルチ層により反射光が低減される。次いで、凹部が金属で埋め込まれる。最後に余分な金属とマルチ層の一部が化学的機械研磨により除去される。
本発明は添付の図面と共に以下の説明を参照することにより理解されることができ、同じ参照番号は同じ要素を表す。
本発明は、様々な改良を行い、また、他の形態で実施することができるが、ここに説明されている特定の実施例は、例示として示さたものであり、以下にその詳細を記載する。しかし当然のことながら、ここに示した特定の実施例は、本発明を開示されている特定の形態に限定するものではなく、むしろ本発明は添付の請求項によって規定されている発明の範疇に属する全ての改良、等価物、及び変形例をカバーするものである。
本発明の実施例を以下に記載する。簡素化のため、現実の実施品におけるすべての特徴を本明細書に記載することはしていない。当然のことながら、そのような現実の実施品の開発においては、開発者における特定の目標を達成するため、システム的制限やビジネス的制限との摺り合せなど、多くの特定の実施の決定がなされる。それらは各実施形態によって様々に変化するものである。更に、そのような開発努力は複雑で時間を消費するものであるのは当然のことであるが、それでもなお、この開示の恩恵を有する当業者にとっては通常作業の範疇に入るものである。
本発明を添付の図面を参照しながら説明する。半導体デバイスの様々な構造と注入領域が非常に正確で鋭い形状とプロフィルを有し各図面に描かれているが、当業者であれば実際にこれらの領域や構造が図面に示されているほど正確なものではないと認識できるであろう。加えて、図面に描かれている様々な特徴と注入領域の相対的な大きさは、製造されているデバイスの特徴や領域のサイズと比較すると誇張や縮小されている。しかしながら、添付の図面は本発明の実施例を説明・解説する目的で添付されているものである。本明細書で使用される用語や言い回しは関連技術において当業者たちによって理解される単語や言い回しと一貫した意味を持つものと理解、解釈される。本明細書において用語あるいは言い回しを一貫して使用していても、これらの用語や言い回しのいかなる特定の定義、すなわち、当業者により理解される通常の意味及び慣習的な意味からは異なる定義を意味するものではない。用語や言い回しを、特定の意味を有する範囲において用いる場合、つまり当業者により理解されているのとは異なる意味で用いる場合、本明細書においては、直接かつ明確にそのような言葉や言い回しの特定の定義を行う。
図2a−2c、及び図3を参照すると本発明の実施形態が説明されている。図2aでは、半導体構造200は誘電体材料(二酸化シリコン、窒化シリコンなどの標準材料)又はlow-k誘電体材料を有する誘電体層202を含む基板201を有している。誘電体層202は金属領域203を有しており、その上にトレンチ又はビアが形成される。図1a−1cに関して既に述べているように、基板201は複数の回路素子を有しており、その1つ以上が金属領域203と電気的に接続されうる。エッチストップ層205は誘電体層202及び金属領域203上に形成される。エッチストップ層205は実際にlow-k誘電体材料を有す上位誘電体層206に対して高いエッチ選択性を有する、いずれの適切な材料を有しうる。誘電体層206に適切な材料には、水素含有のシリコンオキシカーバイド(SiCOH)、ポーラスSiCOH、SiLK、ポーラスSiLK、HSQ、MSQなどを含みうる。マルチ層スタック230は誘電体層206上に形成される。1実施形態では、マルチ層スタック230は実質的に二酸化シリコンを有している第1層231、実質的にシリコンリッチオキシナイトライドを有している第2層、及び窒素原子量が大幅に低減した保護層233を有している。マルチ層スタック230はまた、二酸化シリコンベースの層とも呼ばれる。その理由は、二酸化シリコンがマルチ層スタックに存在するため、また、以下に説明しているように、形成シーケンスによるため、である。
マルチ層スタック230の第1、第2、及び保護層231、232、及び233のそれぞれの厚みは234、235、及び236である。マルチ層スタック230の光学特性は、個々の層のそれぞれの厚みと組成物により定められる。特に、屈折指数や吸光係数などの第2層232の光学特性は、その中に含有されるシリコンや窒素量を相応して選択することにより調整されうる。マルチ層スタック230上にフォトレジストマスク208が形成され、その中に開口部210が形成される。開口部210の寸法はlow-k誘電体層206に形成されるトレンチ又はビアの寸法と実質的に一致する。
図3に加え、図2aを参照すると、実施形態により半導体構造200を形成するためのプロセスフローが説明される。誘電体層202及び金属領域203は金属配線層の種類に応じて検討される、周知の、かつ十分に確立したプロセス技術により形成されうる。例えば、誘電体層202及び金属領域203が、トランジスタなどの下位の回路素子へ接触する部分を表わすものであるとすると、形成シーケンスには、層202及び金属領域203を形成するために、接触金属として二酸化シリコンやタングステンを蒸着する、などのプロセスステップを含みうる。誘電体層202がlow-k誘電体層を表わすものであるとすると、対応のプロセスステップは、誘電体層206の形成及びパターニングを言及する場合に以下に説明しているように、同様のプロセスを有しうる。次にエッチストップ層205が、プラズマ支援化学蒸着(PECVD:plasma enhanced chemical vapor deposition)などにより、適切な前駆ガスから蒸着されうる。
図3はPECVDツールを概略的に分かりやすく描いたものである。蒸着ツール300はRF発振器などの電源303と接続されているプラズマ励起手段あるいはプラズマ励起装置302を含むプロセスチャンバー301を有する。前駆ガスのソースは制御可能なバルブアセンブリ305を通じてプロセスチャンバー301と接続されている。アウトレット306はプロセスチャンバー301からのガスや副産物を除去し、チャンバー301内に所望の圧力を維持するように構成されている適切な手段(図示せず)と接続されている。更に、蒸着ツール300は図2aに示すように、基板201などの基板を受け取り、保持するように構成されている基板ホルダー307を有している。基板ホルダー307は特定の範囲内に基板201の温度を維持するための制御可能ヒータ308を備えうる。
基板ホルダー307に基板201を実装後、RF発振器303を作動し、適切な前駆ガス及び搬送ガス(carrier gas)をチャンバー301へ送ることにより、プロセスチャンバー301内にプラズマ環境が確立される。エッチストップ層205が実質的にシリコンカーバイド、及び/又は窒化シリコンカーバイド層を有している場合、3MS(トリメチルシラン)やアンモニアなどのそれぞれの前駆ガスが供給されうる。
次に、low-k誘電体層206が適切な前駆ガスからPECVDなどにより形成され、これにより図3に示しているような蒸着ツールが使用される。例えば、シリコンベースのlow-k誘電体材料は、周知のプロセスレシピにより3MSから蒸着されうる。他の実施形態では、誘電体層206がスピンオン技術により形成され、これにより例えば、MSQ又はHSQ(hydrogen sisquioxane, hydrogen silsesquiozane)が形成される。本発明はlow-k材料の種類を限定するものではなく、層206の製造法に関係なく、いずれの種類のlow-k材料と用いられる。続いて、基板201はツール300などの蒸着ツールに配置され、又はlow-k誘電体層206がPECVDにより蒸着された場合に、プロセスチャンバー301内に維持されうる。1つの特定の実施形態では、実質的に二酸化シリコンを有している第1層231は、シラン及び窒素酸化物(NO)から形成される。二酸化シリコンの蒸着中に、チャンバー301内の圧力は、約2−4トールの範囲内に維持され、また、シランと窒素酸化物の比率は、約1/45:1/55の範囲内である。これにより、窒素酸化物の流量は約3500−4500sccmに、また、シランの流量は約60−100sccmに調整されうる。プラズマ励起手段302へ供給されるRF電力は、約150−450ワットの範囲内に維持され、基板201の温度は、約350−450℃の範囲内に維持される。上述した特定のパラメータ範囲内で、以下に低速蒸着プロセスと呼ばれる、約2.5−4nm/秒の蒸着速度が達成されうる。蒸着速度は前もって十分正確に分かっているので、例えば、1回以上の試験稼働を行うことにより、蒸着時間を調整することにより層231の厚み234が制御されうる。他の実施形態では、厚み234は、プロセスチャンバー301と光結合されている偏光解析器などの、適切な測定ツール(図示せず)で実施されるイン−シツ(in situ)測定により制御されうる。
高速蒸着プロセスと呼ばれる更なる実施形態では、以下のプロセスパラメータにより、高速蒸着が達成されうる。シランの流量は約100−400sccmに調整され、シラン窒素酸化物(NO)の比率は約1/10から1/20の範囲内である。一方で残りのパラメータは、低速蒸着プロセスにおいて上記に特定された値に調整されうる。このようにパラメータを設定することにより、約10−30nm/秒の蒸着速度が達成される。
先行の蒸着プロセスにより発生した残留ガスや副産物が除去されるように、第2層232を形成する前にポンプステップを実施してもよい。従って圧力が約4−8トルの範囲内に調整され、一方で搬送ガスとして約7000−9000sccmの流量で窒素が搬送ガスとして供給される。更に、シラン/窒素酸化物の比率が約2−3に増加する。シランに対する一般の流量は400−600sccmの範囲内であり、窒素酸化物の流量は相応して調整される。先行の蒸着ステップと実質的に同じ範囲に維持されている基板温度で、約300−600ワットの範囲内のRF電力を用いて、約8−12nm/秒の蒸着速度が実現される。先に述べているように、マルチ層スタック230の光学特性は個々の層のそれぞれの厚みを調整することにより、また、特に第2層232のシリコン及び窒素の含量を変えることにより調整されうる。上述した特定の範囲内におけるシランと窒素酸化物(NO)の比率に対して、第2層232の屈折指数は2.20−2.60に調整され、248nmの露光波長に対する吸光係数は約0.80−0.90に調整されうる。それに反して、実質的に二酸化シリコンを有す第1層231は、光学特性が相対的に均一であり、673nmにおける屈指係数が約1.40−1.47の範囲内であり、変動はわずかである。従って、続いて実施されるCMPプロセスの要件により選択される第1層231の所望の厚みを得るために、マルチ層スタック230の反射防止特性が、光学特性、及び/又は第2層232の厚みを制御することにより適切に調整されうる。実施形態によっては、第1層231の厚み234は約20−120nmの範囲内に調整される。低速蒸着プロセスが約20−50nmの範囲に対して用いられ、高速蒸着プロセスが約50−120nmの範囲内に対して用いられうる。一方で第2層232の厚み235は、約30−90nmの範囲内に調整される。
1つの特定の実施形態では、保護層233が窒素濃度を大幅に低減して第2層232の上に、特に、上に形成されているフォトレジスト層と接触しているその表面237に形成される。保護層233において、特に表面237において、窒素量が低減されているために、窒素とフォトレジストとの相互作用も非常に低減し、又は実質的に完全に回避される。相互作用が低減されない場合は、フォトレジストの現像後にフォトレジスト残留物が形成されるおそれがある。
保護層233は、約3.0−5.0トールの圧力、約350−450℃の温度で、約50−200ワットのRF電力を用いて、窒素酸化物(NO)環境においてプラズマ処理により形成され、窒素酸化物(NO)の流量は約250−600scmmに設定される。上述の特定のパラメータ設定で、約1−4nmの範囲内の保護層の厚み236が得られ、特に表面237では、窒化シリコン接合の大半がシリコン酸素に取って替えられる。第2層232の蒸着直後に保護層233が形成される。
次にマルチ層スタック230にフォトレジスト層が蒸着される。フォトレジストの層厚は、その種類や組成物と同様に、フォトリソグラフィ要件にあわせて選択される。先に述べているように、屈指係数や吸光係数などの光学特性は、クリティカル寸法の変動を最小に抑えるために、マルチ層スタック234、235、236の個々の厚みと同様に、使用されるフォトレジストに適応される。その後フォトレジスト層が露光され、現像されて開口部210が形成される。露光中に開口部210に隣接するフォトレジスト領域へ反射光が最小にされる。このようにしてフッティングやスカムとも呼ばれる開口部210のレジスト残留物が低減され、又は完全に回避される。
図2bにマルチ層スタック230、low-k誘電体層206、及びエッチストップ層205に形成されている開口部213を有する、半導体構造200の概略図を示す。バリア層211はマルチ層スタック230上に、かつ開口部213内に形成されている。銅などを有している金属層212は開口部213を実質的に完全に埋めるために構造200上に形成される。
開口部213は図1bに関して説明している手法と同様に、異方性エッチプロセスシーケンスにより形成され、タンタル/窒化タンタル層などを含む二つ以上の複層を有しうるバリア層211がスパッタ蒸着により蒸着される。その後、薄いシード層(図示せず)がスパッタ蒸着され、次にバルク金属が周知の電気化学蒸着法により蒸着される。
その後、層212の余分な金属が化学機械的研磨により除去され、開口部213の外側の付加的なバリア層211も除去される。CMPプロセス中にマルチ層スタック230も部分的に除去され、実質的に二酸化シリコンを有する第1層231が、機械的安定性が低減している下位のlow-k誘電体材料を確実に保護する。一実施形態では、保護層233と第2層232が実質的に完全に除去される。その結果、多量の窒素を含み、そのために相対的に誘電定数の高い第2層232が除去されるので、最終的に得られる誘電体の層間の総合的誘電定数は、low-k誘電体層206により実質的に定められる。また、総合的誘電定数を更に最小にするために、第1層231の一部も除去される。第1層231の銅CMPプロセス中の除去レートは相対的に低いために、CMPプロセス中にプロセスにわずかな変動が起きたとしても、下位層206のlow-k誘電体材料は確実に保護される。その結果、low-k誘電体材料を不必要に除去せずに済み、その結果、金属が埋め込まれた開口部213の寸法変動、及びその抵抗変動が大幅に低減される。
図2cに上述のCMPプロセス完了後の半導体構造200を概略的に示す。CMPが誘発する層206の損傷を最小に抑えるために、231aにより示されている、厚みが薄くされた二酸化シリコン層がなお、low-k誘電体層206に形成される。一実施形態では、層内の誘電体の誘電率を総合的に低くするために、層231aの厚みが20nm及びそれ未満にまで薄くされうる。
上述の実施形態では、単一のダマシンプロセス技術が説明されているが、本発明はまた、デュアルダマシン法などのいずれのダマシン技術のプロセススキームにも応用できる。
その結果、本発明によればlow-k誘電体をパターニングするマルチ層スタックが提供される。好ましくはマルチ層スタックは、相対的に低コストのプラズマ支援蒸着法により、イン−シツ(in situ)形成される。該プラズマ支援蒸着法は、例えば一時間当たり80基板などの、高速スループットプロセスを可能にし、low-k誘電体材料は余分な銅を除去するためのCMPプロセス中に効果的に保護される。また同時に、効率的な反射防止効果が得られ、low-k誘電体材料が実質的にフッチングやスカムを生成せずにパターニングをすることができる。CMPプロセス中にlow-k誘電体層が効果的に保護されるので、密に配置された構造を含む領域では、金属の損傷が大幅に低減しうる。従って、対応の金属構造のシート構造における変動もまた大幅に低減する。CMPプロセス中にマルチ層スタックを薄くすることにより、寄生RC時定数の悪影響を実質的に回避するために、誘電定数の実効値が非常に低く維持されうる。
本発明による利益を享受し得る当業者であれば、本発明に関して等価の範囲内で種々の変形及び実施が可能であることは明らかであることから、上述の個々の実施形態は、例示的なものに過ぎない。例えば、上述した方法における各ステップは、その実行順序を変えることもできる。更に上述した構成あるいは設計の詳細は、なんら本発明を限定することを意図するものではなく、請求の範囲の記載にのみ限定されるものである。従って、上述した特定の実施形態は、変形及び修正が可能であることは明らかであり、このようなバリエーションは、本発明の趣旨及び範囲内のものである。従って、本発明の保護は、請求の範囲によってのみ限定されるものである。
従来のプロセスフローによりパターニングされるlow-k誘電体層を含む半導体構造の概略断面図。 従来のプロセスフローによりパターニングされるlow-k誘電体層を含む半導体構造の概略断面図。 従来のプロセスフローによりパターニングされるlow-k誘電体層を含む半導体構造の概略断面図。 本発明の実施形態によるlow-k誘電体材料を含む誘電体層をパターニングする間の概略断面図。 本発明の実施形態によるlow-k誘電体材料を含む誘電体層をパターニングする間の概略断面図。 本発明の実施形態によるlow-k誘電体材料を含む誘電体層をパターニングする間の概略断面図。 図2a−2cに説明されているようなマルチキャップ層の形成に適切な、プラズマ支援化学蒸着法(PECVD)の蒸着ツールの概略図。

Claims (18)

  1. low-k誘電体層上に二酸化シリコン層231を形成することにより、前記low-k誘電体材料を有する誘電体層206上にマルチ層スタック230を形成し、
    前記二酸化シリコン層を形成する間にシリコンリッチオキシナイトライド層232を形成し、これにより、前記low-k誘電体層からの反射光を低減するよう前記マルチ層スタック230の少なくとも1つの光学特性を調整する、方法。
  2. 前記二酸化シリコン層231はシランから蒸着される、請求項1記載の方法。
  3. 前記シリコンリッチオキシナイトライド層232は、前記二酸化シリコン層231の形成後に蒸着雰囲気を変えることにより形成される、請求項1記載の方法。
  4. 前記誘電体層206に形成される前記二酸化シリコン層231の厚みは、約20−120nmの範囲内である、請求項1記載の方法。
  5. 前記シリコンリッチオキシナイトライド層232の厚みは、約30−90nmの範囲内である、請求項1記載の方法。
  6. 前記光学特性は前記シリコンリッチオキシナイトライド層232のシリコン量を変えることにより調整される、請求項1記載の方法。
  7. 前記シリコン量は蒸着雰囲気においてシラン/窒素酸化物(NO)の比率を調整することにより変えられる、請求項6記載の方法。
  8. 前記シリコンリッチオキシナイトライド層232の表面領域において、窒素欠乏の保護層233を形成することを更に有する、請求項1記載の方法。
  9. 前記保護層233は窒素酸化物(NO)のプラズマ環境へ露光することにより形成される、請求項8記載の方法。
  10. 前記窒素酸化物(NO)のプラズマ環境は、前記シリコンリッチオキシナイトライド層232を蒸着する間に用いられるシランの供給を中止することにより作られる、請求項9記載の方法。
  11. 前記保護層233の厚みは約1−5nmの範囲内である、請求項8記載の方法。
  12. 前記シリコンリッチオキシナイトライド層232上にレジストマスク208を形成するステップを更に含む、請求項1記載の方法。
  13. 前記誘電体層206に凹部を形成するために、前記レジストマスク208を用いて前記誘電体層206をパターニングするステップを更に有する、請求項12記載の方法。
  14. low-k誘電体材料に金属領域を形成する方法であって、
    プラズマ環境において、前記二酸化シリコンベースのマルチ層の光学特性を制御する前記low-k誘電体材料を含む層206上に、二酸化シリコンベースのマルチ層230を蒸着し、
    凹部213を形成し、前記マルチ層230は特定の波長に対する反射光を低減するものであり、
    前記凹部を金属212で埋め、
    化学機械的研磨により余分な金属及び前記マルチ層の一部を除去する、
    low-k誘電体材料に金属領域を形成する方法。
  15. 前記二酸化シリコンベースのマルチ層230が少なくとも部分的にシランから蒸着される、請求項14記載の方法。
  16. シリコンリッチオキシナイトライド層232が、前記二酸化シリコンベースのマルチ層230を形成する間に蒸着雰囲気を変えることにより、マルチ層230に形成される、請求項14記載の方法。
  17. 前記シリコンリッチオキシナイトライド層232の厚みが、約30−90nmの範囲内である、請求項14記載の方法。
  18. 前記光学特性が前記シリコンリッチオキシナイトライド層232のシリコン量を変えることにより調整される、請求項16記載の方法。
JP2005508530A 2002-12-23 2003-11-06 low−k誘電体の最上部に反射防止特性を持つキャップ層の形成法 Pending JP2006525651A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE10260619A DE10260619B4 (de) 2002-12-23 2002-12-23 Verfahren zur Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε
US10/463,910 US7030044B2 (en) 2002-12-23 2003-06-16 Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric
PCT/US2003/035272 WO2004061949A1 (en) 2002-12-23 2003-11-06 Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric

Publications (2)

Publication Number Publication Date
JP2006525651A true JP2006525651A (ja) 2006-11-09
JP2006525651A5 JP2006525651A5 (ja) 2006-12-28

Family

ID=32714760

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005508530A Pending JP2006525651A (ja) 2002-12-23 2003-11-06 low−k誘電体の最上部に反射防止特性を持つキャップ層の形成法

Country Status (5)

Country Link
EP (1) EP1576664A1 (ja)
JP (1) JP2006525651A (ja)
KR (1) KR101127240B1 (ja)
AU (1) AU2003287518A1 (ja)
WO (1) WO2004061949A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015068251A1 (ja) * 2013-11-08 2015-05-14 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010171064A (ja) * 2009-01-20 2010-08-05 Panasonic Corp 半導体装置及びその製造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001015506A (ja) * 1999-06-29 2001-01-19 Nec Yamagata Ltd プラズマcvdによる反射防止膜の製造方法
JP2002526916A (ja) * 1998-10-01 2002-08-20 アプライド マテリアルズ インコーポレイテッド ダマシン用途の低κシリコンカーバイドバリア層、エッチストップ及び反射防止被膜のインシチュウ堆積
JP2002329779A (ja) * 2001-04-27 2002-11-15 Mitsubishi Electric Corp 半導体装置およびその製造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6156640A (en) * 1998-07-14 2000-12-05 United Microelectronics Corp. Damascene process with anti-reflection coating
US6103456A (en) * 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6294459B1 (en) * 1998-09-03 2001-09-25 Micron Technology, Inc. Anti-reflective coatings and methods for forming and using same
US6255717B1 (en) * 1998-11-25 2001-07-03 Advanced Micro Devices, Inc. Shallow trench isolation using antireflection layer
US6291363B1 (en) * 1999-03-01 2001-09-18 Micron Technology, Inc. Surface treatment of DARC films to reduce defects in subsequent cap layers
US20020024139A1 (en) 2000-02-04 2002-02-28 Chan Simon S. Combined capping layer and ARC for CU interconnects

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002526916A (ja) * 1998-10-01 2002-08-20 アプライド マテリアルズ インコーポレイテッド ダマシン用途の低κシリコンカーバイドバリア層、エッチストップ及び反射防止被膜のインシチュウ堆積
JP2001015506A (ja) * 1999-06-29 2001-01-19 Nec Yamagata Ltd プラズマcvdによる反射防止膜の製造方法
JP2002329779A (ja) * 2001-04-27 2002-11-15 Mitsubishi Electric Corp 半導体装置およびその製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015068251A1 (ja) * 2013-11-08 2015-05-14 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US9281276B2 (en) 2013-11-08 2016-03-08 Renesas Electronics Corporation Semiconductor device and manufacturing method of the same
US9559052B2 (en) 2013-11-08 2017-01-31 Renesas Electronics Corporation Semiconductor device and manufacturing method of the same
JPWO2015068251A1 (ja) * 2013-11-08 2017-03-09 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法

Also Published As

Publication number Publication date
WO2004061949A1 (en) 2004-07-22
EP1576664A1 (en) 2005-09-21
KR101127240B1 (ko) 2012-03-29
KR20050093797A (ko) 2005-09-23
AU2003287518A1 (en) 2004-07-29

Similar Documents

Publication Publication Date Title
JP5116197B2 (ja) 炭化ケイ素層を利用してデバイスを形成する方法
KR100801369B1 (ko) 유전체 막을 증착시키는 방법
US6537733B2 (en) Method of depositing low dielectric constant silicon carbide layers
US6787452B2 (en) Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US6187663B1 (en) Method of optimizing device performance via use of copper damascene structures, and HSQ/FSG, hybrid low dielectric constant materials
US6913994B2 (en) Method to form Cu/OSG dual damascene structure for high performance and reliable interconnects
US20020155386A1 (en) Fluorine-containing layers for damascene structures
US6495448B1 (en) Dual damascene process
US7030044B2 (en) Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric
US6235653B1 (en) Ar-based si-rich oxynitride film for dual damascene and/or contact etch stop layer
TW202109618A (zh) 圖案化半導體裝置的方法
US20070249164A1 (en) Method of fabricating an interconnect structure
US7282436B2 (en) Plasma treatment for silicon-based dielectrics
JP4002704B2 (ja) 半導体装置の製造方法
KR20080076236A (ko) 반도체 소자의 금속 배선 형성 방법
JP2006525651A (ja) low−k誘電体の最上部に反射防止特性を持つキャップ層の形成法
JP2005005697A (ja) 半導体装置の製造方法
KR20100003079A (ko) 반도체 장치 제조방법
US6403471B1 (en) Method of forming a dual damascene structure including smoothing the top part of a via
US5920791A (en) Method of manufacturing intermetal dielectrics for sub-half-micron semiconductor devices
KR100447322B1 (ko) 반도체 소자의 메탈 라인 형성 방법
KR101138082B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성방법
JP2000174120A (ja) 半導体装置の製造方法
JP2006073907A (ja) 半導体装置の製造方法および半導体装置

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061106

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061106

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090828

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100421

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20100902

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101008

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110406