JP5116197B2 - 炭化ケイ素層を利用してデバイスを形成する方法 - Google Patents

炭化ケイ素層を利用してデバイスを形成する方法 Download PDF

Info

Publication number
JP5116197B2
JP5116197B2 JP2001277088A JP2001277088A JP5116197B2 JP 5116197 B2 JP5116197 B2 JP 5116197B2 JP 2001277088 A JP2001277088 A JP 2001277088A JP 2001277088 A JP2001277088 A JP 2001277088A JP 5116197 B2 JP5116197 B2 JP 5116197B2
Authority
JP
Japan
Prior art keywords
silicon carbide
layer
carbide layer
pattern
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001277088A
Other languages
English (en)
Other versions
JP2002198317A (ja
Inventor
ディ ネマニ スリニヴァス
シャ リー−チャン
ヤー エリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002198317A publication Critical patent/JP2002198317A/ja
Application granted granted Critical
Publication of JP5116197B2 publication Critical patent/JP5116197B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Chemical Vapour Deposition (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は炭化ケイ素(シリコンカーバイド)の層に関し、特に炭化ケイ素層の形成方法に関する。
【0002】
【従来の技術】
集積回路は、シングルチップ上に多数のコンポーネント(例えば、トランジスタ、コンデンサ、抵抗器)を含む複雑なデバイスへと発展してきた。チップの設計の発展はより速い回路及びより高い回路密度を引き続き要求する。より高い回路密度の要求は、集積回路コンポーネントの寸法の縮小を必要とする。
【0003】
集積回路のコンポーネントの寸法が縮小されるにつれ(例えば、寸法がサブミクロン)、前述のコンポーネントを製造する為に使用される材料は、前述のコンポーネントの電気性能に寄与する。例えば、比抵抗の低いメタルの相互接続(例えば、アルミニウム、銅)は、集積回路のコンポーネント間に導電性の通路を提供する。
【0004】
典型的な例として、メタル相互接続部の相互間は、絶縁材料によって電気的に絶縁されている。隣接しあうメタル相互接続間の距離や絶縁材料の厚さの寸法がサブミクロンの場合、前述の相互接続部間に容量結合が生ずる場合がある。隣接しあうメタル相互接続部間に容量結合が生じれば、クロストークや抵抗コンデンサ(RC)遅延を引き起こし、集積回路全体の性能を劣化させる。隣接しあうメタル相互接続部間の容量結合を最小限にする為には、低い誘電率(低いk)の絶縁材料(例えば、誘電率が約5.0未満)が必要である。
【0005】
更に、障壁層をしばしば用いて、メタル相互接続部を低い誘電率(低いk)の絶縁材料から隔てている。障壁層は、絶縁材料へのメタルの拡散を最小限に抑える。絶縁材料へのメタル拡散が望ましくないのは、前述の拡散が集積回路の電気性能に影響を及ぼす為、もしくは集積回路を機能させなくする為である。
【0006】
より高い集積回路密度の要求はまた、集積回路の製造に使用される処理シーケンスに要求を課す。例えば、従来のリソグラフィ技術を利用する処理シーケンスでは、エネルギー感受性レジストの層が基板上の材料層のスタックの上に形成される。下に位置する材料の層の多くは紫外線光を反射する。前述の反射は、エネルギー感受性レジスト材料の層に形成された線やバイアなどの特徴の寸法を歪ませる可能性がある。
【0007】
下に位置する材料層からの反射を最小限にする為に提案された1つの技術は、反射防止コーティング(ARC:anti-reflective coating)を利用する技術である。ARCは、レジストのパターニングに先立って反射性材料層の上に形成される。ARCはレジストのイメージング中に、その下に位置する材料層からの反射を抑制し、正確なパターンの複製をエネルギー感受性レジストの層に提供する。
【0008】
炭化ケイ素(SiC)を集積回路で障壁層やARCとして利用することが提案されてきており、炭化ケイ素の誘電率が低い(誘電率が約5.0未満)為に、炭化ケイ素は優秀な障壁層であり光吸収特性が良好であり得る。
【0009】
【発明が解決しようとする課題】
しかし、炭化ケイ素障壁層は一般に化学気相成長(CVD)技術を利用して形成される。CVD技術を利用して形成されたSiC層は、酸素含有量が高い傾向がある(例えば、酸素含有量が約4%以上)。酸素含有量が高いと望ましくないのは、メタル、例えば銅などが、メタル相互接続部からSiC層を通り絶縁材料へと拡散することを強める場合がある為である。
【0010】
従って、当該技術において必要とされているのは、集積回路の製造の為の信頼できるSiC拡散障壁の形成方法である。特に望ましいのはARCでもあるSiC拡散障壁である。
【0011】
【課題を解決するための手段】
集積回路の製造処理において利用される炭化ケイ素層の形成方法を提供する。ケイ素ソース、炭素ソース、不活性ガスを含むガス混合物を電界の存在下で反応させることにより炭化ケイ素層を形成する。この電界は、混合周波数の高周波(RF)電力を用いて発生される。
【0012】
炭化ケイ素層は集積回路の製造処理に適合する。1つの集積回路の製造処理では、集積回路構造、例えばダマシン構造等の製造の為に炭化ケイ素層をハードマスクとして使用する。前述の実施の形態では、望ましい処理シーケンスは基板に炭化ケイ素層を堆積するステップを含む。基板に炭化ケイ素層が堆積された後、パターンが炭化ケイ素層に形成される。その後、炭化ケイ素層をハードマスクとして使用し炭化ケイ素層に形成されたパターンを基板に転写して集積回路構造が製造される。
【0013】
別の集積回路の製造処理では、DUVリソグラフィ用に炭化ケイ素層が反射防止コーティング(ARC)として使用される。前述の実施の形態では、望ましい処理シーケンスは基板に炭化ケイ素層を形成するステップを含む。炭化ケイ素層の屈折率(n)は約1.7〜約2.1であり、吸収係数(κ)は約250nm未満の波長に対して約0.1〜約0.7である。炭化ケイ素層の屈折率(n)及び吸収係数(κ)が調整可能なのは、SiC層形成中における堆積温度並びにガス混合物の炭素含有量の関数として望ましい範囲で変動可能な為である。炭化ケイ素層を基板に形成した後、エネルギー感受性レジスト材料の層を炭化ケイ素層に形成する。パターンは波長が約250nm未満の場合にエネルギー感受性レジストに形成される。その後、エネルギー感受性レジスト材料に形成されたパターンを炭化ケイ素層に転写する。炭化ケイ素層がパターニングされた後、前述のパターンは任意に基板に転写される。
【0014】
本発明の教示は、添付される図面と共に以下の詳細な説明を考慮することで容易に理解される。
【0015】
【発明の実施の形態】
図1は、本願に記述する実施の形態に従って炭化ケイ素層を堆積する為に使用可能なウエハ処理システム10の略図である。システム10は一般に、処理チャンバ100、ガスパネル130、制御装置110を、電源119、106、真空ポンプ102等の他のハードウェアコンポーネントと共に有している。ウエハ処理システム10の例として、米国カリフォルニア州サンタクララのアプライドマテリアルズ社より入手可能なDXZチャンバ(商品名)等のプラズマ励起化学気相成長(PECVD)チャンバを挙げることができる。
【0016】
ウエハ処理システム10の詳細は、1998年12月14日提出の、譲渡済み米国特許出願第09/211,998号、標題"High Temperature Chemical Vapor Deposition Chamber"に記載され、本願に組み込まれる。本システム10の特徴は、以下に簡潔に記載する。
【0017】
処理チャンバ100は概して、半導体ウエハ190等の基板の支持に使用される支持ペデスタル150を収容する。このペデスタル150は一般に、チャンバ100内部で置換機構(図示せず)を使用して垂直方向に移動が可能である。
【0018】
特定の処理に依存する場合、SiC層を堆積する前に、ウエハ190をある望ましい温度まで加熱可能である。例えば、埋設されている発熱素子170によりウエハ支持ペデスタル150が加熱される。ペデスタル150は、AC電源106から発熱素子170への電流の印加による加熱に耐えうる。そして、ウエハ190がペデスタル150に加熱される。
【0019】
ウエハ支持ペデスタル150には、ペデスタル150の温度を従来の方法で観測するため、熱電対等の温度センサ172も埋設される。測定された温度をフィードバックループに用いて発熱素子170に供給する電力を制御し、ウエハ温度を、特定の処理応用例に適した望ましい温度に維持又は制御する。ペデスタル150は随意、放射熱(図示せず)を利用して加熱される。
【0020】
処理チャンバ100を排気するためと適切なガス流量とチャンバ100内の圧力を維持するため、真空ポンプ102が使用される。処理ガスがシャワーヘッド120を通じチャンバ100へと導入され、このシャワーヘッド120はウエハ支持ペデスタル150の上に設置される。シャワーヘッド120はガスパネル130に接続されるが、このガスパネル130は、処理シーケンスの様々なステップで使用される種々のガスを制御し供給する。
【0021】
また、シャワーヘッド120とウエハ支持ペデスタル150は、間隔をおいて配置される一対の電極を形成する。これらの電極の間に電界が発生すれば、チャンバ100内に導入された処理ガスが点火されプラズマとなる。シャワーヘッド120を混合高周波(RF)電源119に接続して、電界を発生する。混合RF電源119の詳細は、2000年5月28日発行の譲渡済み米国特許第6,041,734号、標題"Use of An Asymmetric Waveform to Control Ion Bombardment During Substrate Processing"に記載され、本願に組み込まれる。
【0022】
一般に、制御装置ユニット110の制御下にあるソースである混合RF電源119は、高周波の電力(例えば、約10MHz〜約15MHzのRF電力)と低周波の電力(例えば、約150kHz〜約450kHzのRF電力)をシャワーヘッド120に供給する。高周波RF電源と低周波RF電源は共に、整合回路網(図示せず)を介してシャワーヘッド120に連結されている。高周波RF電源及び低周波RF電源は、ウエハ支持ペデスタル150に任意に連結される、もしくは一方がシャワーヘッド120に連結され他方がペデスタル150に連結される。
【0023】
プラズマ励起化学気相成長(PECVD)技術では、基板表面近くの反応領域に電界を印加することにより反応ガスの励起や解離を促進し、反応種のプラズマを引き起こす。プラズマ中の反応種の反応性が高いので、化学反応を起こす為に必要とされるエネルギーを低くし、その結果、前述のPECVD処理で必要とされる温度を低下させる。
【0024】
ガスパネル130を通過するガス流量の適切な制御及び調整は、流体質量制御装置(図示せず)及び制御装置ユニット110により実行される。シャワーヘッド120により、ガスパネル130から処理チャンバ100への処理ガスの均一な導入及び分配が可能になる。
【0025】
例示的であるが、制御ユニット110は、中央演算装置(CPU)113と、支持回路114と、対応する制御ソフトウェアを有するメモリ116とを有している。制御ユニット110は、ウエハ処理に必要とされる数多くのステップ、例えばウエハ移送、ガス流量制御、混合RF電力制御、温度制御、チャンバ排気、その他のステップ等の自動制御を担う。制御ユニット110とウエハ処理システム10の種々のコンポーネントとの間の双方向の通信は、非常に多くの信号ケーブルを介して取り扱われ、これらの信号ケーブルは総称して信号バス118と呼ばれており、そのうちの幾つかを図1に示す。
【0026】
中央処理装置(CPU)113は、処理チャンバやサブプロセッサを制御するための産業上の場面で使用可能な汎用コンピュータプロセッサの1つの形態である。コンピュータは適切なメモリを使用する場合があり、例えば、ランダムアクセスメモリ、読み出し専用メモリ、フロッピーディスクドライブ、ハードディスク、ローカル・リモートのデジタル記憶装置、その他の形態を挙げることができる。従来の方法でプロセッサを支持するために、種々の支持回路がCPUに結合している。処理シーケンスルーチンは、必要に応じメモリに記憶され、あるいはリモートに配置した第2のCPUにより実行される。
【0027】
基板190がウエハ支持ペデスタル150上に配置された後、処理シーケンスルーチンが実行される。処理シーケンスルーチンの実行により、汎用コンピュータは、堆積処理の実行のためにチャンバ操作を制御する特異的な処理コンピュータに転化される。あるいは、特定用途向け集積回路やその他のタイプのハードウェア実行等のリモート設置ハードウェアを用いて、又は、ソフトウェアとハードウェアの組み合わせを用いて、チャンバ操作を制御してもよい。
【0028】
炭化ケイ素(シリコンカーバイド)層の形成
一具体例では、ケイ素ソースと、炭素ソースと、不活性ガスとを有するガス混合物を反応させて、炭化ケイ素層が形成される。ケイ素ソース及び炭素ソースは、一般式Sixyzの有機シラン化合物であってもよい(xが1〜2、yが1〜6、zが6〜20)。例えば、メチルシラン(SiCH6)、ジメチルシラン(SiC28)、トリメチルシラン(SiC310)、テトラメチルシラン(SiC412)、ジエチルシラン(SiC412)が、有機シラン化合物として特に使用される。一方、シラン(SiH4)、ジシラン(Si26)、メタン(CH4)、そしてこれらの組み合わせが、ケイ素ソース及び炭素ソースとして使用される。
【0029】
ヘリウム(He)、アルゴン(Ar)、窒素(N2)、又はこれらの組み合わせが、特に不活性ガスとして使用される。
【0030】
一般に、以下の堆積処理パラメータを、炭化ケイ素層の形成に使用可能である。処理パラメータの範囲は、ウエハ温度が約200℃〜約400℃、チャンバ圧力が約3トール〜約15トール、有機シラン化合物流量が約50sccm〜約200sccm、不活性ガス流量が約50sccm〜約800sccm(有機シラン化合物流量と不活性ガス流量の比が約1:1〜約1:4になるようにする)、プレート間隔が約300mil〜約600mil(1milは約0.0254mm)、混合周波数RF電力は、周波数が約13MHz〜約27MHzで電力が約200ワット〜約800ワットの第1のRF電力と、周波数が約100kHz〜約500kHzで電力が約1ワット〜約200ワットの第2のRF電力を、少なくとも有している。第2のRF電力の全混合周波数電力に対する比は、0.6:1.0よりも小さいことが望ましい。米国カリフォルニア州サンタクララのアプライドマテリアルズ社より入手可能の堆積チャンバで200mmの基板に処理を行った場合、上述の処理パラメータによって、約1000Å/min〜約5000Å/minという炭化ケイ素層の堆積速度が与えられる。
【0031】
他の堆積チャンバも本発明の範囲内にあるが、上述のパラメータは、炭化ケイ素層の形成に用いる堆積チャンバにより変動する場合がある。例えば、他の堆積チャンバの体積が大きい場合にはアプライドマテリアルズ社より入手可能の堆積チャンバに対して上述したガス流量より大きいガス流量を必要とするだろうし、体積が小さい場合には小さな流量を必要とするだろうし、また、300mmの基板に対応するように構成してもよい。
【0032】
アズデポの(その場成長の)炭化ケイ素層の誘電率は約4.5よりも低いので、集積回路の絶縁材料としての使用に適している。炭化ケイ素層の誘電率は調整が可能であり、それは、混合周波数のRF電力間の比を変えることにより、変えることができる。特に、低周波RF電力対全混合RF電力の比を下げれば、アズデポ炭化ケイ素層の誘電率も低下する。
【0033】
炭化ケイ素層の誘電率はまた、層の形成中におけるガス混合物の組成を変えることでも調整が可能である。ガス混合物中の炭素(C)濃度が上昇すれば、アズデポ炭化ケイ素層のC含有量が増加し、炭化ケイ素層の誘電率が低下する。また、アズデポ炭化ケイ素層のC含有量が上昇すれば、炭化ケイ素層の疎水特性が上昇し、この層は集積回路の防湿層としての使用に適するようになる。
【0034】
更に、アズデポ炭化ケイ素層の酸素含有量は約1%未満である。この酸素含有量は、メタル拡散を最小限に抑え炭化ケイ素膜の障壁層の特性を改善すると考えられている。例えば、アズデポの炭化ケイ素層の電流阻止能力は、約1MV/cm(メガボルト/センチメートル)の場合に約1×10-9A/cm2未満であり、これは集積回路相互接続構造のクロストークを最小限に抑えるのに適する。
【0035】
また炭化ケイ素層の光吸収係数(κ)は、波長が約250nm(ナノメートル)未満の場合に約0.1〜約0.7の間で変動し、DUV波長で反射防止コーティング(ARC)として使用するのに適する。炭化ケイ素層の吸収係数は、層形成中における堆積温度並びにガス混合物の炭素含有量の関数として変動可能である。特に、堆積温度の増加に伴い、アズデポの層の吸収係数も同様に増加する。また、ガス混合物中の炭素(C) 濃度の増加に伴い、アズデポの炭化ケイ素層のC含有量が増加し、この炭化ケイ素層の吸収係数が増加する。
【0036】
集積回路の製造処理
A.炭化ケイ素ハードマスク
図2a〜図2eは、炭化ケイ素層をハードマスクとして有する集積回路製造シーケンスの様々な段階における基板200の略断面図を示す。基板200とは概して、処理されるワークピースを指し、また、基板構造250とは、基板200とともに、基板200上に形成される他の材料層も概して意味するように用いられる。処理の段階によっては、基板200は、シリコンウエハ又はシリコンウエハに形成された他の材料層に対応する場合がある。例えば図2(a)は、材料層202が従来の方法で形成されている基板構造250の断面図を示す。材料層202は酸化物であってもよい(例えば、二酸化ケイ素、有機シラン、フルオロケイ酸塩ガラス(FSG)、カーボンドープのフルオロケイ酸塩ガラス)。一般に、基板200はケイ素層、ケイ素化合物層、メタル層、その他の材料層を有している。図2(a)は、基板200が、二酸化ケイ素層を自身の上に形成して成るシリコンである場合の一具体例を例示する。
【0037】
図2(b)は、図2(a)の基板構造体250に形成された炭化ケイ素層204を示す。炭化ケイ素層204は、上述の処理パラメータに従い基板構造250に形成される。炭化ケイ素層204の厚さは処理の特定の段階により変えることができる。一般に、炭化ケイ素層204は、約50Å〜約1,000Åの厚さに堆積される。
【0038】
エネルギー感受性レジスト材料の層208が炭化ケイ素層204上に形成される。エネルギー感受性レジスト材料の層208は、厚さ約4,000Å〜約10,000Åの範囲内で基板200にスピンコート可能である。多くのエネルギー感受性レジスト材料は、約450nm(ナノメートル)より短い波長の紫外光(UV)に対しての感度が高い。遠紫外光(DUV)レジスト材料は、約245nmより短い波長のUVに対しての感度が高い。
【0039】
製造シーケンスで使用されるエネルギー感受性レジスト材料のエッチング化学系によっては、中間層206を炭化ケイ素層204に形成する場合がある。エネルギー感受性レジスト材料208と炭化ケイ素層204に対して、同じ化学エッチャントを用いてのエッチングが可能な場合、中間層206は炭化ケイ素層204のマスクとして機能する。中間層206は、炭化ケイ素層204上に従来からの方法で形成される。中間層206は、酸化物、窒化物、シリコンオキシナイトライド、アモルファスシリコン、その他の適切な材料であってもよい。
【0040】
パターンの画像は、前述のエネルギー感受性レジスト材料208がマスク210を介しUVに晒されることによりエネルギー感受性レジスト材料の層208へと導入される。エネルギー感受性レジスト材料の層208へと導入されたパターンの画像が適切な現像剤で現像され、図2(c)に示す通り、エネルギー感受性レジスト材料208を介してパターンを形成する。その後、図2(d)を参照すると、エネルギー感受性レジスト材料208の形成されたパターンを、炭化ケイ素層204を介して転写する。炭化ケイ素層204を介しエネルギー感受性レジスト材料208をマスクとして使用しパターンを転写する。炭化ケイ素層204を介し適切な化学エッチャントを使用してパターンを転写する。例えば、四フッ化炭素(CF4)、又はトリフルオロメタン(CHF3)及び酸素(O2)を含有するガス混合物が、炭化ケイ素層204を化学的にエッチングする為に使用される場合がある。
【0041】
一方、中間層206が存在する場合は、エネルギー感受性レジスト材料208の形成されたパターンを、最初に中間層206を介しエネルギー感受性レジスト材料208をマスクとして使用して転写する。その後、炭化ケイ素層204を介し中間層206をマスクとして使用しパターンを転写する。中間層206並びに炭化ケイ素層204の両方を介し適切な化学エッチャントを使用してパターンを転写する。
【0042】
図2(e)は、炭化ケイ素層204をハードマスクとして使用して、炭化ケイ素層204に形成されたパターンを二酸化ケイ素層202の中に転写することにより、集積回路の製造シーケンスが完了した状態を示す。
【0043】
二酸化ケイ素層202のパターニング後、随意、適切な化学エッチャントでエッチングして炭化ケイ素層204を基板200からストリッピングすることがが可能である。
【0044】
B.炭化ケイ素層を組み込んだダマシン構造
図3(a)〜3(d)は、炭化ケイ素層を組み込んだダマシン構造製造シーケンスの様々な段階の基板300の略断面図を示す。ダマシン構造は一般に、集積回路にメタルの相互接続を形成する為に用いられる。処理の特定の段階に依存する場合、基板300はシリコンウエハ又は基板300に形成された他の材料層に相当する。例えば図3(a)は、第1の誘電体層302が形成されている基板300の断面図を示す。第1の誘電体層302は酸化物(例えば、二酸化ケイ素、有機シラン、フルオロケイ酸塩ガラス(FSG)、炭素がドープされたフルオロケイ酸塩ガラス)であってもよい。一般に、基板300は、ケイ素、ケイ素化合物、メタル、その他の材料の層を含む。
【0045】
図3(a)は、基板300が、フルオロケイ酸塩ガラス層がその上に形成されているシリコンである一具体例を示す。第1の誘電体層302の厚さは約5,000Å〜約100,000Åであるが、製造される構造のサイズに依存する。
【0046】
第1の誘電体層302の上には、炭化ケイ素層304が形成されている。炭化ケイ素層304は、上述の処理パラメータに従って、第1の誘電体層302の上に形成されている。ダマシン構造で形成されるメタルの相互接続間の容量結合を防止ないしは最小限に抑えるように、炭化ケイ素層304の誘電率は約4.5よりも小さい。炭化ケイ素層の誘電率は調整可能であり、それは、層の形成中のガス混合物の組成及び印加電界電力比に関係して,望ましい範囲で変えることができるからである。
【0047】
炭化ケイ素層304の厚さは、処理段階に応じて変えることができる。一般に、炭化ケイ素層304は約200Å〜約1000Åの厚さとなる。
【0048】
図3(b)を参照すると、炭化ケイ素層304をパターニングしてエッチングし、コンタクト/バイア306を形成すべき領域に、コンタクト/バイア開口306が形成され第1の誘電体層302が露呈される。炭化ケイ素層304は、図2(b)〜2(d)に関連して上述した通り従来のリソグラフィを利用してパターニングされる。炭化ケイ素層304は、四フッ化炭素(CF4)を用い、又は、トリフルオロメタン(CHF3)と酸素(O2)を有するガス混合物を用いて、エッチングすることができる。炭化ケイ素層304がパターニングされた後、第2の誘電体層308がその上に堆積される。第2の誘電体層308は酸化物の場合がある(例えば、二酸化ケイ素、フルオロケイ酸塩ガラス)。第2の誘電体層308の厚さは約5,000Å〜約10,000Åである。
【0049】
図3(c)に例示されるように、第2の誘電体層308がその後パターニングされ相互接続310が形成されるが、これには望ましくは上述の従来のリソグラフィ処理が利用される。第2の誘電体層308に形成された相互接続線310が、炭化ケイ素層304のコンタクト/バイア開口306の上に配置されている。その後、反応性イオンエッチング技術その他の異方性のエッチング技術を利用して、相互接続310とコンタクト/バイア開口306の両方がエッチングされる。
【0050】
図3(d)を参照すると、相互接続線310とコンタクト/バイア開口306が、アルミニウム(Al)、銅(Cu)、タングステン(W)、又はこれらの組み合わせ等の導電材料314で充填されている。好ましくは、銅が、その比抵抗の低さ(比抵抗が約1.7μΩ−cm)により用いられて、相互接続線310とコンタクト/バイア開口306を充填する。導電材料314は化学気相成長法(CVD)、物理気相成長法(PVD)、電気メッキ、又はこれらの組み合わせを利用して堆積され、ダマシン構造を形成する。更に、タンタル(Ta)、窒化タンタル(TaN)、その他の適切な障壁材料等の障壁層312が、最初に相互接続線310とコンタクト/バイア開口306の側壁に沿って堆積され、周囲の誘電体層302、308並びに炭化ケイ素層304へのメタルマイグレーションを防止する。
【0051】
C.炭化ケイ素の反射防止コーティング(ARC)
図4(a)〜4(e)は、炭化ケイ素層を反射防止コーティング(ARC)として組み込んだ集積回路製造シーケンスの様々な段階の基板400の略断面図を示す。一般に、基板400とは膜処理される加工物を指し、基板構造450は一般に基板400に加え基板400に形成される他の材料層を意味するように用いられる。処理の特定の段階に依存する場合、基板400はシリコンウエハ又は基板400に形成された他の材料層に相当する。例えば図4(a)は、基板400がシリコンウエハである場合の基板構造450の断面図を示す。
【0052】
炭化ケイ素層402が基板構造450に形成される。炭化ケイ素層402は上述の処理パラメータに従い基板構造450に形成されている。炭化ケイ素層402の吸収係数(κ)は、波長が約250nm(ナノメートル)未満の場合に約0.1〜約0.7の間で変動し、DUV波長で反射防止コーティング(ARC)として使用するのに適する。炭化ケイ素層402の吸収係数が調整できるのは、層形成中における堆積温度並びにガス混合物の炭素含有量の関数として望ましい範囲で変動可能である為である。炭化ケイ素層402の厚さは処理の特定の段階に依存し可変である。一般に、炭化ケイ素層402の厚さは約200Å〜約2000Åとなる。
【0053】
図4(b)は図4(a)の基板構造450に形成されたエネルギー感受性レジスト材料の層404を示す。エネルギー感受性レジスト材料層404は、基板構造450に対して約2000Å〜約6000Åの厚さにスピンコートが可能である。殆どのエネルギー感受性レジスト材料は波長が約250nm未満のDUVに対し感度が高い。
【0054】
パターンの画像は、前述のエネルギー感受性レジスト材料404がマスク406を介しDUVに晒されることにより、エネルギー感受性レジスト材料の層404へと導入される。パターンの画像がエネルギー感受性レジスト材料の層404へと導入される際、下に位置する材料層(例えば、酸化物、メタル)の反射は、エネルギー感受性レジスト材料の層404へと導入されたパターンの画像を劣化させる可能性を持つが、炭化ケイ素層402が全て阻止する。
【0055】
エネルギー感受性レジスト材料の層404へと導入されたパターンの画像が適切な現像剤で現像され、図4(c)に示す通り、前述の層を介してパターンを形成する。その後、図4(d)を参照すると、エネルギー感受性レジスト材料404の形成されたパターンは、炭化ケイ素層402を介して転写される。パターンは炭化ケイ素層402を介し転写され、エネルギー感受性レジスト材料404をマスクとして使用する。パターンが炭化ケイ素層402を介し転写されるのは、適切な化学エッチャント(例えば、四フッ化炭素(CF4)、又はトリフルオロメタン(CHF3)及び酸素(O2)を含有するガス混合物)を使用したエッチングによる。
【0056】
炭化ケイ素層402のパターニング後、前述のパターンは一般に、図4(e)に示すように基板400に転写される。パターンの基板400への転写では、炭化ケイ素ARC層402をハードマスクとして使用する。基板400へのパターンの転写は、適切な化学エッチャントを使用したエッチングによる。その後、炭化ケイ素層402を適切な化学エッチャント(例えば、四フッ化炭素(CF4)、又はトリフルオロメタン(CHF3)及び酸素(O2)を含有するガス混合物)を使用したエッチングにより基板構造450から任意に剥がす。
【0057】
本発明の技術を組み込んだ幾つかの好適な実施の形態が示され詳細に記載されたが、当業者はこれらの技術をなお組み込んでいる多くの他の多様な実施の形態を容易に考案可能である。
【図面の簡単な説明】
【図1】本願に記述する実施の形態を実施する際に使用可能な装置の略図である。
【図2】(a)〜(e)は、炭化ケイ素層をハードマスクとして組み込んだ集積回路製造の様々な段階の基板構造の略断面図を示す。
【図3】(a)〜(d)は炭化ケイ素層をハードマスクとして組み込んだ集積回路製造の様々な段階のダマシン構造の略断面図を示す。
【図4】(a)〜(e)は、炭化ケイ素層を反射防止コーティング(ARC)として組み込んだ集積回路製造の様々な段階の基板構造の略断面図を示す。
【符号の説明】
200…基板、202…材料層、204…炭化ケイ素層、206…中間層、208…エネルギー感受性レジスト材料、210…マスク、250…基板構造。

Claims (24)

  1. デバイスを形成する方法であって、
    ケイ素ソースと炭素ソースと不活性ガスとを有するガス混合物を、混合周波数の高周波(RF)電力を用いて、堆積チャンバ内に生成した電界の下で、反応させることにより、炭化ケイ素層を基板上に形成するステップと、
    炭化ケイ素層の少なくとも一領域にパターンを形成するステップと、
    炭化ケイ素層をマスクとして用いて、パターンを基板に転写するステップと、
    炭化ケイ素層を基板から除去するステップと、
    を有する方法。
  2. 基板に、1つ以上の材料層が形成される請求項1に記載の方法。
  3. 炭化ケイ素層の少なくとも一領域パターンを形成する前記ステップが、エネルギー感受性レジスト材料の層を炭化ケイ素層に形成するステップと、エネルギー感受性レジスト材料をパターニングされた放射光に晒すことにより、パターンの画像をエネルギー感受性レジスト材料の層の中に導入するステップと、エネルギー感受性レジスト材料の層の中に導入されたパターンの画像を現像するステップと、エネルギー感受性レジスト材料の層をマスクとして用いて、炭化ケイ素層の中にパターンを転写するステップとを有する請求項1に記載の方法。
  4. エネルギー感受性レジスト材料の層を炭化ケイ素層に形成しパターンの画像をエネルギー感受性レジスト材料の層へと導入しパターンの画像を現像する前に、中間層を炭化ケイ素層に形成するステップと、エネルギー感受性レジスト材料をマスクとして使用し、エネルギー感受性レジスト材料の層に現像されたパターンの画像を中間層の中に転写するステップと、炭化ケイ素層を介し中間層をマスクとして使用しパターンを転写するステップとを更に有する請求項3に記載の方法。
  5. 中間層が、酸化物である請求項4に記載の方法。
  6. 酸化物が、二酸化ケイ素と、フルオロケイ酸塩ガラス(FSG)と、シリコンオキシナイトライドとから成る群より選択される請求項5に記載の方法。
  7. 炭化ケイ素層が、フッ素ベース化合物を用いて基板から除去される請求項1に記載の方法。
  8. フッ素ベース化合物が、四フッ化炭素(CF4)と、トリフルオロメタン(CHF3)とから成る群より選択される請求項7に記載の方法。
  9. 炭化ケイ素層が、250nmよりも短い波長に対する反射防止コーティングとなる請求項8に記載の方法。
  10. 炭化ケイ素層の吸収係数が、250nmよりも短い波長に対して0.1〜0.7である請求項1に記載の方法。
  11. 炭化ケイ素層の厚さ全体にわたって、250nmよりも短い波長に対する光吸収係数が0.1〜0.7の間で変化する請求項10に記載の方法。
  12. 炭化ケイ素層の屈折率が、1.7〜2.1である請求項9に記載の方法。
  13. ケイ素ソース及び炭素ソースが、一般式Siの有機シラン化合物(xが1〜2、yが1〜6の範囲、zが4〜20)を有する請求項1に記載の方法。
  14. 有機シラン化合物が、メチルシラン(SiCH6)と、ジメチルシラン(SiC28)と、トリメチルシラン(SiC310)と、テトラメチルシラン(SiC412)と、ジエチルシラン(SiC412)と、これらの組み合わせとから成る群より選択される請求項13に記載の方法。
  15. ケイ素ソース及び炭素ソースが、シラン(SiH4)と、メタン(CH4)と、ジシラン(Si26)と、これらの組み合わせとから成る群より選択される請求項1に記載の方法。
  16. 不活性ガスが、ヘリウム(He)と、アルゴン(Ar)と、窒素(N2)と、これらの組み合わせとから成る群より選択される請求項1に記載の方法。
  17. ガス混合物中の有機シラン化合物と不活性ガスの比が、1:1〜1:4である請求項13に記載の方法。
  18. 基板が、200℃〜400℃の温度に加熱される請求項1に記載の方法。
  19. ガス混合物が、3トール〜15トールの圧力で反応する請求項1に記載の方法。
  20. 混合周波数RF電力が、周波数13MHz〜27MHzの第1のRF電力と、周波数100kHz〜500kHzの第2のRF電力とを少なくとも有する請求項1に記載の方法。
  21. 第1のRF電力が、200ワット〜600ワットである請求項20に記載の方法。
  22. 第2のRF電力が、1ワット〜150ワットである請求項20に記載の方法。
  23. 第2のRF電力と全混合周波数RF電力の比が、0.6:1.0よりも小さい請求項22に記載の方法。
  24. 炭化ケイ素層の誘電率が、4.5よりも小さい請求項1に記載の方法。
JP2001277088A 2000-09-12 2001-09-12 炭化ケイ素層を利用してデバイスを形成する方法 Expired - Fee Related JP5116197B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/660268 2000-09-12
US09/660,268 US6465366B1 (en) 2000-09-12 2000-09-12 Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers

Publications (2)

Publication Number Publication Date
JP2002198317A JP2002198317A (ja) 2002-07-12
JP5116197B2 true JP5116197B2 (ja) 2013-01-09

Family

ID=24648793

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001277088A Expired - Fee Related JP5116197B2 (ja) 2000-09-12 2001-09-12 炭化ケイ素層を利用してデバイスを形成する方法

Country Status (5)

Country Link
US (2) US6465366B1 (ja)
EP (1) EP1191123A3 (ja)
JP (1) JP5116197B2 (ja)
KR (1) KR100878170B1 (ja)
TW (1) TWI259850B (ja)

Families Citing this family (213)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
DE10231533A1 (de) * 2002-07-11 2004-01-29 Infineon Technologies Ag Verfahren zur Metallstrukturierung
US20050181604A1 (en) * 2002-07-11 2005-08-18 Hans-Peter Sperlich Method for structuring metal by means of a carbon mask
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6797643B2 (en) * 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7091133B2 (en) * 2003-01-27 2006-08-15 Asm Japan K.K. Two-step formation of etch stop layer
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7138332B2 (en) * 2003-07-09 2006-11-21 Asm Japan K.K. Method of forming silicon carbide films
US6849561B1 (en) * 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US6972253B2 (en) * 2003-09-09 2005-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming dielectric barrier layer in damascene structure
JP2005136374A (ja) * 2003-10-06 2005-05-26 Matsushita Electric Ind Co Ltd 半導体製造装置及びそれを用いたパターン形成方法
US7390537B1 (en) * 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US7176571B2 (en) * 2004-01-08 2007-02-13 Taiwan Semiconductor Manufacturing Company Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7407893B2 (en) * 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US20050263901A1 (en) * 2004-05-27 2005-12-01 International Business Machines Corporation Semiconductor device formed by in-situ modification of dielectric layer and related methods
US7022622B2 (en) * 2004-07-08 2006-04-04 International Business Machines Corporation Method and structure to improve properties of tunable antireflective coatings
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
CN100437934C (zh) * 2005-02-08 2008-11-26 联华电子股份有限公司 减少低介电常数材料层的微粒数目的方法
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
JP4563927B2 (ja) * 2005-12-02 2010-10-20 信越化学工業株式会社 基板及びその製造方法、並びにそれを用いたパターン形成方法
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
WO2008152666A1 (en) * 2007-06-14 2008-12-18 Galileo Avionica S.P.A. Process for the deposition of layers of hydrogenated silicon carbide
US9139351B2 (en) 2007-12-11 2015-09-22 Tokitae Llc Temperature-stabilized storage systems with flexible connectors
US8215835B2 (en) 2007-12-11 2012-07-10 Tokitae Llc Temperature-stabilized medicinal storage systems
US9205969B2 (en) 2007-12-11 2015-12-08 Tokitae Llc Temperature-stabilized storage systems
US8485387B2 (en) * 2008-05-13 2013-07-16 Tokitae Llc Storage container including multi-layer insulation composite material having bandgap material
US9174791B2 (en) 2007-12-11 2015-11-03 Tokitae Llc Temperature-stabilized storage systems
US9140476B2 (en) 2007-12-11 2015-09-22 Tokitae Llc Temperature-controlled storage systems
CN101736326B (zh) * 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US9372016B2 (en) 2013-05-31 2016-06-21 Tokitae Llc Temperature-stabilized storage systems with regulated cooling
US9447995B2 (en) 2010-02-08 2016-09-20 Tokitac LLC Temperature-stabilized storage systems with integral regulated cooling
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP6043546B2 (ja) * 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
TWI830751B (zh) * 2018-07-19 2024-02-01 美商應用材料股份有限公司 低溫高品質的介電膜及其形成方法
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US20200098562A1 (en) * 2018-09-26 2020-03-26 Lam Research Corporation Dual frequency silane-based silicon dioxide deposition to minimize film instability
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB725440A (en) * 1952-02-23 1955-03-02 Representation Generale Pour L Improvements in and relating to field or portable kitchens
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
KR100469134B1 (ko) * 1996-03-18 2005-09-02 비오이 하이디스 테크놀로지 주식회사 유도형플라즈마화학기상증착방법및그를이용하여생성된비정질실리콘박막트랜지스터
US5800878A (en) 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US5926740A (en) * 1997-10-27 1999-07-20 Micron Technology, Inc. Graded anti-reflective coating for IC lithography
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6103590A (en) * 1997-12-12 2000-08-15 Texas Instruments Incorporated SiC patterning of porous silicon
EP0926715B1 (en) * 1997-12-23 2009-06-10 Texas Instruments Incorporated Chemical mechanical polishing for isolation dielectric planarization
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6103456A (en) * 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6255211B1 (en) * 1998-10-02 2001-07-03 Texas Instruments Incorporated Silicon carbide stop layer in chemical mechanical polishing over metallization layers
US6261892B1 (en) * 1999-12-31 2001-07-17 Texas Instruments Incorporated Intra-chip AC isolation of RF passive components
US6440878B1 (en) * 2000-04-03 2002-08-27 Sharp Laboratories Of America, Inc. Method to enhance the adhesion of silicon nitride to low-k fluorinated amorphous carbon using a silicon carbide adhesion promoter layer
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films

Also Published As

Publication number Publication date
EP1191123A2 (en) 2002-03-27
EP1191123A3 (en) 2004-11-17
US6589888B2 (en) 2003-07-08
TWI259850B (en) 2006-08-11
KR100878170B1 (ko) 2009-01-12
JP2002198317A (ja) 2002-07-12
KR20020022128A (ko) 2002-03-25
US6465366B1 (en) 2002-10-15
US20030008069A1 (en) 2003-01-09

Similar Documents

Publication Publication Date Title
JP5116197B2 (ja) 炭化ケイ素層を利用してデバイスを形成する方法
US7117064B2 (en) Method of depositing dielectric films
US6537733B2 (en) Method of depositing low dielectric constant silicon carbide layers
US6500773B1 (en) Method of depositing organosilicate layers
US7244672B2 (en) Selective etching of organosilicate films over silicon oxide stop etch layers
US6777171B2 (en) Fluorine-containing layers for damascene structures
KR20080006515A (ko) 디바이스 형성 방법
KR20020033577A (ko) 유기실리케이트층을 증착시키는 방법
US6573196B1 (en) Method of depositing organosilicate layers
US20020142578A1 (en) Silicon carbide cap layers for low dielectric constant silicon oxide layers
JP2002217189A (ja) 炭化ケイ素膜のデュアルプラズマ処理
KR20010112115A (ko) 플루오로-오르가노실리케이트층
US20020142104A1 (en) Plasma treatment of organosilicate layers

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080815

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110510

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110531

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110830

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110902

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111026

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120327

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120625

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120628

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120723

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120730

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120827

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120918

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121016

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151026

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees