KR20080006515A - 디바이스 형성 방법 - Google Patents
디바이스 형성 방법 Download PDFInfo
- Publication number
- KR20080006515A KR20080006515A KR1020070135053A KR20070135053A KR20080006515A KR 20080006515 A KR20080006515 A KR 20080006515A KR 1020070135053 A KR1020070135053 A KR 1020070135053A KR 20070135053 A KR20070135053 A KR 20070135053A KR 20080006515 A KR20080006515 A KR 20080006515A
- Authority
- KR
- South Korea
- Prior art keywords
- amorphous carbon
- gas mixture
- substrate
- range
- layer
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 85
- 229910003481 amorphous carbon Inorganic materials 0.000 claims abstract description 157
- 239000000758 substrate Substances 0.000 claims abstract description 93
- 239000007789 gas Substances 0.000 claims abstract description 66
- 239000000203 mixture Substances 0.000 claims abstract description 44
- 230000008021 deposition Effects 0.000 claims abstract description 32
- 150000002430 hydrocarbons Chemical class 0.000 claims abstract description 27
- 239000011261 inert gas Substances 0.000 claims abstract description 10
- 238000010438 heat treatment Methods 0.000 claims abstract 4
- 239000001257 hydrogen Substances 0.000 claims description 23
- 229910052739 hydrogen Inorganic materials 0.000 claims description 23
- 238000010521 absorption reaction Methods 0.000 claims description 21
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 20
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 19
- QQONPFPTGQHPMA-UHFFFAOYSA-N propylene Natural products CC=C QQONPFPTGQHPMA-UHFFFAOYSA-N 0.000 claims description 15
- 229910021529 ammonia Inorganic materials 0.000 claims description 10
- 239000000654 additive Substances 0.000 claims description 9
- 230000000996 additive effect Effects 0.000 claims description 9
- 230000005684 electric field Effects 0.000 claims description 9
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 8
- KAKZBPTYRLMSJV-UHFFFAOYSA-N Butadiene Chemical compound C=CC=C KAKZBPTYRLMSJV-UHFFFAOYSA-N 0.000 claims description 8
- ATUOYWHBWRKTHZ-UHFFFAOYSA-N Propane Chemical compound CCC ATUOYWHBWRKTHZ-UHFFFAOYSA-N 0.000 claims description 8
- 230000015572 biosynthetic process Effects 0.000 claims description 8
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 7
- 125000004805 propylene group Chemical group [H]C([H])([H])C([H])([*:1])C([H])([H])[*:2] 0.000 claims description 7
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 5
- 229910052786 argon Inorganic materials 0.000 claims description 5
- 229910052799 carbon Inorganic materials 0.000 claims description 5
- 239000004020 conductor Substances 0.000 claims description 5
- 229910052734 helium Inorganic materials 0.000 claims description 5
- 239000001273 butane Substances 0.000 claims description 4
- 239000001307 helium Substances 0.000 claims description 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 4
- 150000002431 hydrogen Chemical class 0.000 claims description 4
- IJDNQMDRQITEOD-UHFFFAOYSA-N n-butane Chemical compound CCCC IJDNQMDRQITEOD-UHFFFAOYSA-N 0.000 claims description 4
- OFBQJSOFQDEBGM-UHFFFAOYSA-N n-pentane Natural products CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 claims description 4
- 229910052757 nitrogen Inorganic materials 0.000 claims description 4
- 238000000059 patterning Methods 0.000 claims description 4
- 239000001294 propane Substances 0.000 claims description 4
- 125000000383 tetramethylene group Chemical group [H]C([H])([*:1])C([H])([H])C([H])([H])C([H])([H])[*:2] 0.000 claims description 4
- 150000001875 compounds Chemical class 0.000 claims 1
- 238000005530 etching Methods 0.000 abstract description 10
- 239000010410 layer Substances 0.000 description 203
- 239000000463 material Substances 0.000 description 69
- 230000008569 process Effects 0.000 description 41
- 239000006117 anti-reflective coating Substances 0.000 description 39
- 235000012431 wafers Nutrition 0.000 description 22
- 238000004519 manufacturing process Methods 0.000 description 21
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 18
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 16
- 238000000151 deposition Methods 0.000 description 16
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 12
- 229910052710 silicon Inorganic materials 0.000 description 12
- 239000010703 silicon Substances 0.000 description 12
- 235000012239 silicon dioxide Nutrition 0.000 description 8
- 239000000377 silicon dioxide Substances 0.000 description 8
- 239000000126 substance Substances 0.000 description 8
- 230000006870 function Effects 0.000 description 7
- 230000005855 radiation Effects 0.000 description 7
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 6
- 239000001301 oxygen Substances 0.000 description 6
- 229910052760 oxygen Inorganic materials 0.000 description 6
- 238000012546 transfer Methods 0.000 description 6
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 5
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- 229910052751 metal Inorganic materials 0.000 description 5
- 239000002184 metal Substances 0.000 description 5
- 238000012545 processing Methods 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- 230000031700 light absorption Effects 0.000 description 4
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 3
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 230000007423 decrease Effects 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 238000002310 reflectometry Methods 0.000 description 3
- 229910021332 silicide Inorganic materials 0.000 description 3
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 229940104869 fluorosilicate Drugs 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 238000003384 imaging method Methods 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- 230000003287 optical effect Effects 0.000 description 2
- 238000000197 pyrolysis Methods 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- 238000005979 thermal decomposition reaction Methods 0.000 description 2
- 241000398147 Aleurodiscus amorphus Species 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 230000003667 anti-reflective effect Effects 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000007175 bidirectional communication Effects 0.000 description 1
- 230000033228 biological regulation Effects 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 229910001293 incoloy Inorganic materials 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 239000002648 laminated material Substances 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 230000005012 migration Effects 0.000 description 1
- 238000013508 migration Methods 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 230000010363 phase shift Effects 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 241000894007 species Species 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02115—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/26—Deposition of carbon only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/022—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
- H01L21/0276—Photolithographic processes using an anti-reflective coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3081—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3083—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/3088—Process specially adapted to improve the resolution of the mask
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/3146—Carbon layers, e.g. diamond-like layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
- H01L21/32137—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02167—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28035—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31608—Deposition of SiO2
- H01L21/31612—Deposition of SiO2 on a silicon body
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Formation Of Insulating Films (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
본 발명은 비정질 탄소막을 이용하여 집적회로는 형성하는 방법에 관한 것이다. 비정질 탄소막은 탄화수소 화합물 및 불활성 가스를 포함하는 가스 혼합물을 열적으로 분해함으로써 형성된다. 비정질 탄소막은 집적 회로 제조 공정들과 호환적이다. 한 집적 회로 제조 공정에서, 비정질 탄소막은 하드마스크로서 이용된다. 또다른 집적 회로 제조 공정에 있어서, 비정질 탄소막은 DUV 리소그라픽을 위한 무반사 코팅(ARC)이다. 또 다른 집적 회로 제조 공정에서, 다층 비정질 탄소 무반사 코팅이 DUV 리소그라픽을 위해 사용된다.
Description
도 1은 본 발명을 실시하는데 사용될 수 있는 장치의 개략도;
도 2a-2e는 하드마스크로서 비정질 탄소층을 포함하는 집적 회로 제조의 상이한 단계에서 본 기판 구조의 개략적 단면도;
도 3a-3e는 하드마스크로서 비정질 탄소층을 포함하는 집적 회로 제조의 상이한 단계에서 본 다마신 구조의 개략적 단면도;
도 4a-4c는 무반사 코팅(ARC; anti-reflective coating)으로서 비정질 탄소층을 포함하는 집적 회로 제조의 상이한 단계에서 본 기판 구조의 단면도;
도 5a-5d는 다층 비정질 탄소 ARC 구조를 포함하는 집적 회로 제조의 상이한 단계에서 본 기판 구조의 단면도.
* 도면의 주요부호에 대한 부호의 설명*
100: 공정 챔버 102: 진공 펌프
110: 제어 유니트 112:중앙 처리 장치(CPU)
114: 지지 회로 116:메모리
118:신호 버스 120: 샤워헤드(showerhead)
130:가스 패널 150: 지지 받침대
170:히터 부재 160:AC 공급원
172: 온도 센서 190:반도체 웨이퍼
250, 350, 450: 기판 구조 210, 306, 408: 마스크
208, 304, 406: 에너지에 민감한 레지스트 재료
206: 중간층 262: 유전체층
204, 264, 302, 402, 404: 비정질 탄소층
202: 이산화실리콘층
200, 260, 300, 400: 기판 272: 벽 층
274: 전도성 물질 266: 콘택/비아
본 발명은 비정질 탄소막과, 집적 회로에서의 상기 비정질 탄소막의 사용, 및 상기 막을 증착하는 방법에 관한 것이다.
집적 회로는 단일 칩상에 다수의 트랜지스터, 커패시터 및 레지스터를 포함할 수 있는 복합적 장치로 발달되어 왔다. 칩(chip) 디자인의 발달은 계속해서 보다 빠른 회로 및 보다 높은 회로 집적도를 요구하고 있다. 높은 회로 집적도이면서 보다 빠른 회로들에 대한 요구사항은 그러한 집적 회로의 제조에 사용되는 재료들에 있어서 그와 상응하는 요구를 강요한다. 특히, 집적 회로 부품들의 크기가 축소됨에 따라, (예컨대, 서브-미크론(sub-micron) 크기), 부품들의 전기적 성능을 개선시키기 위한 낮은 유전 상수의 절연 재료(약 4.5 이하의 유전 상수) 뿐만 아니 라 저항률이 낮은 전도성 재료(예컨대, 구리)를 사용할 필요가 있게 되었다.
집적 회로에 대한 고집적도의 요구는 집적 회로 제조에 사용되는 공정 시퀀스에도 또한 요구된다. 예컨대, 통상의 리소그라피(lithographic) 기술을 사용하는 공정 시퀀스에서, 에너지에 민감한 레지스트층(energy sensitive resist layer)은 기판상에 재료 층들의 적층체 위에 형성된다. 패턴 이미지가 에너지에 민감한 레지스트층으로 주입된다. 그 후, 에너지에 민감한 레지스트층 속으로 주입된 패턴은 마스크로서의 에너지 감응 레지스트층을 사용하여 기판 상에 형성된 상기 재료 적층체의 하나 또는 그 이상의 층들로 전사된다. 에너지에 민감한 레지스트층 속으로 주입된 패턴은 화학 에천트(chemical etchant)를 사용하여 재료 적층의 1개 이상의 층들 속으로 전사될 수 있다. 상기 화학 에천트는 에너지에 민감한 레지스트에 대한 것보다 적층 재료층에 대한 에칭 선택도가 크도록 디자인된다. 즉, 화학 에천트는 에너지에 민감한 레지스트를 식각하는 것보다 재료 적층의 1개 이상의 층들을 보다 빠른 속도로 식각한다. 전형적으로 적층의 1 개이상의 재료 층들에 대한 빠른 에칭 속도는 패턴의 전사가 완성되기 이전에 에너지에 민감한 레지스트 재료가 소모되는 것을 방지한다.
그러나, 집적 회로상에서 고집적도 회로에 대한 요구는 보다 작은 패턴 크기(예를 들어, 서브-미크론 크기)를 필요로 한다. 패턴 크기가 감소됨에 따라, 에너지에 민감한 레지스트의 두께는 패턴 해상력을 제어하기 위해 감소되어야 한다. 얇은 레지스트 층은 화학 에천트를 사용하는 패턴 전사 단계 동안에 하부 재료층을 마스크처리하기에는 불충분할 수 있다.
하부 재료층들로의 패턴 전사를 용이하게 하기 위해 하드마스크(hardmask)라 불리는 중간 산화층(예를 들어, 이산화 실리콘, 질화 실리콘)이 에너지에 민감한 레지스트층과 하부 재료층 사이에서 종종 사용된다. 그러나, 일부 재료 구조(예컨대, 다마신(damascene))는 이산화실리콘 및/또는 질화실리콘층을 포함한다. 그러한 재료 구조는 에칭 마스크로서 이산화실리콘 또는 질화실리콘 하드마스크를 사용하여 패터닝될 수 없다.
레지스트 패터닝의 문제점은 DUV(deep ultraviolet) 이미지 파장(예를 들어 250nm 이하)을 갖는 리소그라픽 이미징 장치들(lithographic imaging tools)이 레지스트 패턴을 생성하기 위해 사용되는 경우 보다 복잡해진다. DUV 이미지 파장은 회절 효과가 단파장일 때 감소되기 때문에 레지스트 패턴 해상도를 개선시킨다. 그러나, 상기 DUV 파장에서 많은 하부 재료들(예를 들어, 폴리실리콘 및 금속 실리사이드)의 증가된 반사성은 최종적인 레지스트 패턴들을 손상시킬 수 있다.
하부 재료층으로부터의 반사성을 최소화시키기 위해 제시된 하나의 기술은 무반사 코팅(ARC; anti-reflective coating)을 사용하는 것이다. ARC는 레지스트 패터닝 단계 이전에 반사성 재료 층 위에 형성된다. ARC는 레지스트 이미징 단계 동안 하부 재료 층으로부터의 반사를 억제시켜, 에너지에 민감한 레지스트 층에서의 정확한 패턴 복사를 제공한다.
에너지에 민감한 레지스트와 조합하여 사용하기 위한 다수의 ARC 재료들이 제시되었다. 예컨대, Pramanick 등에게 1997년 5월 6일 허여된 미국 특허 5,626,967에는 질화티타늄 무반사 코팅들의 사용이 설명되어 있다. 그러나, 질화 티타늄은 노출 파장이 248nm 이하로 감소됨에 따라 점점 금속화되며, 이는 질화타늄이 DUV 방사에 대해 높은 반사성을 갖게 되며 DUV 파장에 대해 효과적인 무반사 코팅이 아니라는 것을 의미한다.
Foote 등에게 1998년 1월 20일로 허여된 미국 특허 5,710,067은 질산화 실리콘 무반사막의 사용을 개시하고 있다. 질산화 실리콘막은 제거가 어려워, 다음의 집적 회로 제조 단계들을 잠재적으로 방해하는 잔류물을 남기게 된다.
따라서, 당업계에서는 산화물에 대해 좋은 에칭 선택도를 갖는 집적 회로 제조에 유용한 재료층에 대한 필요성이 있다. 특히, DUV 파장에서 ARC이고 스트립이 용이한 재료층이 바람직할 것이다.
본 발명은 집적 회로 제조에 사용하기 위한 비정질 탄소층 형성 방법을 제공한다. 상기 비정질 탄소층은 탄화수소 화합물 및 불활성 가스를 포함하는 가스 혼합물을 열적으로 분해함으로써 형성된다. 첨가 가스를 선택적으로 포함할 수 있는 상기 가스 혼합물이 기판 표면에 아주 근접하게 탄화수소 화합물의 플라즈마 강화 열 분해가 이루어지는 공정 챔버 내부로 주입되어, 상기 기판 표면상에 비정질 탄소층이 증착된다.
본 발명의 공정에 따라 증착된 비정질 탄소층은 약 10% 수소 내지 약 60% 수소의 범위의 조절가능한 탄소 대 수소 비율을 갖는다. 상기 비정질 탄소층은 DUV 파장에서 무반사 코팅(ARC)으로서의 사용하기에 적합하도록 약 0.1 내지 약 1.0 사이에서 가변될 수 있는 광 흡수 계수 k를 또한 갖는다.
상기 비정질 탄소층은 집적 회로 제조 공정들과 호환적이다. 어떤 집적 회로 제조 공정에서, 상기 비정질 탄소층은 하드마스크로서 사용된다. 그러한 실시를 위해, 바람직한 공정 시퀀스는 기판상에 비정질 탄소층을 증착시키는 단계를 포함한다. 상기 비정질 탄소층이 증착된 후, 그위에 중간층이 형성된다. 패턴이 상기 중간층에 형성되어, 상기 비정질 탄소층으로 전사된다. 그 후, 상기 패턴은 하드마스크로서 상기 비정질 탄소층을 사용하여 상기 기판으로 전사된다. 또한, 상기 비정질 탄소 하드마스크에 형성된 상기 패턴은 예컨대, 다마신 구조(damascene structure)에서와 같이, 상기 집적 회로의 구조에 통합될 수 있다.
다른 집적 회로 제조 공정에 있어서, 상기 비정질 탄소층은 DUV 리소그라픽을 위해 단일층의 무반사 코팅으로서 사용된다. 그러한 실시를 위해, 바람직한 공정 시퀀스는 기판상에 상기 비정질 탄소층을 형성하는 단계를 포함한다. 상기 비정질 탄소층은 약 250nm 미만의 파장에서 약 1.5 내지 약 1.9 범위의 굴절률(n) 및 약 0.1 내지 약 1,0 범위의 흡수 계수(k)를 갖는다. 상기 비정질 탄소 ARC에 대한 상기 굴절률(n) 및 흡수 계수(k)는 층을 형성하는 동안 상기 가스 혼합물의 온도 및 조성의 함수로서 원하는 범위 내에서 가변시킬 수 있으므로, 조절가능하다. 상기 비정질 탄소층이 상기 기판상에 형성된 후, 그위에 에너지에 민감한 레지스트층이 형성된다. 패턴이 약 250nm 이하의 파장에서 상기 에너지에 민감한 레지스트에 형성된다. 그 후, 상기 에너지에 민감한 레지스트에 형성된 상기 패턴은 상기 비정질 탄소층으로 전사된다. 상기 비정질 탄소층이 패턴닝된 다음, 상기 패턴이 선택적으로 상기 기판으로 전사된다.
또 다른 집적 회로 제조 공정에 있어서, 다층 비정질 탄소 무반사 코팅이 DUV 리소그라픽에 사용된다. 그러한 실시를 위해, 바람직한 공정 시퀀스는 기판상에 제1 비정질 탄소층을 형성하는 단계를 포함한다. 상기 제1 비정질 탄소층은 약 250nm 이만의 파장에서 약 1.5 내지 약 1.9 범위의 굴절률 및 약 0.5 내지 약 1.0 범위의 흡수 계수(k)를 갖는다. 상기 제1 비정질 탄소층이 상기 기판상에 형성된 다음, 그 위에 제 2 비정질 탄소층이 형성된다. 상기 제 2 비정질 탄소층은 약 1.5 내지 약 1.9 범위의 굴절률 및 약 0.1 내지 약 0.5 범위의 흡수 계수(k)를 갖는다. 상기 굴절률(n) 및 상기 흡수 계수(k)는 층을 형성하는 동안 상기 가스 혼합물의 온도 및 조성의 함수로서 원하는 범위 내에서 가변시킬 수 있으므로, 조절가능하다. 에너지에 민감한 레지스터 재료의 층이 상기 제 2 비정질 탄소층 상에 형성된다. 패턴이 약 250nm 미만의 파장에서 상기 에너지에 민감한 레지스터 층에 형성된다. 그 후, 상기 에너지에 민감한 레지스트에 형성된 상기 패턴이 상기 제 1 비정질 탄소층이 따르는 상기 제 2 비정질 탄소층으로 전사된다. 상기 제 1 비정질 탄소층이 패터닝된 다음, 상기 패턴은 선택적으로 상기 기판으로 전사된다.
본 발명은 비정질 탄소층을 이용하여 집적 회로를 형성하는 방법을 제공한다. 상기 비정질 탄소층은 탄화수소 화합물 및 불활성 가스를 포함하는 가스 혼합물을 열적으로 분해시킴으로써 형성된다. 첨가 가스를 포함할 수 있는 상기 가스 혼합물이 기판 표면에 아주 근접하게 탄화수소 화합물의 플라즈마 강화 열 분해가 이루어지는 공정 챔버에 주입되어, 상기 기판 표면상에 비정질 탄소층이 증착된다. 상기 비정질 탄소층은 이하에서 설명하는 집적 회로 제조 공정들에 호환적이다.
도 1은 본 발명에 따라 비정질 탄소층 증착을 수행하는데 사용될 수 있는 웨이퍼 공정 시스템(10)의 개략도이다. 이러한 장치는 공정 챔버(100), 가스 패널(130), 제어 유니트(110), 및 파워 공급원들 및 진공 펌프들과 같은 그 밖의 다른 하드웨어 부품들을 포함한다. 본 발명에서 사용되는 상기 시스템(10)의 상세한 설명은 "High Temperature Chemical Vapor Deposition Chamber"라는 명칭으로 1998년 12월 14일자로 출원된 출원 번호 09/211,988의 일반 양도된 미국 특허 출원에 기재되어 있으며, 본원의 참조로써 통합된다. 이 시스템(10)의 특징을 이하에서 대략적으로 설명한다. 시스템(10)의 예들에는 "Santa Clara, California"에 위치한 "Applied Materials Inc."사로부터 상업적으로 구입가능한 "CENTURA" 시스템들, "PRECISION5000" 시스템 및 "PRODUCERTM" 시스템을 포함한다.
상기 공정 챔버(100)는 일반적으로 반도체 웨이퍼(190)와 같은 기판을 지지하기 위해 사용되는 지지 받침대(150)를 포함한다. 이러한 지지 받침대(150)는 전형적으로 변위 메커니즘(도시하지 않음)을 사용하여 상기 챔버(100) 내측에서 수직 방향으로 이송될 수 있다. 특정 공정에 의존하여, 상기 웨이퍼(190)는 처리 전에 어떤 원하는 온도로 가열될 수 있다. 본 발명에 있어서, 상기 웨이퍼 지지 받침대(150)는 내장된 히터 부재(170)에 의해 가열된다. 예컨대, 상기 받침대(150)는 AC 공급원(160)으로부터의 전류를 상기 히터 부재(170)로 인가함으로써 저항성으로 가열될 수 있다. 상기 웨이퍼(190)는 차례로 상기 받침대(150)에 의해 가열된다. 상기 받침대(150)의 온도를 통상적인 방식으로 모니터링하기 위해 열전쌍과 같은, 온도 센서(172)가 또한 상기 웨이퍼 지지 받침대(150)에 장착된다. 상기 측정된 온도는 상기 히터 부재(170)를 위해 파워 전원(16)을 제어하기 위한 폐쇄 루프에 사용되어, 상기 웨이퍼 온도는 특정 공정 응용에 적합한 원하는 온도를 유지 또는 조절될 수 있다. 상기 받침대(150)는 플라즈마를 사용하거나 또는 복사열(도시하지 않음)에 의해 선택적으로 가열된다.
진공 펌프(102)는 상기 공정 챔버(100)를 배기시키고 상기 챔버(100) 내측에서의 적절한 가스 흐름들 및 압력을 유지하기 위해 사용된다. 샤워헤드(120; showerhead)를 통해 공정 가스들이 상기 챔버(100)로 주입되며, 상기 샤워헤드(120)는 상기 웨이퍼 지지 받침대(150)의 상부에 배치된다. 상기 샤워헤드(120)는 상기 공정 시퀀스의 다른 단계들에서 사용되는 다양한 가스들을 제어 및 공급하는 가스 판넬(130)에 연결된다.
또한 샤워헤드(120) 및 웨이퍼 지지 받침대(150)는 이격된 한쌍의 전극들을 형성한다. 이 전극들 사이에 전기장이 발생되는 경우, 상기 챔버(100)에 주입된 상기 공정 가스들은 플라즈마로 점화된다. 전형적으로, 상기 전기장은 정합 네트워크(도시하지 않음)를 통해 상기 웨이퍼 지지 받침대(150)를 무선 주파수(RF) 파워의 소스(도시하지 않음)에 연결시킴으로써 발생된다. 택일적으로, 상기 RF 파워 소스 및 정합 네트워크는 상기 샤워헤드(120)에 연결될 수 있으며, 또는 상기 샤워헤드(120) 및 상기 웨이퍼 지지 받침대(150) 양자에 연결될 수 있다.
플라즈마 강화 화학 기상 증착(PECVD) 기술들은 반응 종의 플라즈마를 생성 하는 상기 전기장의 상기 기판 표면 근처의 반응 영역으로의 인가에 의해 상기 반응물 가스들의 여기(excitation) 및 해리(disassociation)를 조장한다. 상기 플라즈마에서의 상기 종의 반응은 그러한 PECVD 공정들에서 요구되는 온도를 효과적으로 낮춰, 발생시킬 화학적 반응에서 필요로 하는 에너지를 감소시킨다.
본 실시예에서, 비정질 탄소층 증착은 프로필렌(C3H6)과 같은 탄화수소 화합물의 플라즈마 강화 열 분해 탄화수소 화합물에 의해 달성된다. 프로필렌은 가스 패널(130)의 제어하에 공정 챔버(100)에 주입된다. 탄화수소 화합물은 조절된 유량으로 공정 챔버에 주입된다.
상기 가스 패널(130)을 통과하여 흐르는 상기 가스의 적절한 제어 및 조절은 질량 흐름 제어기들(도시하지 않음) 및 컴퓨터와 같은 제어기 유니트(110)에 의해 수행된다. 상기 샤워헤드(120)는 상기 가스 패널(30)로부터의 공정 가스들이 상기 공정 챔버(100)로 균일하게 분배 및 주입되게 한다. 예로, 상기 제어 유니트(110)는 중앙 처리 장치(112; CPU), 지지 회로(114), 및 관련된 제어 소프트웨어를 저장하고 있는 메모리(116)를 포함한다. 이러한 제어 유니트(110)는 웨이퍼 이송, 가스 흐름 제어, 온도 제어, 챔버 배기 등과 같은 웨이퍼 공정에 필요한 다수의 단계들의 자동 제어를 담당한다. 상기 제어 유니트(110) 및 상기 장치(10)의 다양한 부품들 사이의 양방향 통신들은 그 몇몇이 도 1에 도시된, 총괄하여 신호 버스들(118)하고 하는 다수의 신호 케이블들을 통해 취급된다.
본 발명에서 사용되는 상기 가열된 받침대(150)는 알루미늄으로 만들어지며, 상기 받침대(150)의 상기 웨이퍼 지지 표면(151) 아래에 간격을 두고 내장된 히터 부재(170)를 포함한다. 상기 히터 부재(170)는 인코로이 시스 튜브(Incoloy sheath tube) 내부로 캡슐화된 니켈 크롬 와이어로 만들 수 있다. 상기 히터 부재(170)에 제공되는 전류를 적절하게 조절함으로써, 상기 웨이퍼(190) 및 상기 받침대(150)를 막 증착 동안 비교적 일정한 온도로 유지시킬 수 있다. 이것은 상기 받침대(150)의 온도를 상기 받침대(150)에 장착된 열전쌍(172)에 의해 연속적으로 모니터링하는 폐쇄 제어 루프로 성취된다. 이러한 정보는 신호 버스(118)를 통해 상기 히터 파워 공급원에 필요한 신호들을 전송함으로써 응답하는 상기 제어 유니트(110)에 전송된다. 원하는 온도 즉, 특정 공정 응용에 적합한 온도로 상기 받침대(150)를 유지 및 제어하기 위해, 실질적으로 상기 전류 공급원(106)에서 조절이 이루어진다. 상기 공정 가스 혼합물이 상기 샤워헤드(120)에서 배기될 때, 탄화수소 화합물의 플라즈마 강화 열 분해가 상기 가열된 웨이퍼(190)의 표면에서 발생되어, 상기 웨이퍼(190)상에 비정질 탄소층이 증착된다.
비정질
탄소층 형성
본 발명의 일 실시예에서, 상기 비정질 탄소층은 탄화수소 화합물 및 아르곤(Ar) 또는 헬륨(He)과 같은 불활성 가스의 가스 혼합물로부터 형성된다. 상기 탄화수소 화합물은 일반 화학식 CxHy를 갖으며, 여기서 x는 2 및 4 사이의 범위를 갖으며, y는 2 및 10 사이의 범위를 갖는다. 예컨대, 프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 부탄(C4H10), 부틸렌(C4H8), 부타디엔(C4H6), 아세테린(C2H2) 및 그 조합들이 상기 탄화수소 화합물로서 사용될 수 있다. 유사하게, 원한다면, 수소(H2), 질소(N2), 암모니아(NH3), 또는 그 조합들과 같은 다양한 가스들이 상기 가스 혼합물에 첨가될 수 있다. Ar, He, 및 N2는 상기 비정질 탄소층의 밀도 및 증착 속도를 제어하기 위해 사용된다. H2 및/또는 NH3의 첨가는 이하에서 설명하는 바와 같이, 비정질 탄소층의 수소 비율을 제어하기 위해 사용될 수 있다.
일반적으로, 다음의 증착 공정 파라미터들은 상기 비정질 탄소층을 형성하기 위해 사용될 수 있다. 상기 공정 파라미터들은 약 100℃ 내지 약 500℃의 웨이퍼 온도, 약 1torr 내지 약 20torr의 챔버 압력, 약 50sccm 내지 약 500sccm의 탄화수소 가스(CxHy) 유속(8inch 웨이퍼에 대해), 약 3W/in2 내지 20W/in2 사이의 RF 파워, 및 약 300mils 내지 약 600mils 사이의 플레이트 거리에서 가변된다. 위의 공정 파라미터들은 약 100Å/mim 내지 약 1000Å/min 범위의 상기 비정질 탄소층에 대한 전형적인 증착 속도를 제공하며, "Applied Materials. Inc."사로부터 구입가능한 증착 챔버내에서 200mm 기판상에서 실시될 수 있다.
*그 밖의 증착 챔버들은 본 발명의 범위내에 있으며, 위에 작성한 파라미터들은 상기 비정질 탄소층을 형성에 사용된 특정 증착 챔버에 따라 가변될 수 있다. 예컨대, 그 밖의 증착 챔버들은 "Applied Materials. Inc."사로부터 구입가능한 증 착 챔버들에 대해 언급된 것들보다 크거나 또는 작은 가스 유속을 요구하는 보다 크거나 또는 작은 부피를 가질 수 있다.
이와 같이 증착된 비정질 탄소층은 약 10% 수소에서 약 60% 수소까지 가변되는 조절가능한 탄소 대 수소 비율을 갖는다. 상기 비정질 탄소층의 상기 수소 비율의 제어는 그 식각 선택도 뿐만 아니라 그 광학 특성들의 조율을 위해 바람직하다. 특히, 상기 수소 비율이 감소함에 따라, 예컨대, 굴절률(n) 및 흡수 계수(k)와 같은 이와 같이 증착된 층의 광학 특성들은 증가한다. 유사하게, 상기 수소 비율이 감소함에 따라, 상기 비정질 탄소층의 식각 내성은 증가한다. DUV 파장에서 무반사 코팅(ARC)으로서의 사용에 적합하게 하여, 상기 비정질 탄소층의 상기 광 흡수 계수 k를 250nm 이하의 파장에서 약 0.1에서 약 1.0 사이에서 가변시킬 수 있다. 상기 비정질 탄소층의 상기 광 흡수 계수를 증착 온도의 함수로서 가변시킬 수 있다. 특히, 온도가 증감함에 따라 이와 같이 증착된 층의 상기 흡수 계수 역시 증가한다. 예컨대, 프로필렌이 상기 탄화수소 화합물인 경우, 상기 증착된 비정질 탄소층들에 대한 k 값을 약 150℃부터 약 480℃까지 증착 온도를 증가시킴으로써, 약 0.2부터 약 0.7까지 증가시킬 수 있다.
상기 비정질 탄소층의 상기 흡수 계수를 상기 가스 혼합물에 사용되는 첨가제의 함수로서 또한 가변시킬 수 있다. 특히, 상기 가스 혼합물에 H2, NH3, N2, 또는 그 조합물들의 주입은 약 10% 내지 약 100%까지 상기 k 값을 증가시킬 수 있다.
집적 회로 제조 공정들
A. 비정질 탄소 하드마스크
도 2a-e에는 하드마스크로서의 비정질 탄소층을 포함하는 집적 회로 제조 시퀀스의 다른 단계들에서 기판(200)의 개략적인 단면도들이 도시되어 있다. 일반적으로 상기 기판(200)은 공정이 수행되는 작업재료(workpiece)를 가리키며, 기판 구조(250)는 상기 기판(200)상에 형성된 다른 재료 층들과 함께 기판(200)을 일반적으로 나타내기 위해 사용된다. 공형성 특정 단계에 의존하여, 상기 기판(200)은 기판상에 형성된 실리콘 기판 또는 다른 재료 층에 대응될 수 있다. 예컨대, 도 2a에는 그 상부에 통상적으로 형성된 재료 층(202)을 갖는 기판 구조(250)의 단면도가 도시되어 있다. 상기 재료 층(202)은 산화물(예컨대, SiO2)일 수 있다. 일반적으로, 상기 기판(200)은 실리콘, 실리사이드, 금속 또는 그 밖의 재료 층을 포함할 수 있다. 도 2a에는 상기 기판(200)이 그 상부에 형성된 이산화실리콘층을 갖는 실리콘인 실시예가 도시되어 있다.
도 2b에는 도 2a의 상기 기판 구조(250)상에 증착된 비정질 탄소층(204)이 도시되어 있다. 상기 비정질 탄소층(204)은 위에서 설명한 공정 파라미터들에 따라 상기 기판 구조(250)상에 형성된다. 상기 비정질 탄소층의 두께는 공정의 특정 단계에 의존하여 가변된다. 전형적으로, 상기 비정질 탄소층은 약 50Å 내지 약 1000Å 범위의 두께를 갖는다.
상기 제조 시퀀스에 사용된 에너지에 민감한 레지스트 재료의 식각 화학적 작용에 의존하여, 중간층(206)이 상기 비정질 탄소층(204)상에 형성된다. 상기 층(206)은 패턴이 그곳에 전사될 때 상기 비정질 탄소층(204)에 대한 마스크로서 작용한다. 상기 중간층(206)은 상기 비정질 탄소층(204)상에 통상적으로 형성된다. 상기 중간층(206)은 산화물, 질화물, 실리콘 옥시나이트라이드, 실리콘 카바이드, 비정질 실리콘 또는 그 밖의 재료들일 수 있다.
에너지에 민감한 레지스트 재료층(208)이 상기 중간층(206)상에 형성된다. 상기 에너지에 민감한 레지스트 재료층(208)은 약 2000Å 내지 약 6000Å 범위내의 두께로 상기 기판상에 스핀 코팅될 수 있다. 대부분의 에너지에 민감한 레지스트 재료들은 약 450nm 이하의 파장을 갖는 자외(UV)선에 민감하다. DUV 레지스트 재료들은 245nm 또는 193nm의 파장을 갖는 UV선에 민감하다.
마스크(210)를 통해 상기 에너지에 민감한 레지스트 재료(208)를 UV선에 노출시킴으로써 패턴의 이미지는 에너지에 민감한 레지스트 재료(208)의 층으로 전사된다. 상기 에너지에 민감한 레지스트 재료(208)의 층으로 전사된 이미지는 도 2c에 도시된 바와 같이, 그러한 층을 통해 상기 패턴을 형성하기 위한 적절한 현상기기(developer)에서 현상된다. 그 후, 도 2d를 참조하면, 에너지에 민감한 레지스트 재료(208)에 형성된 패턴은 증간층(206) 및 상기 비정질 탄소층(204) 모두를 통해 전사된다. 에너지에 민감한 레지스트 재료(208)를 마스크로서 사용하여, 상기 패턴은 상기 중간층(206)을 통해 전사된다. 다음 마스크로서 중간층(206)을 사용 하여 비정질 탄소등을 통해 패턴이 전사된다. 적절한 화학적 에천트(chemical etchant)를 사용하여 상기 중간층(206)을 식각함으로써 상기 패턴은 상기 중간층(206)을 통해 전사된다. 적절한 화학적 에천트(예컨대, 오존, 산소 또는 암모니아 플라즈마)를 사용하여 상기 비정질 탄소층(204)을 식각함으로써 상기 패턴은 상기 비정질 탄소층(204)을 통해 전사된다.
도 2e는 하드마스크로서 상기 비정질 탄소층(204)을 사용하여 이산화 실리콘층(202)을 통해 상기 비정질 탄소층(204)에 형성된 패턴의 전사에 의해 상기 집적 회로 제조 시퀀스의 완료를 도시한 도면이다.
상기 이산화 실리콘층(202)이 패턴닝된 다음, 상기 비정질 탄소층(204)은 오존, 산소 또는 암모니아 플라즈마에서의 식각에 의해 상기 기판(200)으로부터 선택적으로 제거될 수 있다.
제조 시퀀스의 특정 예에서, 상기 비정질 탄소 하드마스크에 형성된 상기 패턴은 다마신 구조와 같이, 집적 회로의 구조에 통합될 수 있다. 다마신 구조들은 전형적으로 집적 회로들상의 금속 회로 연결들을 형성하기 위해 사용된다.
도 3a-3e는 비정질 탄소층을 통합시키는 다마신 구조 제조 시퀀스의 다른 단계들에서 기판(260)의 개략적인 단면도들을 도시한 도면들이다. 공형성 특정 단계에 의존하여, 기판(260)은 실리콘 기판 또는 상기 기판상에 형성된 그 밖의 재료 층에 대응될 수 있다. 예컨대, 도 3a에는 그 상부에 형성된 유전체층(262)을 갖는 기판(260)의 단면도가 도시되어 있다. 유전체층(262)은 산화물 예를 들어 이산화 실리콘, 플루오로실리케이트 글라스이다. 일반적으로, 기판(260)은 실리콘, 실리 사이드(silicide), 금속 또는 그 밖의 재료들을 포함할 수 있다.
도 3a에는 상기 기판(260)이 그 상부에 형성된 플루오로실리케이트 유리층을 갖는 실리콘인 실시예가 도시되어 있다. 상기 유전체층(262)은 제조하려는 구조의 크기에 의존하여, 약 5000Å 내지 약 10,000Å의 두께를 갖는다. 비정질 탄소층(264)이 상기 유전체층(262)상에 형성된다. 상기 비정질 탄소층은 위에서 설명한 공정 파라미터들에 따라 상기 유전체층(262)상에 형성된다. 상기 비정질 탄소층(264)은 약 200Å 내지 약 1000Å의 두께를 갖는다.
도 3b를 참조하면, 상기 비정질 탄소층(264)은 콘택/비아(contact/via)가 형성되어야 되는 영역들에서 콘택/비아홀(266; contact/via openings)을 형성하고 상기 유전체층(262)을 노출시키기 위해 패터닝 및 식각된다. 상기 비정질 탄소층(264)은 통상의 리소그라픽을 사용하여 패터닝되며, 산소 또는 암모니아 플라즈마를 사용하여 식각된다.
이어, 상기 비정질 탄소층(264)에 형성된 상기 콘택/비아홀(266)은 도 3c에 도시된 바와 같이, 하드 마스크로서 상기 비정질 탄소층(264)을 사용하여 상기 유전체 층(262)으로까지 진행한다. 상기 콘택/비아(266)는 반응성 이온 식각 또는 그 밖의 비등방성 식각 기술들을 사용하여 식각된다. 상기 콘택/비아(266)는 상기 유전체층(262)까지 진행된 다음, 상기 비정질 탄소층은 도 3d에 도시된 바와 같이, 오존, 산소, 또는 암모니아 플라즈마에서 식각시킴으로써 상기 유전체층(262)으로부터 제거된다.
도 3e를 참조하면, 금속화 구조가 알루미늄, 구리, 텅스텐, 또는 그 조합들 과 같은 전도성 재료(274)를 사용하여 상기 콘택/비아(266)에 형성된다. 전형적으로, 그 낮은 고유 저항(약 1.7μΩ -㎝)으로 인해 상기 금속화 구조를 형성하기 위해 구리가 사용된다. 상기 전도성 물질(274)은 다마신 구조를 형성하기 위해 화학 기상 증착, 물리 기상 증착, 전기도금, 또는 그 조합들을 사용하여 증착된다. 바람직하게는, 주변 유전체층(262)으로 금속 이동을 방지하기 위해, 탄탈, 질화 탄탈 또는 그 밖의 적절한 배리어와 같은 벽 층(272)이 상기 금속화 구조에 등각으로 먼저 증착된다. 부가적으로, 상기 유전체층(262)은 바람직하게는 상기 금속화 구조의 이웃한 콘택/비아들(266) 사이에서의 용량성 결합을 방지하기 위해 낮은 유전 상수(4.5 이하의 유전상수)를 갖는다.
B. 비정질 탄소 무반사성 코팅(ARC)
도 4a-4c에는 무반사성 코팅(ARC)으로서의 비정질 탄소층을 포함하는 집적 회로 제조 시퀀스의 다른 단계에서의 기판(300)의 개략적인 단면도이 도시되어 있다. 일반적으로, 상기 기판(300)은 그 상부에서 막 공정이 이행되는 재료(workpiece)를 가리키며, 기판 구조(350)는 상기 기판(300)상에 형성된 다른 재료 층들과 함께 상기 기판(300)을 일반적으로 나타내기 위해 사용된다. 공정의 특정 단계에 의존하여, 상기 기판(300)은 상기 기판상에 형성된 실리콘 기판 또는 그 밖의 재료 층에 대응될 수 있다. 예컨대, 도 4a에는 상기 기판(300)이 실리콘 웨이퍼상에 형성된 산화물 층인 기판 구조(350)의 단면도가 도시되어 있다.
비정질 탄소층(302)이 상기 기판(300)상에 형성된다. 상기 비정질 탄소층 (302)은 위에서 설명한 공정 파라미터들에 따라 상기 기판(300)상에 형성된다. 상기 비정질 탄소층은 약 1.5 내지 1.9 범위의 굴절률(n) 및 DUV 파장에서 ARC로서의 사용에 적절케하는 약 250nm 이하의 파장에서 약 0.1 내지 약 1.0 범위의 흡수 계수(k)를 갖는다. 상기 굴절률(n) 및 흡수 계수(k)는 층을 형성하는 동안 가스 혼합물 뿐 만 아니라 온도의 함수로서 원하는 범위내에서 가변시킬 수 있으므로, 상기 비정질 탄소 ARC에 대한 상기 굴절률(n) 및 흡수 계수(k)는 조절가능하다. 상기 비정질 탄소층의 두께는 공형성 특정 단계에 의존하여 가변될 수 있다. 전형적으로, 상기 비정질 탄소층은 약 200Å 내지 1100Å의 두께를 갖는다.
도 4b에는 도 4a의 기판 구조(350)상에 형성된 에너지에 민감한 레지스트 재료층(304)이 도시되어 있다. 상기 에너지에 민감한 레지스트 재료층(304)은 약 2000Å 내지 약 6000Å의 범위내의 두께로 상기 기판상에 스핀 코팅될 수 있다. 상기 에너지에 민감한 레지스트 재료는 250nm 이하의 파장을 갖는 DUV선에 민감하다. 마스크(306)를 통해 그러한 에너지에 민감한 레지스트 재료(304)를 DUV선에 노출시킴으로써, 패턴의 이미지가 상기 에너지에 민감한 레지스트 재료의 층(304)으로 주입된다. 상기 에너지에 민감한 레지스트 재료의 층(304)으로 주입된 상기 패턴의 이미지는 그러한 층을 통해 상기 패턴을 형성하기 위해 적절한 현상기내에서 현상된다. 그 후, 도 4c를 참조하면, 상기 에너지에 민감한 레지스트 재료(304)에 형성된 상기 패턴은 상기 비정질 탄소층(302)을 통해 전사된다. 상기 에너지에 민감한 레지스트 재료(304)를 마스크로서 사용하여, 상기 패턴은 상기 비정질 탄소층(302)을 통해 전사된다. 적절한 화학적 에천트(예컨대, 오존, 산소 또는 암모니아 플라즈마)를 사용하여 식각함으로써 상기 패턴은 상기 비정질 탄소층(302)을 통해 전사된다.
상기 비정질 탄소(302)가 패턴닝된 후, 그 패턴은 상기 기판(300)으로 선택적으로 전사된다. 전형적으로, 기판(300)이 실리콘 기판상의 산화물 층을 포함하는 경우, 상기 산화물의 식각 선택도는 약 3:1 내지 약 5:1이다. 특히, 상기 산화물은 상기 레지스트보다 약 3 내지 5배 빠르게 식각될 것이다. 대조적으로, 본 발명의 비정질 탄소 ARC 층은 약 10:1 이상의 산화물에 대한 식각 선택도를 갖는다. 즉, 상기 산화물은 상기 비정질 탄소 ARC보다 10배 이상의 속도로 식각될 것이다. 이와 같이, 부가적인 중간 하드마스크 층을 요구하는 부가적인 복잡성 없이, 상기 비정질 탄소 ARC 층은 또한 상기 산화물 패터닝을 위해 하드마스크로서의 보다 큰 식각 선택도를 제공한다.
또다른 실시예에서, 상기 비정질 탄소층은 층의 두께에 따라 가변되는 흡수 계수(k)를 가질 수 있다. 즉, 상기 비정질 탄소층은 그 곳에 형성된 흡수 계수 기울기(gradient)를 가질 수 있다. 그러한 기울기는 층 형성 동안 가스 혼합물의 조합 및 온도의 함수로서 형성된다. 두 물질 층들 사이의 계면에서, 굴절률(n) 및 흡수 계수(k)에서의 차이로 인해 반사가 발생될 수 있다. 상기 비정질 탄소 ARC가 기울기를 갖는 경우, 두 재료 층들의 굴절률(n) 및 흡수 계수(k)을 정합시키는 것은 가능하며, 그에 따라 최소 반사 및 상기 비정질 탄소 ARC로의 최대 전달이 존재한다. 이어서, 상기 비정질 탄소 ARC의 굴절률(n) 및 흡수 계수(k)를 그 곳에 전달된 모든 빛을 흡수할 수 있도록 점진적으로 조절할 수 있다.
C. 다층 비정질 탄소 무반사 코팅(ARC)
도 5a-5d에는 다층 비정질 탄소 무반사(anti-reflective) 코팅(ARC) 구조를 포함하는 집적 회로 제조 시퀀스의 다른 단계들에서 기판(400)의 개략적인 단면도들이 도시되어 있다. 일반적으로, 상기 기판(400)은 그 상부에서 막 공정이 이행되는 작업재료(workpiece)를 가리키며, 기판 구조(450)는 상기 기판(400)상에 형성된 다른 재료 층들과 함께 상기 기판(400)을 일반적으로 나타내기 위해 사용된다. 공형성 특정 단계에 의존하여, 기판(400)은 상기 기판상에 형성된 실리콘 기판 또는 그 밖의 재료 층에 대응될 수 있다. 예컨대, 도 5a에는 상기 기판(400)이 실리콘 웨이퍼인 기판 구조(450)의 단면도가 도시되어 있다.
제1 비정질 탄소층(402)이 상기 기판(400)상에 형성된다. 상기 제1 비정질 탄소층(402)은 위에서 설명한 공정 파라미터들에 따라 상기 기판(400)상에 형성된다. 상기 제1 비정질 탄소층(402)은 일차적으로 빛 흡수를 위해 설계되었다. 그에 따라, 상기 제1 비정질 탄소층(402)은 약 1.5 내지 1.9 범위의 굴절률(n) 및 약 250nm 이하의 파장에서 약 0.5 내지 약 1.0 범위의 흡수 계수(k)를 갖는다. 공형성 특정 단계에 의존하여 상기 제1 비정질 탄소층(402)의 두께는 가변된다. 전형적으로, 상기 제1 비정질 탄소층(402)은 약 300Å 내지 1500Å 범위내의 두께를 갖는다.
제2 비정질 탄소층(404)은 상기 제1 비정질 탄소층(402)상에 형성된다. 상기 제2 비정질 탄소층(404)은 위에서 설명한 공정 파라미터들에 따라 형성된다. 상기 제2 비정질 탄소층(404)은 일차적으로 위상 이동 소거(phase shift cancellation)를 위해 설계된다. 특히, 상기 제2 비정질 탄소층은 위에 놓이는 재료 층(예컨대, 에너지에 민감한 레지스트 재료)과의 계면에서 발생된 것들을 소거하는 반사들을 생성하도록 설계된다. 그에 따라, 상기 제2 비정질 탄소층(404)은 약 1.5 내지 약 1.9의 굴절률 및 약 0.1 내지 약 0.5 범위내의 흡수 계수를 갖는다.
공형성 특정 단계에 의존하여, 상기 제2 비정질 탄소층(404)의 두께는 또한 가변적이다. 전형적으로, 상기 제2 비정질 탄소층(404)은 약 300Å 내지 약 700Å 범위내의 두께를 갖는다. 상기 제1 및 제2 비정질 탄소층들의 굴절률(n) 및 흡수 계수(k)는 층 형성 동안 상기 가스 혼합물의 조성 뿐 만 아니라 온도의 함수로서 가변될 수 있으므로 조절가능하다.
부가적인 비정질 탄소층들이 상기 다층 비정질 탄소 ARC 구조에 포함될 수 있다. 예컨대, 하나 또는 그 이상의 하위 층이 예컨대, 낮은 유전체 상수 산화물들과 같은 아래 놓이는 재료들과 다층 비정질 탄소 ARC의 계면에서 반사들을 최소화하여 그곳에 전달된 빛을 흡수하기 위해 사용될 수 있는 반면, 하나 또는 그 이상의 상위 층이 예컨대, 상기 에너지에 민감한 레지스트 재료와의 계면에서 발생되는 반사들을 제거하기 위해 사용될 수 있다.
도 5b에는 도 5a의 기판 구조(450)상에 형성된 에너지에 민감한 레지스트 재료(406)의 층이 도시되어 있다. 상기 에너지에 민감한 레지스트 재료층은 약 2000Å 내지 약 6000Å 범위내의 두께로 상기 기판상에 스핀 코팅될 수 있다. 상기 에 너지에 민감한 레지스트 재료는 250nm 이하의 파장을 갖는 DUV선에 민감하다.
패턴의 이미지는 DUV선에 그러한 에너지에 민감한 레지스트 재료(406)를 마스크(408)를 통해 노출시킴으로써 에너지에 민감한 레지스트 재료(406)로 주입된다.
상기 에너지에 민감한 레지스트 재료(406)의 층으로 주입된 상기 패턴의 이미지는 도 5c에 도시된 바와 같이, 그러한 층을 통해 상기 패턴을 형성하기 위해 적절한 현상기내에서 현상된다. 이 후, 도 5d를 참조하면, 상기 에너지에 민감한 레지스트 재료(406)에 형성된 상기 패턴은 상기 에너지에 민감한 레지스트 재료(406)를 마스크로서 사용하여 양 비정질 탄소층들(404, 402)을 통해 전사된다. 적절한 화학적 에천트(예컨대, 오존, 산소 또는 암모니아 플라즈마)를 사용하여 그들을 식각함으로써 상기 패턴은 상기 비정질 탄소층들(404, 402)을 통해 전사된다. 다층 ARC가 패턴닝된 이 후, 그러한 패턴은 상기 기판으로 선택적으로 전사된다.
도 5a-5d를 참조하여 설명한 상기 다층 비정질 탄소 ARC 구조는 단일층 비정질 탄소 ARC에 대해 위에서 설명한 바와 같이, 부가적인 중간 하드마스크 층을 요구하는 부가된 복잡성 없이, 낮은 유전상수 산화물들과 같은 그러한 아래 놓이는 재료 층들을 패턴닝하기 위해 하드마스크로서의 식각 선택성을 또한 제공한다.
비록, 본 발명의 지적들을 포함하는 여러 바람직한 실시예들 구체적으로 나타내고 설명하였지만, 당업자라면 이러한 지적들을 포함하는 많은 다른 변형된 실시들을 고안할 수 있다.
Claims (47)
- 디바이스를 형성하는 방법으로서,a) 기판상에 하나 또는 그 이상의 비정질 탄소층들을,i) 증착 챔버내에 기판을 위치시키고,ii) 하나 또는 그 이상의 탄화수소 화합물들 및 불활성 가스를 포함하는 가스 혼합물을 상기 증착 챔버에 제공하고,iii) 상기 기판상에 비정질 탄소층을 형성하기 위해 상기 가스 혼합물내의 상기 하나 또는 그 이상의 탄화수소 화합물들이 열적으로 분해되도록 상기 가스 혼합물을 가열함에 의해,형성하는 단계;b) 상기 하나 또는 그 이상의 비정질 탄소층들의 적어도 하나의 영역에 패턴을 형성하는 단계; 및c) 마스크로서 상기 하나 또는 그 이상의 비정질 탄소층들을 사용하여 상기 하나 또는 그 이상의 비정질 탄소층들의 상기 적어도 하나의 영역에 형성된 상기 패턴을 상기 기판으로 전사시키는 단계를 포함하는 디바이스 형성 방법.
- 제 1 항에 있어서, 상기 가스 혼합물내의 상기 하나 또는 그 이상의 탄화수소 화합물들은 일반 화학식 CxHy를 가지며,여기서 x는 2 내지 4의 범위를 가지며, y는 2 내지 10의 범위를 갖는 것을 특징으로 하는 디바이스 형성 방법.
- 제 2 항에 있어서, 상기 하나 또는 그 이상의 탄화수소 화합물들은 프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 부탄(C4H10), 부틸렌(C4H8), 부타디엔(C4H6), 아세테린(C2H2) 및 그 조합들로 구성되는 그룹에서 선택되는 것을 특징으로 하는 디바이스 형성 방법.
- 제 1 항에 있어서, 상기 비정질 탄소층은 10% 수소 내지 60% 수소 범위의 탄소:수소 비율을 갖는 것을 특징으로 하는 디바이스 형성 방법.
- 제 1 항에 있어서, 상기 불활성 가스는 헬륨, 아르곤 및 그 조합들로 구성되는 그룹에서 선택되는 것을 특징으로 하는 디바이스 형성 방법.
- 제 1 항에 있어서, 상기 가스 혼합물은 첨가 가스를 더 포함하는 것을 특징으로 하는 디바이스 형성 방법.
- 제 6 항에 있어서, 상기 첨가 가스는 암모니아, 질소, 수소, 및 그 조합물들로 구성되는 그룹에서 선택되는 것을 특징으로 하는 디바이스 형성 방법.
- 제 1 항에 있어서, 상기 기판은 100℃ 및 500℃ 사이의 온도로 가열되는 것을 특징으로 하는 디바이스 형성 방법.
- 제 1 항에 있어서, 상기 증착 챔버는 1Torr 내지 20Torr 사이의 압력으로 유지되는 것을 특징으로 하는 디바이스 형성 방법.
- 제 1 항에 있어서, 상기 가스 혼합물은 50sccm 내지 500sccm 범위의 유속으로 상기 증착 챔버에 제공되는 것을 특징으로 하는 디바이스 형성 방법.
- 제 1 항에 있어서, 상기 가스 혼합물은 전기장을 인가하여 가열되는 것을 특징으로 하는 디바이스 형성 방법.
- 제 11 항에 있어서, 상기 가스 혼합물에 인가된 상기 전기장은 무선 주파수(RF) 파워인 것을 특징으로 하는 디바이스 형성 방법.
- 제 12 항에 있어서, 상기 RF 파워는 3W/in2 내지 20W/in2 범위내에 있는 것을 특징으로 하는 디바이스 형성 방법.
- 제 1 항에 있어서, 상기 비정질 탄소층은 1.5 내지 1.9 범위의 굴절률을 갖는 것을 특징으로 하는 디바이스 형성 방법.
- 제 14 항에 있어서, 상기 비정질 탄소층은 250nm 이만의 파장에서, 0.1 내지 1.0 범위의 흡수 계수를 갖는 것을 특징으로 하는 디바이스 형성 방법.
- 제 9 항에 있어서, 상기 증착 챔버는 2 Torr의 압력으로 유지되는 것을 특징으로 하는 디바이스 형성 방법.
- 실행될 때, 범용성 컴퓨터가 디바이스 증착 형성 방법을 사용하여 증착 챔버를 제어하게 하는 소프트웨어 루틴을 함유하고 있는 컴퓨터 저장 매체로서,상기 디바이스 증착 형성 방법은,a) 기판상에 하나 또는 그 이상의 비정질 탄소층들을,i) 증착 챔버내에 기판을 위치시키고,ii) 하나 또는 그 이상의 탄화수소 화합물들 및 불활성 가스를 포함하는 가스 혼합물을 상기 증착 챔버에 제공하고,iii) 상기 기판상에 비정질 탄소층을 형성하기 위해 상기 가스 혼합물내의 상기 하나 또는 그 이상의 탄화수소 화합물들이 열적으로 분해되도록 상기 가스 혼합물을 가열함에 의해,형성하는 단계;b) 상기 하나 또는 그 이상의 비정질 탄소층들의 적어도 하나의 영역에 패턴을 형성하는 단계; 및c) 마스크로서 상기 하나 또는 그 이상의 비정질 탄소층들을 사용하여 상기 하나 또는 그 이상의 비정질 탄소층들의 상기 적어도 하나의 영역에 형성된 상기 패턴을 상기 기판으로 전사시키는 단계를 포함하는 컴퓨터 저장 매체.
- 제 17 항에 있어서, 상기 가스 혼합물내의 상기 하나 또는 그 이상의 탄화수소 화합물들은 일반 화학식 CxHy를 가지며,여기서 x는 2 내지 4의 범위를 가지며, y는 2 내지 10의 범위를 갖는 것을 특징으로 하는 컴퓨터 저장 매체.
- 제 18 항에 있어서, 상기 하나 또는 그 이상의 탄화수소 화합물들은 프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 부탄(C4H10), 부틸렌(C4H8), 부타디엔(C4H6), 아세테린(C2H2) 및 그 조합들로 구성되는 그룹에서 선택되는 것을 특징으로 하는 컴퓨터 저장 매체.
- 제 17 항에 있어서, 상기 비정질 탄소층은 10% 수소 내지 60% 수소 범위의 탄소:수소 비율을 갖는 것을 특징으로 하는 컴퓨터 저장 매체.
- 제 17 항에 있어서, 상기 불활성 가스는 헬륨, 아르곤 및 그 조합들로 구성되는 그룹에서 선택되는 것을 특징으로 하는 컴퓨터 저장 매체.
- 제 17 항에 있어서, 상기 가스 혼합물은 첨가 가스를 더 포함하는 것을 특징으로 하는 컴퓨터 저장 매체.
- 제 22 항에 있어서, 상기 첨가 가스는 암모니아, 질소, 수소, 및 그 조합물들로 구성되는 그룹에서 선택되는 것을 특징으로 하는 컴퓨터 저장 매체.
- 제 17 항에 있어서, 상기 기판은 100℃ 및 500℃ 사이의 온도로 가열되는 것을 특징으로 하는 컴퓨터 저장 매체.
- 제 17 항에 있어서, 상기 증착 챔버는 1Torr 내지 20Torr 사이의 압력으로 유지되는 것을 특징으로 하는 컴퓨터 저장 매체.
- 제 17 항에 있어서, 상기 가스 혼합물은 50sccm 내지 500sccm 범위의 유속으로 상기 증착 챔버에 제공되는 것을 특징으로 하는 컴퓨터 저장 매체.
- 제 17 항에 있어서, 상기 가스 혼합물은 전기장을 인가하여 가열되는 것을 특징으로 하는 컴퓨터 저장 매체.
- 제 27 항에 있어서, 상기 가스 혼합물에 인가된 상기 전기장은 무선 주파수(RF) 파워인 것을 특징으로 하는 컴퓨터 저장 매체.
- 제 28 항에 있어서, 상기 RF 파워는 3W/in2 내지 20W/in2 범위내에 있는 것을 특징으로 하는 컴퓨터 저장 매체.
- 제 17 항에 있어서, 상기 비정질 탄소층은 1.5 내지 1.9 범위의 굴절률을 갖는 것을 특징으로 하는 컴퓨터 저장 매체.
- 제 30 항에 있어서, 상기 비정질 탄소층은 250nm 미만의 파장에서, 0.1 내지 1.0 범위의 흡수 계수를 갖는 것을 특징으로 하는 컴퓨터 저장 매체.
- 제 25 항에 있어서, 상기 증착 챔버는 2 Torr의 압력으로 유지되는 것을 특징으로 하는 컴퓨터 저장 매체.
- 다마신 구조를 제조하는 방법으로서,a) 기판상에 유전체 층을 형성하는 단계;b) 상기 유전체 층상에 비정질 탄소층을 형성하는 단계;c) 콘택/비아를 형성하기 위해 상기 비정질 탄소층을 패터닝하는 단계;d) 콘택/비아를 형성하기 위해 상기 유전체 층을 통해 상기 비정질 탄소층에 형성된 패턴을 전사시키는 단계;e) 상기 패턴닝된 유전체 층으로부터 상기 비정질 탄소층을 제거하는 단계; 및f) 전도성 재료로 상기 유전체 층에 형성된 콘택/비아를 채우는 단계를 포함하며,상기 비정질 탄소층은 상기 유전체층 상에,i) 증착 챔버내에 상부에 유전체층을 갖는 기판을 위치시키고,ii) 하나 또는 그 이상의 탄화수소 화합물 및 불활성 가스를 포함하는 가스 혼합물을 상기 증착 챔버에 제공하고,iii) 상기 유전체 층상에 상기 비정질 탄소층을 형성하기 위해 상기 가스 혼합물내의 상기 하나 또는 그 이상의 탄화수소 화합물들을 열적으로 분해하기 위해 상기 가스 혼합물을 가열함에 의해 형성되는 다마신 구조 제조 방법.
- 제 33 항에 있어서, 상기 가스 혼합물내의 상기 하나 또는 그 이상의 탄화수소 화합물들은 일반 화학식 CxHy를 가지며,여기서 x는 2 내지 4의 범위를 가지며, y는 2 내지 10의 범위를 갖는 것을 특징으로 하는 다마신 구조 제조 방법.
- 제 34 항에 있어서, 상기 하나 또는 그 이상의 탄화수소 화합물들은 프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 부탄(C4H10), 부틸렌(C4H8), 부타디엔(C4H6), 아세테린(C2H2) 및 그 조합들로 구성되는 그룹에서 선택되는 것을 특징으로 하는 다마신 구조 제조 방법.
- 제 33 항에 있어서, 상기 비정질 탄소층은 10% 수소 내지 60% 수소 범위의 탄소:수소 비율을 갖는 것을 특징으로 하는 다마신 구조 제조 방법.
- 제 33 항에 있어서, 상기 불활성 가스는 헬륨, 아르곤 및 그 조합들로 구성되는 그룹에서 선택되는 것을 특징으로 하는 다마신 구조 제조 방법.
- 제 33 항에 있어서, 상기 가스 혼합물은 첨가 가스를 더 포함하는 것을 특징으로 하는 다마신 구조 제조 방법.
- 제 38 항에 있어서, 상기 첨가 가스는 암모니아, 질소, 수소, 및 그 조합물들로 구성되는 그룹에서 선택되는 것을 특징으로 하는 다마신 구조 제조 방법.
- 제 33 항에 있어서, 상기 기판은 100℃ 및 500℃ 사이의 온도로 가열되는 것 을 특징으로 하는 다마신 구조 제조 방법.
- 제 33 항에 있어서, 상기 증착 챔버는 1Torr 내지 20Torr 사이의 압력으로 유지되는 것을 특징으로 하는 다마신 구조 제조 방법.
- 제 33 항에 있어서, 상기 가스 혼합물은 50sccm 내지 500sccm 범위의 유속으로 상기 증착 챔버에 제공되는 것을 특징으로 하는 다마신 구조 제조 방법.
- 제 33 항에 있어서, 상기 가스 혼합물은 전기장을 인가하여 가열되는 것을 특징으로 하는 다마신 구조 제조 방법.
- 제 43 항에 있어서, 상기 가스 혼합물에 인가된 상기 전기장은 무선 주파수(RF) 파워인 것을 특징으로 하는 다마신 구조 제조 방법.
- 제 44 항에 있어서, 상기 RF 파워는 3W/in2 내지 20W/in2 범위내에 있는 것을 특징으로 하는 다마신 구조 제조 방법.
- 제 33 항에 있어서, 상기 비정질 탄소층은 200Å 내지 1000Å 범위의 두께를 갖는 것을 특징으로 하는 다마신 구조 제조 방법.
- 제 41 항에 있어서, 상기 증착 챔버는 2 Torr의 압력으로 유지되는 것을 특징으로 하는 다마신 구조 제조 방법.
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US18350700P | 2000-02-17 | 2000-02-17 | |
US60/183,507 | 2000-02-17 | ||
US09/590,322 US6573030B1 (en) | 2000-02-17 | 2000-06-08 | Method for depositing an amorphous carbon layer |
US09/590,322 | 2000-06-08 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020010007741A Division KR100841495B1 (ko) | 2000-02-17 | 2001-02-16 | 디바이스 형성 방법 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20080006515A true KR20080006515A (ko) | 2008-01-16 |
KR100876244B1 KR100876244B1 (ko) | 2008-12-26 |
Family
ID=26879204
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020010007741A KR100841495B1 (ko) | 2000-02-17 | 2001-02-16 | 디바이스 형성 방법 |
KR1020070135053A KR100876244B1 (ko) | 2000-02-17 | 2007-12-21 | 디바이스 형성 방법 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020010007741A KR100841495B1 (ko) | 2000-02-17 | 2001-02-16 | 디바이스 형성 방법 |
Country Status (5)
Country | Link |
---|---|
US (4) | US6573030B1 (ko) |
EP (1) | EP1154468B1 (ko) |
KR (2) | KR100841495B1 (ko) |
DE (1) | DE60138156D1 (ko) |
TW (1) | TWI225274B (ko) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20170129234A (ko) * | 2015-03-23 | 2017-11-24 | 어플라이드 머티어리얼스, 인코포레이티드 | 결함 평탄화 |
Families Citing this family (754)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6573030B1 (en) * | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
US20020086547A1 (en) * | 2000-02-17 | 2002-07-04 | Applied Materials, Inc. | Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask |
CN1224092C (zh) * | 2000-04-28 | 2005-10-19 | 东京毅力科创株式会社 | 具有低介电膜的半导体器件及其制造方法 |
US6893500B2 (en) * | 2000-05-25 | 2005-05-17 | Atomic Telecom | Method of constructing optical filters by atomic layer control for next generation dense wavelength division multiplexer |
JP2002194547A (ja) * | 2000-06-08 | 2002-07-10 | Applied Materials Inc | アモルファスカーボン層の堆積方法 |
US7085616B2 (en) * | 2001-07-27 | 2006-08-01 | Applied Materials, Inc. | Atomic layer deposition apparatus |
JP3886424B2 (ja) * | 2001-08-28 | 2007-02-28 | 鹿児島日本電気株式会社 | 基板処理装置及び方法 |
DE10153310A1 (de) * | 2001-10-29 | 2003-05-22 | Infineon Technologies Ag | Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte |
DE10156865A1 (de) * | 2001-11-20 | 2003-05-28 | Infineon Technologies Ag | Verfahren zum Ausbilden einer Struktur in einem Halbleitersubstrat |
US7226853B2 (en) | 2001-12-26 | 2007-06-05 | Applied Materials, Inc. | Method of forming a dual damascene structure utilizing a three layer hard mask structure |
US20030164998A1 (en) * | 2002-03-01 | 2003-09-04 | The Regents Of The University Of California | Ion-assisted deposition techniques for the planarization of topological defects |
US6806203B2 (en) | 2002-03-18 | 2004-10-19 | Applied Materials Inc. | Method of forming a dual damascene structure using an amorphous silicon hard mask |
US6541397B1 (en) * | 2002-03-29 | 2003-04-01 | Applied Materials, Inc. | Removable amorphous carbon CMP stop |
US6951709B2 (en) * | 2002-05-03 | 2005-10-04 | Micron Technology, Inc. | Method of fabricating a semiconductor multilevel interconnect structure |
DE10224215A1 (de) * | 2002-05-31 | 2003-12-18 | Infineon Technologies Ag | Verfahren zur Ausbildung einer Deckschicht auf einem Substrat |
US7169711B1 (en) * | 2002-06-13 | 2007-01-30 | Advanced Micro Devices, Inc. | Method of using carbon spacers for critical dimension (CD) reduction |
US20040079726A1 (en) * | 2002-07-03 | 2004-04-29 | Advanced Micro Devices, Inc. | Method of using an amorphous carbon layer for improved reticle fabrication |
US6927178B2 (en) * | 2002-07-11 | 2005-08-09 | Applied Materials, Inc. | Nitrogen-free dielectric anti-reflective coating and hardmask |
US6852455B1 (en) * | 2002-07-31 | 2005-02-08 | Advanced Micro Devices, Inc. | Amorphous carbon absorber/shifter film for attenuated phase shift mask |
US6869734B1 (en) * | 2002-07-31 | 2005-03-22 | Advanced Micro Devices, Inc. | EUV reflective mask having a carbon film and a method of making such a mask |
US6884733B1 (en) | 2002-08-08 | 2005-04-26 | Advanced Micro Devices, Inc. | Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation |
US6989332B1 (en) | 2002-08-13 | 2006-01-24 | Advanced Micro Devices, Inc. | Ion implantation to modulate amorphous carbon stress |
US7521304B1 (en) | 2002-08-29 | 2009-04-21 | Advanced Micro Devices, Inc. | Method for forming integrated circuit |
US6875664B1 (en) | 2002-08-29 | 2005-04-05 | Advanced Micro Devices, Inc. | Formation of amorphous carbon ARC stack having graded transition between amorphous carbon and ARC material |
US7084071B1 (en) | 2002-09-16 | 2006-08-01 | Advanced Micro Devices, Inc. | Use of multilayer amorphous carbon ARC stack to eliminate line warpage phenomenon |
US20040063008A1 (en) * | 2002-09-26 | 2004-04-01 | Advanced Micro Devices, Inc. | Post etch overlay metrology to avoid absorbing layers preventing measurements |
US6803313B2 (en) * | 2002-09-27 | 2004-10-12 | Advanced Micro Devices, Inc. | Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes |
US6853043B2 (en) | 2002-11-04 | 2005-02-08 | Applied Materials, Inc. | Nitrogen-free antireflective coating for use with photolithographic patterning |
US6900002B1 (en) * | 2002-11-19 | 2005-05-31 | Advanced Micro Devices, Inc. | Antireflective bi-layer hardmask including a densified amorphous carbon layer |
US6855627B1 (en) * | 2002-12-04 | 2005-02-15 | Advanced Micro Devices, Inc. | Method of using amorphous carbon to prevent resist poisoning |
US20050176191A1 (en) * | 2003-02-04 | 2005-08-11 | Applied Materials, Inc. | Method for fabricating a notched gate structure of a field effect transistor |
US7241704B1 (en) | 2003-03-31 | 2007-07-10 | Novellus Systems, Inc. | Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups |
US7176144B1 (en) | 2003-03-31 | 2007-02-13 | Novellus Systems, Inc. | Plasma detemplating and silanol capping of porous dielectric films |
US7208389B1 (en) | 2003-03-31 | 2007-04-24 | Novellus Systems, Inc. | Method of porogen removal from porous low-k films using UV radiation |
US7015124B1 (en) | 2003-04-28 | 2006-03-21 | Advanced Micro Devices, Inc. | Use of amorphous carbon for gate patterning |
US6825114B1 (en) * | 2003-04-28 | 2004-11-30 | Advanced Micro Devices, Inc. | Selective stress-inducing implant and resulting pattern distortion in amorphous carbon patterning |
US7265061B1 (en) | 2003-05-09 | 2007-09-04 | Novellus Systems, Inc. | Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties |
US6773998B1 (en) * | 2003-05-20 | 2004-08-10 | Advanced Micro Devices, Inc. | Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning |
DE10330795B4 (de) * | 2003-07-08 | 2008-01-24 | Qimonda Ag | Kohlenstoff-Hartmaske mit einer Stickstoff-dotierten Kohlenstoffschicht als haftfähiger Schicht zur Haftung auf Metall oder metallhaltigen anorganischen Materialien und Verfahren zu deren Herstellung |
US6972255B2 (en) | 2003-07-28 | 2005-12-06 | Freescale Semiconductor, Inc. | Semiconductor device having an organic anti-reflective coating (ARC) and method therefor |
US7129180B2 (en) * | 2003-09-12 | 2006-10-31 | Micron Technology, Inc. | Masking structure having multiple layers including an amorphous carbon layer |
US7132201B2 (en) * | 2003-09-12 | 2006-11-07 | Micron Technology, Inc. | Transparent amorphous carbon structure in semiconductor devices |
DE10343411B4 (de) * | 2003-09-19 | 2009-07-23 | Gallus Druckmaschinen Gmbh | Rotationsdruckmaschine und Verfahren zum freien Zugänglichmachen eines Druckzylinders oder eines Linearführungs-Zylinders |
US7109087B2 (en) * | 2003-10-03 | 2006-09-19 | Applied Materials, Inc. | Absorber layer for DSA processing |
EP1676300B1 (en) * | 2003-10-03 | 2014-10-01 | Applied Materials, Inc. | Method for annealing a substrate comprising an absorber layer |
DE10349764B4 (de) * | 2003-10-24 | 2006-08-24 | Infineon Technologies Ag | Hartmaske zur Strukturierung einer Schicht und Verfahren zur Generierung einer Hartmaske für die Strukturierung einer Schicht |
US7115993B2 (en) * | 2004-01-30 | 2006-10-03 | Tokyo Electron Limited | Structure comprising amorphous carbon film and method of forming thereof |
US7365014B2 (en) * | 2004-01-30 | 2008-04-29 | Applied Materials, Inc. | Reticle fabrication using a removable hard mask |
US7064078B2 (en) * | 2004-01-30 | 2006-06-20 | Applied Materials | Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US20060051966A1 (en) * | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US7638440B2 (en) * | 2004-03-12 | 2009-12-29 | Applied Materials, Inc. | Method of depositing an amorphous carbon film for etch hardmask application |
US20050196971A1 (en) * | 2004-03-05 | 2005-09-08 | Applied Materials, Inc. | Hardware development to reduce bevel deposition |
JP4879159B2 (ja) * | 2004-03-05 | 2012-02-22 | アプライド マテリアルズ インコーポレイテッド | アモルファス炭素膜堆積のためのcvdプロセス |
US7381662B1 (en) | 2004-03-11 | 2008-06-03 | Novellus Systems, Inc. | Methods for improving the cracking resistance of low-k dielectric materials |
US7341761B1 (en) | 2004-03-11 | 2008-03-11 | Novellus Systems, Inc. | Methods for producing low-k CDO films |
US7079740B2 (en) * | 2004-03-12 | 2006-07-18 | Applied Materials, Inc. | Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides |
US20050199585A1 (en) * | 2004-03-12 | 2005-09-15 | Applied Materials, Inc. | Method of depositing an amorphous carbon film for metal etch hardmask application |
US7781351B1 (en) | 2004-04-07 | 2010-08-24 | Novellus Systems, Inc. | Methods for producing low-k carbon doped oxide films with low residual stress |
US7253125B1 (en) | 2004-04-16 | 2007-08-07 | Novellus Systems, Inc. | Method to improve mechanical strength of low-k dielectric film using modulated UV exposure |
US7622400B1 (en) | 2004-05-18 | 2009-11-24 | Novellus Systems, Inc. | Method for improving mechanical properties of low dielectric constant materials |
US7098105B2 (en) * | 2004-05-26 | 2006-08-29 | Micron Technology, Inc. | Methods for forming semiconductor structures |
DE102004032677B4 (de) * | 2004-07-02 | 2008-07-10 | Qimonda Ag | Verfahren zum Herstellen einer Maske auf einem Substrat |
US7097779B2 (en) * | 2004-07-06 | 2006-08-29 | Tokyo Electron Limited | Processing system and method for chemically treating a TERA layer |
US7094442B2 (en) * | 2004-07-13 | 2006-08-22 | Applied Materials, Inc. | Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon |
US20070286965A1 (en) * | 2006-06-08 | 2007-12-13 | Martin Jay Seamons | Methods for the reduction and elimination of particulate contamination with cvd of amorphous carbon |
KR100704470B1 (ko) * | 2004-07-29 | 2007-04-10 | 주식회사 하이닉스반도체 | 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법 |
US7033960B1 (en) * | 2004-08-16 | 2006-04-25 | Advanced Micro Devices, Inc. | Multi-chamber deposition of silicon oxynitride film for patterning |
US7151040B2 (en) | 2004-08-31 | 2006-12-19 | Micron Technology, Inc. | Methods for increasing photo alignment margins |
US7442976B2 (en) | 2004-09-01 | 2008-10-28 | Micron Technology, Inc. | DRAM cells with vertical transistors |
US7910288B2 (en) | 2004-09-01 | 2011-03-22 | Micron Technology, Inc. | Mask material conversion |
US7655387B2 (en) * | 2004-09-02 | 2010-02-02 | Micron Technology, Inc. | Method to align mask patterns |
US7115525B2 (en) | 2004-09-02 | 2006-10-03 | Micron Technology, Inc. | Method for integrated circuit fabrication using pitch multiplication |
US7326444B1 (en) | 2004-09-14 | 2008-02-05 | Novellus Systems, Inc. | Methods for improving integration performance of low stress CDO films |
US9659769B1 (en) | 2004-10-22 | 2017-05-23 | Novellus Systems, Inc. | Tensile dielectric films using UV curing |
US7695765B1 (en) | 2004-11-12 | 2010-04-13 | Novellus Systems, Inc. | Methods for producing low-stress carbon-doped oxide films with improved integration properties |
KR100628029B1 (ko) * | 2004-12-04 | 2006-09-26 | 주식회사 아이피에스 | 박막 증착 방법 및 이를 이용한 반도체 제조방법 |
US7253123B2 (en) * | 2005-01-10 | 2007-08-07 | Applied Materials, Inc. | Method for producing gate stack sidewall spacers |
US20060166423A1 (en) * | 2005-01-21 | 2006-07-27 | Seiji Iseda | Removal spacer formation with carbon film |
US7510982B1 (en) | 2005-01-31 | 2009-03-31 | Novellus Systems, Inc. | Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles |
US7166531B1 (en) | 2005-01-31 | 2007-01-23 | Novellus Systems, Inc. | VLSI fabrication processes for introducing pores into dielectric materials |
US7390746B2 (en) | 2005-03-15 | 2008-06-24 | Micron Technology, Inc. | Multiple deposition for integration of spacers in pitch multiplication process |
US7253118B2 (en) * | 2005-03-15 | 2007-08-07 | Micron Technology, Inc. | Pitch reduced patterns relative to photolithography features |
US7611944B2 (en) | 2005-03-28 | 2009-11-03 | Micron Technology, Inc. | Integrated circuit fabrication |
DE102005014749B4 (de) * | 2005-03-31 | 2010-12-23 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung eines Transistors mit einem erhöhten Drain- und Sourcegebiet mittels einer Drei-Schicht-Hartmaske für die Gatestrukturierung |
DE102005018737A1 (de) * | 2005-04-22 | 2006-10-26 | Infineon Technologies Ag | Verfahren zum Übertragen von Strukturen von einer Fotomaske in eine Fotolackschicht |
US8889233B1 (en) | 2005-04-26 | 2014-11-18 | Novellus Systems, Inc. | Method for reducing stress in porous dielectric films |
US7120046B1 (en) | 2005-05-13 | 2006-10-10 | Micron Technology, Inc. | Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines |
US7371627B1 (en) | 2005-05-13 | 2008-05-13 | Micron Technology, Inc. | Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines |
US7422775B2 (en) * | 2005-05-17 | 2008-09-09 | Applied Materials, Inc. | Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing |
US20060260545A1 (en) * | 2005-05-17 | 2006-11-23 | Kartik Ramaswamy | Low temperature absorption layer deposition and high speed optical annealing system |
US7312162B2 (en) * | 2005-05-17 | 2007-12-25 | Applied Materials, Inc. | Low temperature plasma deposition process for carbon layer deposition |
US7429536B2 (en) * | 2005-05-23 | 2008-09-30 | Micron Technology, Inc. | Methods for forming arrays of small, closely spaced features |
US7560390B2 (en) * | 2005-06-02 | 2009-07-14 | Micron Technology, Inc. | Multiple spacer steps for pitch multiplication |
US7396781B2 (en) * | 2005-06-09 | 2008-07-08 | Micron Technology, Inc. | Method and apparatus for adjusting feature size and position |
US7541632B2 (en) * | 2005-06-14 | 2009-06-02 | Micron Technology, Inc. | Relaxed-pitch method of aligning active area to digit line |
JP4853857B2 (ja) * | 2005-06-15 | 2012-01-11 | 東京エレクトロン株式会社 | 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置 |
US7902598B2 (en) | 2005-06-24 | 2011-03-08 | Micron Technology, Inc. | Two-sided surround access transistor for a 4.5F2 DRAM cell |
US7888721B2 (en) | 2005-07-06 | 2011-02-15 | Micron Technology, Inc. | Surround gate access transistors with grown ultra-thin bodies |
US7768051B2 (en) | 2005-07-25 | 2010-08-03 | Micron Technology, Inc. | DRAM including a vertical surround gate transistor |
US7829471B2 (en) * | 2005-07-29 | 2010-11-09 | Applied Materials, Inc. | Cluster tool and method for process integration in manufacturing of a photomask |
US20070031609A1 (en) * | 2005-07-29 | 2007-02-08 | Ajay Kumar | Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same |
US7413981B2 (en) * | 2005-07-29 | 2008-08-19 | Micron Technology, Inc. | Pitch doubled circuit layout |
US7312148B2 (en) * | 2005-08-08 | 2007-12-25 | Applied Materials, Inc. | Copper barrier reflow process employing high speed optical annealing |
US7335611B2 (en) * | 2005-08-08 | 2008-02-26 | Applied Materials, Inc. | Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer |
US7429532B2 (en) * | 2005-08-08 | 2008-09-30 | Applied Materials, Inc. | Semiconductor substrate process using an optically writable carbon-containing mask |
US7323401B2 (en) * | 2005-08-08 | 2008-01-29 | Applied Materials, Inc. | Semiconductor substrate process using a low temperature deposited carbon-containing hard mask |
US8123968B2 (en) * | 2005-08-25 | 2012-02-28 | Round Rock Research, Llc | Multiple deposition for integration of spacers in pitch multiplication process |
US7344975B2 (en) * | 2005-08-26 | 2008-03-18 | Micron Technology, Inc. | Method to reduce charge buildup during high aspect ratio contact etch |
US7816262B2 (en) * | 2005-08-30 | 2010-10-19 | Micron Technology, Inc. | Method and algorithm for random half pitched interconnect layout with constant spacing |
US7696567B2 (en) | 2005-08-31 | 2010-04-13 | Micron Technology, Inc | Semiconductor memory device |
US7829262B2 (en) | 2005-08-31 | 2010-11-09 | Micron Technology, Inc. | Method of forming pitch multipled contacts |
US7416943B2 (en) | 2005-09-01 | 2008-08-26 | Micron Technology, Inc. | Peripheral gate stacks and recessed array gates |
US7557032B2 (en) | 2005-09-01 | 2009-07-07 | Micron Technology, Inc. | Silicided recessed silicon |
US7687342B2 (en) | 2005-09-01 | 2010-03-30 | Micron Technology, Inc. | Method of manufacturing a memory device |
US7393789B2 (en) * | 2005-09-01 | 2008-07-01 | Micron Technology, Inc. | Protective coating for planarization |
US7572572B2 (en) | 2005-09-01 | 2009-08-11 | Micron Technology, Inc. | Methods for forming arrays of small, closely spaced features |
US7759197B2 (en) * | 2005-09-01 | 2010-07-20 | Micron Technology, Inc. | Method of forming isolated features using pitch multiplication |
US7776744B2 (en) * | 2005-09-01 | 2010-08-17 | Micron Technology, Inc. | Pitch multiplication spacers and methods of forming the same |
US7375038B2 (en) * | 2005-09-28 | 2008-05-20 | Applied Materials, Inc. | Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication |
US7432210B2 (en) * | 2005-10-05 | 2008-10-07 | Applied Materials, Inc. | Process to open carbon based hardmask |
US20070090531A1 (en) * | 2005-10-07 | 2007-04-26 | Dirk Offenberg | Method of forming an electrical isolation associated with a wiring level on a semiconductor wafer |
US20070264819A1 (en) * | 2005-10-07 | 2007-11-15 | Dirk Offenberg | Method of forming an electrical isolation associated with a wiring level on a semiconductor wafer |
US8664124B2 (en) | 2005-10-31 | 2014-03-04 | Novellus Systems, Inc. | Method for etching organic hardmasks |
KR100801308B1 (ko) * | 2005-11-12 | 2008-02-11 | 주식회사 하이닉스반도체 | 고선택비 하드마스크를 이용한 트렌치 형성 방법 및 그를이용한 반도체소자의 소자분리 방법 |
US7892985B1 (en) | 2005-11-15 | 2011-02-22 | Novellus Systems, Inc. | Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing |
US7381644B1 (en) | 2005-12-23 | 2008-06-03 | Novellus Systems, Inc. | Pulsed PECVD method for modulating hydrogen content in hard mask |
US8110493B1 (en) * | 2005-12-23 | 2012-02-07 | Novellus Systems, Inc. | Pulsed PECVD method for modulating hydrogen content in hard mask |
KR100827528B1 (ko) * | 2006-01-11 | 2008-05-06 | 주식회사 하이닉스반도체 | sp3 분율이 높은 비정질 탄소를 하드마스크로 이용하는반도체 소자의 제조방법 |
US7538858B2 (en) * | 2006-01-11 | 2009-05-26 | Micron Technology, Inc. | Photolithographic systems and methods for producing sub-diffraction-limited features |
US20070202640A1 (en) * | 2006-02-28 | 2007-08-30 | Applied Materials, Inc. | Low-k spacer integration into CMOS transistors |
US7842558B2 (en) | 2006-03-02 | 2010-11-30 | Micron Technology, Inc. | Masking process for simultaneously patterning separate regions |
US7476933B2 (en) | 2006-03-02 | 2009-01-13 | Micron Technology, Inc. | Vertical gated access transistor |
US20070238254A1 (en) * | 2006-03-28 | 2007-10-11 | Applied Materials, Inc. | Method of etching low dielectric constant films |
US20070231746A1 (en) * | 2006-03-29 | 2007-10-04 | Iordanoglou Dimitrios I | Treating carbon containing layers in patterning stacks |
US7923376B1 (en) | 2006-03-30 | 2011-04-12 | Novellus Systems, Inc. | Method of reducing defects in PECVD TEOS films |
US7902074B2 (en) | 2006-04-07 | 2011-03-08 | Micron Technology, Inc. | Simplified pitch doubling process flow |
US8003310B2 (en) * | 2006-04-24 | 2011-08-23 | Micron Technology, Inc. | Masking techniques and templates for dense semiconductor fabrication |
US7488685B2 (en) | 2006-04-25 | 2009-02-10 | Micron Technology, Inc. | Process for improving critical dimension uniformity of integrated circuit arrays |
KR100875660B1 (ko) * | 2006-05-02 | 2008-12-26 | 주식회사 하이닉스반도체 | 반도체 소자의 미세 패턴 형성 방법 |
US20070257315A1 (en) * | 2006-05-04 | 2007-11-08 | International Business Machines Corporation | Ion implantation combined with in situ or ex situ heat treatment for improved field effect transistors |
US7795149B2 (en) * | 2006-06-01 | 2010-09-14 | Micron Technology, Inc. | Masking techniques and contact imprint reticles for dense semiconductor fabrication |
US7723009B2 (en) | 2006-06-02 | 2010-05-25 | Micron Technology, Inc. | Topography based patterning |
US7981810B1 (en) | 2006-06-08 | 2011-07-19 | Novellus Systems, Inc. | Methods of depositing highly selective transparent ashable hardmask films |
US20070286954A1 (en) * | 2006-06-13 | 2007-12-13 | Applied Materials, Inc. | Methods for low temperature deposition of an amorphous carbon layer |
US7514125B2 (en) * | 2006-06-23 | 2009-04-07 | Applied Materials, Inc. | Methods to improve the in-film defectivity of PECVD amorphous carbon films |
US20080153311A1 (en) * | 2006-06-28 | 2008-06-26 | Deenesh Padhi | Method for depositing an amorphous carbon film with improved density and step coverage |
US7867578B2 (en) * | 2006-06-28 | 2011-01-11 | Applied Materials, Inc. | Method for depositing an amorphous carbon film with improved density and step coverage |
KR100875653B1 (ko) * | 2006-06-30 | 2008-12-26 | 주식회사 하이닉스반도체 | 반도체 소자의 미세 패턴 형성 방법 |
WO2008004584A1 (fr) * | 2006-07-05 | 2008-01-10 | Tokyo Electron Limited | Procédé de post-traitement destiné à un film de carbone amorphe |
US20080008842A1 (en) * | 2006-07-07 | 2008-01-10 | Applied Materials, Inc. | Method for plasma processing |
US7776516B2 (en) * | 2006-07-18 | 2010-08-17 | Applied Materials, Inc. | Graded ARC for high NA and immersion lithography |
US7611980B2 (en) | 2006-08-30 | 2009-11-03 | Micron Technology, Inc. | Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures |
US7517804B2 (en) * | 2006-08-31 | 2009-04-14 | Micron Technologies, Inc. | Selective etch chemistries for forming high aspect ratio features and associated structures |
US7666578B2 (en) | 2006-09-14 | 2010-02-23 | Micron Technology, Inc. | Efficient pitch multiplication process |
KR100772706B1 (ko) | 2006-09-28 | 2007-11-02 | 주식회사 하이닉스반도체 | 반도체 소자의 콘택홀 제조 방법 |
US8129289B2 (en) * | 2006-10-05 | 2012-03-06 | Micron Technology, Inc. | Method to deposit conformal low temperature SiO2 |
TW200820322A (en) | 2006-10-25 | 2008-05-01 | Macronix Int Co Ltd | Fabrication method of an electronic device |
JP2008130997A (ja) * | 2006-11-24 | 2008-06-05 | Toshiba Corp | パターン形成方法 |
US8394483B2 (en) | 2007-01-24 | 2013-03-12 | Micron Technology, Inc. | Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly |
US7915166B1 (en) | 2007-02-22 | 2011-03-29 | Novellus Systems, Inc. | Diffusion barrier and etch stop films |
US7981777B1 (en) * | 2007-02-22 | 2011-07-19 | Novellus Systems, Inc. | Methods of depositing stable and hermetic ashable hardmask films |
TWI336904B (en) * | 2007-02-26 | 2011-02-01 | Nanya Technology Corp | Method for forming ring pattern |
US7867868B2 (en) * | 2007-03-02 | 2011-01-11 | Applied Materials, Inc. | Absorber layer candidates and techniques for application |
US8083953B2 (en) | 2007-03-06 | 2011-12-27 | Micron Technology, Inc. | Registered structure formation via the application of directed thermal energy to diblock copolymer films |
US8557128B2 (en) | 2007-03-22 | 2013-10-15 | Micron Technology, Inc. | Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers |
US20080254233A1 (en) * | 2007-04-10 | 2008-10-16 | Kwangduk Douglas Lee | Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes |
US8294139B2 (en) | 2007-06-21 | 2012-10-23 | Micron Technology, Inc. | Multilayer antireflection coatings, structures and devices including the same and methods of making the same |
US7959975B2 (en) * | 2007-04-18 | 2011-06-14 | Micron Technology, Inc. | Methods of patterning a substrate |
US8097175B2 (en) | 2008-10-28 | 2012-01-17 | Micron Technology, Inc. | Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure |
US8372295B2 (en) | 2007-04-20 | 2013-02-12 | Micron Technology, Inc. | Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method |
KR100924207B1 (ko) * | 2007-04-20 | 2009-10-29 | 주식회사 하이닉스반도체 | 반도체 소자의 제조 방법 |
US20100327413A1 (en) * | 2007-05-03 | 2010-12-30 | Lam Research Corporation | Hardmask open and etch profile control with hardmask open |
KR100777043B1 (ko) * | 2007-05-22 | 2007-11-16 | 주식회사 테스 | 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법 |
US7923373B2 (en) | 2007-06-04 | 2011-04-12 | Micron Technology, Inc. | Pitch multiplication using self-assembling materials |
US7553770B2 (en) * | 2007-06-06 | 2009-06-30 | Micron Technology, Inc. | Reverse masking profile improvements in high aspect ratio etch |
US8404124B2 (en) | 2007-06-12 | 2013-03-26 | Micron Technology, Inc. | Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces |
US8080615B2 (en) | 2007-06-19 | 2011-12-20 | Micron Technology, Inc. | Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide |
KR100945505B1 (ko) * | 2007-06-26 | 2010-03-09 | 주식회사 하이닉스반도체 | 반도체 소자의 스토리지 노드 형성방법 |
KR100876892B1 (ko) * | 2007-06-29 | 2009-01-07 | 주식회사 하이닉스반도체 | 반도체 소자의 제조방법 |
KR100881397B1 (ko) * | 2007-06-29 | 2009-02-05 | 주식회사 하이닉스반도체 | 비정질 카본막의 형성방법 및 이를 이용한 반도체 소자의패턴 형성방법 |
US7858514B2 (en) | 2007-06-29 | 2010-12-28 | Qimonda Ag | Integrated circuit, intermediate structure and a method of fabricating a semiconductor structure |
US8980756B2 (en) * | 2007-07-30 | 2015-03-17 | Micron Technology, Inc. | Methods for device fabrication using pitch reduction |
US8563229B2 (en) * | 2007-07-31 | 2013-10-22 | Micron Technology, Inc. | Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures |
US8481417B2 (en) * | 2007-08-03 | 2013-07-09 | Micron Technology, Inc. | Semiconductor structures including tight pitch contacts and methods to form same |
US7820534B2 (en) * | 2007-08-10 | 2010-10-26 | Mitsubishi Electric Corporation | Method of manufacturing silicon carbide semiconductor device |
KR100897823B1 (ko) * | 2007-08-29 | 2009-05-15 | 주식회사 동부하이텍 | 드레인 확장형 모스 트랜지스터의 제조방법 |
US8962101B2 (en) | 2007-08-31 | 2015-02-24 | Novellus Systems, Inc. | Methods and apparatus for plasma-based deposition |
US20090090382A1 (en) * | 2007-10-05 | 2009-04-09 | Asm Japan K.K. | Method of self-cleaning of carbon-based film |
US20090093128A1 (en) * | 2007-10-08 | 2009-04-09 | Martin Jay Seamons | Methods for high temperature deposition of an amorphous carbon layer |
KR101056251B1 (ko) * | 2007-10-26 | 2011-08-11 | 주식회사 하이닉스반도체 | 반도체 소자의 패터닝 방법 |
US7737039B2 (en) | 2007-11-01 | 2010-06-15 | Micron Technology, Inc. | Spacer process for on pitch contacts and related structures |
US9136463B2 (en) * | 2007-11-20 | 2015-09-15 | Qualcomm Incorporated | Method of forming a magnetic tunnel junction structure |
US7659208B2 (en) | 2007-12-06 | 2010-02-09 | Micron Technology, Inc | Method for forming high density patterns |
US7790531B2 (en) | 2007-12-18 | 2010-09-07 | Micron Technology, Inc. | Methods for isolating portions of a loop of pitch-multiplied material and related structures |
KR101130065B1 (ko) * | 2008-01-30 | 2012-03-29 | 도쿄엘렉트론가부시키가이샤 | 어모퍼스 하이드로 카본막의 후처리 방법 및 그의 방법을 사용한 전자 디바이스의 제조 방법, 및 관련 기억 매체 및 관련 처리 시스템 |
US8999492B2 (en) * | 2008-02-05 | 2015-04-07 | Micron Technology, Inc. | Method to produce nanometer-sized features with directed assembly of block copolymers |
US8101261B2 (en) | 2008-02-13 | 2012-01-24 | Micron Technology, Inc. | One-dimensional arrays of block copolymer cylinders and applications thereof |
US7993462B2 (en) | 2008-03-19 | 2011-08-09 | Asm Japan K.K. | Substrate-supporting device having continuous concavity |
US8425982B2 (en) * | 2008-03-21 | 2013-04-23 | Micron Technology, Inc. | Methods of improving long range order in self-assembly of block copolymer films with ionic liquids |
US8426313B2 (en) | 2008-03-21 | 2013-04-23 | Micron Technology, Inc. | Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference |
US8030218B2 (en) | 2008-03-21 | 2011-10-04 | Micron Technology, Inc. | Method for selectively modifying spacing between pitch multiplied structures |
US20090246399A1 (en) * | 2008-03-28 | 2009-10-01 | Asm Japan K.K. | Method for activating reactive oxygen species for cleaning carbon-based film deposition |
US8114300B2 (en) | 2008-04-21 | 2012-02-14 | Micron Technology, Inc. | Multi-layer method for formation of registered arrays of cylindrical pores in polymer films |
US20090269923A1 (en) * | 2008-04-25 | 2009-10-29 | Lee Sang M | Adhesion and electromigration improvement between dielectric and conductive layers |
US8114301B2 (en) | 2008-05-02 | 2012-02-14 | Micron Technology, Inc. | Graphoepitaxial self-assembly of arrays of downward facing half-cylinders |
US20090297731A1 (en) * | 2008-05-30 | 2009-12-03 | Asm Japan K.K. | Apparatus and method for improving production throughput in cvd chamber |
US7820556B2 (en) * | 2008-06-04 | 2010-10-26 | Novellus Systems, Inc. | Method for purifying acetylene gas for use in semiconductor processes |
JP2009295785A (ja) * | 2008-06-05 | 2009-12-17 | Toshiba Corp | 半導体装置の製造方法 |
US8435608B1 (en) | 2008-06-27 | 2013-05-07 | Novellus Systems, Inc. | Methods of depositing smooth and conformal ashable hard mask films |
US8076208B2 (en) | 2008-07-03 | 2011-12-13 | Micron Technology, Inc. | Method for forming transistor with high breakdown voltage using pitch multiplication technique |
US8101497B2 (en) | 2008-09-11 | 2012-01-24 | Micron Technology, Inc. | Self-aligned trench formation |
US7709396B2 (en) * | 2008-09-19 | 2010-05-04 | Applied Materials, Inc. | Integral patterning of large features along with array using spacer mask patterning process flow |
CN102187432B (zh) * | 2008-10-14 | 2013-07-31 | 应用材料公司 | 利用等离子体增强化学气相沉积来沉积共形无定形碳膜层的方法 |
US8133555B2 (en) * | 2008-10-14 | 2012-03-13 | Asm Japan K.K. | Method for forming metal film by ALD using beta-diketone metal complex |
US7745346B2 (en) * | 2008-10-17 | 2010-06-29 | Novellus Systems, Inc. | Method for improving process control and film conformality of PECVD film |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8492282B2 (en) | 2008-11-24 | 2013-07-23 | Micron Technology, Inc. | Methods of forming a masking pattern for integrated circuits |
US7955990B2 (en) * | 2008-12-12 | 2011-06-07 | Novellus Systems, Inc. | Method for improved thickness repeatability of PECVD deposited carbon films |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US7842622B1 (en) * | 2009-05-15 | 2010-11-30 | Asm Japan K.K. | Method of forming highly conformal amorphous carbon layer |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8563414B1 (en) | 2010-04-23 | 2013-10-22 | Novellus Systems, Inc. | Methods for forming conductive carbon films by PECVD |
KR20130115085A (ko) | 2010-04-30 | 2013-10-21 | 어플라이드 머티어리얼스, 인코포레이티드 | 개선된 스택 결함을 위한 비결정질 탄소 증착 방법 |
US8361906B2 (en) * | 2010-05-20 | 2013-01-29 | Applied Materials, Inc. | Ultra high selectivity ashable hard mask film |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8304493B2 (en) | 2010-08-20 | 2012-11-06 | Micron Technology, Inc. | Methods of forming block copolymers |
TW201216331A (en) | 2010-10-05 | 2012-04-16 | Applied Materials Inc | Ultra high selectivity doped amorphous carbon strippable hardmask development and integration |
TW201224190A (en) | 2010-10-06 | 2012-06-16 | Applied Materials Inc | Atomic layer deposition of photoresist materials and hard mask precursors |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9653327B2 (en) | 2011-05-12 | 2017-05-16 | Applied Materials, Inc. | Methods of removing a material layer from a substrate using water vapor treatment |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US20130084685A1 (en) * | 2011-09-30 | 2013-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for Ion Implantation |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8900963B2 (en) | 2011-11-02 | 2014-12-02 | Micron Technology, Inc. | Methods of forming semiconductor device structures, and related structures |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US8524329B2 (en) * | 2011-12-13 | 2013-09-03 | Lam Research Corporation | Electroless copper deposition |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US8679987B2 (en) * | 2012-05-10 | 2014-03-25 | Applied Materials, Inc. | Deposition of an amorphous carbon layer with high film density and high etch selectivity |
SG195494A1 (en) | 2012-05-18 | 2013-12-30 | Novellus Systems Inc | Carbon deposition-etch-ash gap fill process |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US9087699B2 (en) | 2012-10-05 | 2015-07-21 | Micron Technology, Inc. | Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9362133B2 (en) | 2012-12-14 | 2016-06-07 | Lam Research Corporation | Method for forming a mask by etching conformal film on patterned ashable hardmask |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
FR3000603B1 (fr) * | 2012-12-28 | 2016-11-25 | Commissariat Energie Atomique | Procede de gravure anisotrope |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9304396B2 (en) | 2013-02-25 | 2016-04-05 | Lam Research Corporation | PECVD films for EUV lithography |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9229328B2 (en) | 2013-05-02 | 2016-01-05 | Micron Technology, Inc. | Methods of forming semiconductor device structures, and related semiconductor device structures |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9177795B2 (en) | 2013-09-27 | 2015-11-03 | Micron Technology, Inc. | Methods of forming nanostructures including metal oxides |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9320387B2 (en) | 2013-09-30 | 2016-04-26 | Lam Research Corporation | Sulfur doped carbon hard masks |
US9589799B2 (en) | 2013-09-30 | 2017-03-07 | Lam Research Corporation | High selectivity and low stress carbon hardmask by pulsed low frequency RF power |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US20150371861A1 (en) * | 2014-06-23 | 2015-12-24 | Applied Materials, Inc. | Protective silicon oxide patterning |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9711360B2 (en) | 2015-08-27 | 2017-07-18 | Applied Materials, Inc. | Methods to improve in-film particle performance of amorphous boron-carbon hardmask process in PECVD system |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10418243B2 (en) | 2015-10-09 | 2019-09-17 | Applied Materials, Inc. | Ultra-high modulus and etch selectivity boron-carbon hardmask films |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
KR101818610B1 (ko) * | 2015-11-12 | 2018-01-16 | 성균관대학교산학협력단 | 탄소, 산소, 및 금속을 포함하는 금속탄화산화물 박막 및 그의 제조방법 |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103613A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | A storage device for storing wafer cassettes for use with a batch furnace |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
KR102695659B1 (ko) | 2018-01-19 | 2024-08-14 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
WO2019158960A1 (en) | 2018-02-14 | 2019-08-22 | Asm Ip Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
SG11202009406RA (en) * | 2018-04-09 | 2020-10-29 | Applied Materials Inc | Carbon hard masks for patterning applications and methods related thereto |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
TWI830751B (zh) * | 2018-07-19 | 2024-02-01 | 美商應用材料股份有限公司 | 低溫高品質的介電膜及其形成方法 |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
TWI728456B (zh) | 2018-09-11 | 2021-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 相對於基板的薄膜沉積方法 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
JP2022507368A (ja) | 2018-11-14 | 2022-01-18 | ラム リサーチ コーポレーション | 次世代リソグラフィにおいて有用なハードマスクを作製する方法 |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) * | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI838458B (zh) | 2019-02-20 | 2024-04-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於3d nand應用中之插塞填充沉積之設備及方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20210132731A (ko) | 2019-03-25 | 2021-11-04 | 램 리써치 코포레이션 | 고 에칭 선택도, 저 응력 애시 가능 탄소 하드 마스크 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
CN113785381A (zh) | 2019-04-30 | 2021-12-10 | 朗姆研究公司 | 用于极紫外光刻抗蚀剂改善的原子层蚀刻及选择性沉积处理 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
TW202113121A (zh) | 2019-05-29 | 2021-04-01 | 美商蘭姆研究公司 | 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩 |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210135004A (ko) | 2020-01-15 | 2021-11-11 | 램 리써치 코포레이션 | 포토레지스트 부착 및 선량 감소를 위한 하부층 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
JP2021177545A (ja) | 2020-05-04 | 2021-11-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板を処理するための基板処理システム |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
CN113818002B (zh) * | 2020-06-19 | 2024-06-07 | 拓荆科技股份有限公司 | 一种薄膜制备方法 |
KR102387925B1 (ko) * | 2020-06-22 | 2022-04-19 | 울산과학기술원 | 고유전 탄화수소 박막 및 이를 이용한 반도체 소자 |
KR102387926B1 (ko) * | 2020-06-22 | 2022-04-19 | 울산과학기술원 | 고유전 탄화수소 박막 및 이를 이용한 반도체 소자 |
KR102375281B1 (ko) * | 2020-06-22 | 2022-03-17 | 울산과학기술원 | 고유전 탄화수소 박막을 이용한 커패시터 및 이를 이용한 반도체 소자 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
US11715640B2 (en) * | 2020-09-30 | 2023-08-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Patterning material including silicon-containing layer and method for semiconductor device fabrication |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US20220178017A1 (en) * | 2020-12-03 | 2022-06-09 | Applied Materials, Inc. | Cfx layer to protect aluminum surface from over-oxidation |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
WO2023081584A1 (en) * | 2021-11-03 | 2023-05-11 | Lam Research Corporation | System and method for carbon plug formation |
Family Cites Families (128)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4486286A (en) | 1982-09-28 | 1984-12-04 | Nerken Research Corp. | Method of depositing a carbon film on a substrate and products obtained thereby |
JPS59128281A (ja) | 1982-12-29 | 1984-07-24 | 信越化学工業株式会社 | 炭化けい素被覆物の製造方法 |
JPS61210518A (ja) | 1985-03-13 | 1986-09-18 | Matsushita Electric Ind Co Ltd | 磁気記録媒体の製造方法 |
US4789648A (en) | 1985-10-28 | 1988-12-06 | International Business Machines Corporation | Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias |
US4782380A (en) | 1987-01-22 | 1988-11-01 | Advanced Micro Devices, Inc. | Multilayer interconnection for integrated circuit structure having two or more conductive metal layers |
US5087959A (en) | 1987-03-02 | 1992-02-11 | Microwave Technology, Inc. | Protective coating useful as a passivation layer for semiconductor devices |
US5121706A (en) | 1987-10-16 | 1992-06-16 | The Curators Of The University Of Missouri | Apparatus for applying a composite insulative coating to a substrate |
US4975144A (en) * | 1988-03-22 | 1990-12-04 | Semiconductor Energy Laboratory Co., Ltd. | Method of plasma etching amorphous carbon films |
JPH01246116A (ja) | 1988-03-29 | 1989-10-02 | Natl Inst For Res In Inorg Mater | 針状,繊維状,多孔質状ダイヤモンドまたはそれらの集合体の製造法 |
JPH0258221A (ja) * | 1988-08-23 | 1990-02-27 | Semiconductor Energy Lab Co Ltd | 炭素または炭素を主成分とするマスクを用いたエッチング方法 |
JPH02135736A (ja) | 1988-11-17 | 1990-05-24 | Oki Electric Ind Co Ltd | フッ素化シリコン窒化膜の成長方法 |
EP0381109A3 (de) * | 1989-02-01 | 1990-12-12 | Siemens Aktiengesellschaft | Feuchtesperre für organische Dielektrika |
JP2708533B2 (ja) | 1989-03-14 | 1998-02-04 | 富士通株式会社 | Cvd装置の残留ガス除去方法 |
DE69005938T2 (de) * | 1989-07-31 | 1994-05-19 | Matsushita Electric Ind Co Ltd | Vorrichtung zur Herstellung von einer dünnen diamantartigen Kohlenstoffschicht. |
US5232871A (en) | 1990-12-27 | 1993-08-03 | Intel Corporation | Method for forming a titanium nitride barrier layer |
US5397558A (en) | 1991-03-26 | 1995-03-14 | Semiconductor Energy Laboratory Co., Ltd. | Method of forming diamond or diamond containing carbon film |
JPH06101462B2 (ja) | 1991-04-30 | 1994-12-12 | インターナショナル・ビジネス・マシーンズ・コーポレイション | 過フッ化炭化水素ポリマ膜を基板に接着する方法および 基板 |
US5221414A (en) | 1991-07-16 | 1993-06-22 | Micron Technology, Inc. | Process and system for stabilizing layer deposition and etch rates while simultaneously maintaining cleanliness in a water processing reaction chamber |
US5246884A (en) | 1991-10-30 | 1993-09-21 | International Business Machines Corporation | Cvd diamond or diamond-like carbon for chemical-mechanical polish etch stop |
JPH0758684B2 (ja) * | 1991-11-07 | 1995-06-21 | 富士通株式会社 | パターン形成方法 |
EP0560617A3 (en) | 1992-03-13 | 1993-11-24 | Kawasaki Steel Co | Method of manufacturing insulating film on semiconductor device and apparatus for carrying out the same |
JPH0669190A (ja) * | 1992-08-21 | 1994-03-11 | Fujitsu Ltd | フッ素系樹脂膜の形成方法 |
US5470661A (en) * | 1993-01-07 | 1995-11-28 | International Business Machines Corporation | Diamond-like carbon films from a hydrocarbon helium plasma |
US6007732A (en) * | 1993-03-26 | 1999-12-28 | Fujitsu Limited | Reduction of reflection by amorphous carbon |
US5360491A (en) | 1993-04-07 | 1994-11-01 | The United States Of America As Represented By The United States Department Of Energy | β-silicon carbide protective coating and method for fabricating same |
US5691010A (en) | 1993-10-19 | 1997-11-25 | Sanyo Electric Co., Ltd. | Arc discharge plasma CVD method for forming diamond-like carbon films |
EP0661731B1 (en) | 1993-12-28 | 2000-05-31 | Applied Materials, Inc. | A single chamber CVD process for thin film transistors |
JPH07243064A (ja) | 1994-01-03 | 1995-09-19 | Xerox Corp | 基板清掃方法 |
JP3441011B2 (ja) * | 1994-03-18 | 2003-08-25 | 富士通株式会社 | アモルファスカーボンを用いた半導体装置製造方法 |
US5679267A (en) | 1994-04-04 | 1997-10-21 | Texas Instruments Incorporated | Dual etching of ceramic materials with an elevated thin film |
US5461003A (en) * | 1994-05-27 | 1995-10-24 | Texas Instruments Incorporated | Multilevel interconnect structure with air gaps formed between metal leads |
US5559367A (en) * | 1994-07-12 | 1996-09-24 | International Business Machines Corporation | Diamond-like carbon for use in VLSI and ULSI interconnect systems |
JPH0827576A (ja) | 1994-07-18 | 1996-01-30 | Canon Inc | ダイヤモンド膜の形成方法 |
CA2157257C (en) * | 1994-09-12 | 1999-08-10 | Kazuhiko Endo | Semiconductor device with amorphous carbon layer and method of fabricating the same |
US5635423A (en) | 1994-10-11 | 1997-06-03 | Advanced Micro Devices, Inc. | Simplified dual damascene process for multi-level metallization and interconnection structure |
TW366367B (en) * | 1995-01-26 | 1999-08-11 | Ibm | Sputter deposition of hydrogenated amorphous carbon film |
TW302507B (ko) | 1995-02-10 | 1997-04-11 | Siemens Ag | |
KR0164149B1 (ko) | 1995-03-28 | 1999-02-01 | 김주용 | 타이타늄 카보 나이트라이드층의 개질 방법 |
US5710067A (en) | 1995-06-07 | 1998-01-20 | Advanced Micro Devices, Inc. | Silicon oxime film |
JP3361918B2 (ja) * | 1995-07-26 | 2003-01-07 | 沖電気工業株式会社 | 半導体集積回路装置の微細ホールの形成方法 |
US5795648A (en) | 1995-10-03 | 1998-08-18 | Advanced Refractory Technologies, Inc. | Method for preserving precision edges using diamond-like nanocomposite film coatings |
JP2737720B2 (ja) | 1995-10-12 | 1998-04-08 | 日本電気株式会社 | 薄膜形成方法及び装置 |
US5942328A (en) | 1996-02-29 | 1999-08-24 | International Business Machines Corporation | Low dielectric constant amorphous fluorinated carbon and method of preparation |
JP2956571B2 (ja) * | 1996-03-07 | 1999-10-04 | 日本電気株式会社 | 半導体装置 |
US5837331A (en) * | 1996-03-13 | 1998-11-17 | Motorola, Inc. | Amorphous multi-layered structure and method of making the same |
JP3058828B2 (ja) | 1996-03-15 | 2000-07-04 | 株式会社巴川製紙所 | 電子写真トナー用ポリエステル系樹脂、該樹脂の製造方法及び該樹脂を用いた電子写真用トナー |
US5789320A (en) | 1996-04-23 | 1998-08-04 | International Business Machines Corporation | Plating of noble metal electrodes for DRAM and FRAM |
US5759746A (en) * | 1996-05-24 | 1998-06-02 | Kabushiki Kaisha Toshiba | Fabrication process using a thin resist |
US5759913A (en) * | 1996-06-05 | 1998-06-02 | Advanced Micro Devices, Inc. | Method of formation of an air gap within a semiconductor dielectric by solvent desorption |
US5824365A (en) | 1996-06-24 | 1998-10-20 | Micron Technology, Inc. | Method of inhibiting deposition of material on an internal wall of a chemical vapor deposition reactor |
US5989998A (en) | 1996-08-29 | 1999-11-23 | Matsushita Electric Industrial Co., Ltd. | Method of forming interlayer insulating film |
US5773199A (en) | 1996-09-09 | 1998-06-30 | Vanguard International Semiconductor Corporation | Method for controlling linewidth by etching bottom anti-reflective coating |
KR100205318B1 (ko) | 1996-10-11 | 1999-07-01 | 구본준 | 자유전율의 절연막 제조방법 |
US5744865A (en) | 1996-10-22 | 1998-04-28 | Texas Instruments Incorporated | Highly thermally conductive interconnect structure for intergrated circuits |
US5804259A (en) | 1996-11-07 | 1998-09-08 | Applied Materials, Inc. | Method and apparatus for depositing a multilayered low dielectric constant film |
US6310300B1 (en) | 1996-11-08 | 2001-10-30 | International Business Machines Corporation | Fluorine-free barrier layer between conductor and insulator for degradation prevention |
DE69820232T2 (de) * | 1997-01-21 | 2004-09-16 | Georgia Tech Research Corp. | Verfahren zur herstellung einer halbleitervorrichtung mit luftspalten für verbindungen mit ultraniedriger kapazität |
US5930669A (en) | 1997-04-03 | 1999-07-27 | International Business Machines Corporation | Continuous highly conductive metal wiring structures and method for fabricating the same |
JP2962272B2 (ja) * | 1997-04-18 | 1999-10-12 | 日本電気株式会社 | 半導体装置の製造方法 |
US6428894B1 (en) | 1997-06-04 | 2002-08-06 | International Business Machines Corporation | Tunable and removable plasma deposited antireflective coatings |
US6057227A (en) | 1997-06-23 | 2000-05-02 | Vlsi Technology, Inc. | Oxide etch stop techniques for uniform damascene trench depth |
JPH1126578A (ja) * | 1997-07-02 | 1999-01-29 | Sony Corp | 微細接続孔の形成方法 |
US6133618A (en) * | 1997-08-14 | 2000-10-17 | Lucent Technologies Inc. | Semiconductor device having an anti-reflective layer and a method of manufacture thereof |
US5989623A (en) | 1997-08-19 | 1999-11-23 | Applied Materials, Inc. | Dual damascene metallization |
US6030904A (en) | 1997-08-21 | 2000-02-29 | International Business Machines Corporation | Stabilization of low-k carbon-based dielectrics |
US6333255B1 (en) * | 1997-08-21 | 2001-12-25 | Matsushita Electronics Corporation | Method for making semiconductor device containing low carbon film for interconnect structures |
MY132894A (en) * | 1997-08-25 | 2007-10-31 | Ibm | Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof |
US6203898B1 (en) * | 1997-08-29 | 2001-03-20 | 3M Innovatave Properties Company | Article comprising a substrate having a silicone coating |
US6035803A (en) | 1997-09-29 | 2000-03-14 | Applied Materials, Inc. | Method and apparatus for controlling the deposition of a fluorinated carbon film |
US6323119B1 (en) | 1997-10-10 | 2001-11-27 | Applied Materials, Inc. | CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application |
US6211065B1 (en) | 1997-10-10 | 2001-04-03 | Applied Materials, Inc. | Method of depositing and amorphous fluorocarbon film using HDP-CVD |
US5981000A (en) * | 1997-10-14 | 1999-11-09 | International Business Machines Corporation | Method for fabricating a thermally stable diamond-like carbon film |
US6020458A (en) | 1997-10-24 | 2000-02-01 | Quester Technology, Inc. | Precursors for making low dielectric constant materials with improved thermal stability |
US6057226A (en) * | 1997-11-25 | 2000-05-02 | Intel Corporation | Air gap based low dielectric constant interconnect structure and method of making same |
US6098568A (en) | 1997-12-01 | 2000-08-08 | Applied Materials, Inc. | Mixed frequency CVD apparatus |
US6143476A (en) * | 1997-12-12 | 2000-11-07 | Applied Materials Inc | Method for high temperature etching of patterned layers using an organic mask stack |
TW505984B (en) * | 1997-12-12 | 2002-10-11 | Applied Materials Inc | Method of etching patterned layers useful as masking during subsequent etching or for damascene structures |
US6291334B1 (en) | 1997-12-19 | 2001-09-18 | Applied Materials, Inc. | Etch stop layer for dual damascene process |
JP3507322B2 (ja) * | 1997-12-24 | 2004-03-15 | キヤノン株式会社 | 電子写真装置 |
US6140226A (en) | 1998-01-16 | 2000-10-31 | International Business Machines Corporation | Dual damascene processing for semiconductor chip interconnects |
US6204168B1 (en) * | 1998-02-02 | 2001-03-20 | Applied Materials, Inc. | Damascene structure fabricated using a layer of silicon-based photoresist material |
US6176932B1 (en) * | 1998-02-16 | 2001-01-23 | Anelva Corporation | Thin film deposition apparatus |
US6147407A (en) * | 1998-03-27 | 2000-11-14 | Lucent Technologies Inc. | Article comprising fluorinated amorphous carbon and process for fabricating article |
US6184572B1 (en) * | 1998-04-29 | 2001-02-06 | Novellus Systems, Inc. | Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices |
US6316167B1 (en) * | 2000-01-10 | 2001-11-13 | International Business Machines Corporation | Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof |
US6127263A (en) | 1998-07-10 | 2000-10-03 | Applied Materials, Inc. | Misalignment tolerant techniques for dual damascene fabrication |
US6245662B1 (en) * | 1998-07-23 | 2001-06-12 | Applied Materials, Inc. | Method of producing an interconnect structure for an integrated circuit |
US6265779B1 (en) * | 1998-08-11 | 2001-07-24 | International Business Machines Corporation | Method and material for integration of fuorine-containing low-k dielectrics |
JP2000106396A (ja) | 1998-09-29 | 2000-04-11 | Sharp Corp | 半導体装置の製造方法 |
US6140224A (en) | 1999-04-19 | 2000-10-31 | Worldiwide Semiconductor Manufacturing Corporation | Method of forming a tungsten plug |
KR100307629B1 (ko) * | 1999-04-30 | 2001-09-26 | 윤종용 | 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법 |
US6030901A (en) * | 1999-06-24 | 2000-02-29 | Advanced Micro Devices, Inc. | Photoresist stripping without degrading low dielectric constant materials |
US6423384B1 (en) * | 1999-06-25 | 2002-07-23 | Applied Materials, Inc. | HDP-CVD deposition of low dielectric constant amorphous carbon film |
KR100304708B1 (ko) | 1999-07-14 | 2001-11-01 | 윤종용 | 이중층 반사방지막을 갖는 반도체소자 및 그 제조방법 |
US6153935A (en) | 1999-09-30 | 2000-11-28 | International Business Machines Corporation | Dual etch stop/diffusion barrier for damascene interconnects |
US6596465B1 (en) * | 1999-10-08 | 2003-07-22 | Motorola, Inc. | Method of manufacturing a semiconductor component |
US6573030B1 (en) * | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
US20020086547A1 (en) * | 2000-02-17 | 2002-07-04 | Applied Materials, Inc. | Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask |
US6417092B1 (en) | 2000-04-05 | 2002-07-09 | Novellus Systems, Inc. | Low dielectric constant etch stop films |
JP2002194547A (ja) * | 2000-06-08 | 2002-07-10 | Applied Materials Inc | アモルファスカーボン層の堆積方法 |
US6303476B1 (en) | 2000-06-12 | 2001-10-16 | Ultratech Stepper, Inc. | Thermally induced reflectivity switch for laser thermal processing |
US6413852B1 (en) * | 2000-08-31 | 2002-07-02 | International Business Machines Corporation | Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material |
US6524755B2 (en) * | 2000-09-07 | 2003-02-25 | Gray Scale Technologies, Inc. | Phase-shift masks and methods of fabrication |
US6479821B1 (en) | 2000-09-11 | 2002-11-12 | Ultratech Stepper, Inc. | Thermally induced phase switch for laser thermal processing |
US6368924B1 (en) | 2000-10-31 | 2002-04-09 | Motorola, Inc. | Amorphous carbon layer for improved adhesion of photoresist and method of fabrication |
US6380106B1 (en) * | 2000-11-27 | 2002-04-30 | Chartered Semiconductor Manufacturing Inc. | Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures |
TW462122B (en) * | 2000-12-18 | 2001-11-01 | United Microelectronics Corp | Air gap semiconductor structure and the manufacturing method thereof |
US6576404B2 (en) * | 2000-12-19 | 2003-06-10 | Lsi Logic Corporation | Carbon-doped hard mask and method of passivating structures during semiconductor device fabrication |
TW476135B (en) * | 2001-01-09 | 2002-02-11 | United Microelectronics Corp | Manufacture of semiconductor with air gap |
US6548417B2 (en) * | 2001-09-19 | 2003-04-15 | Intel Corporation | In-situ balancing for phase-shifting mask |
US6541397B1 (en) * | 2002-03-29 | 2003-04-01 | Applied Materials, Inc. | Removable amorphous carbon CMP stop |
US6548313B1 (en) * | 2002-05-31 | 2003-04-15 | Intel Corporation | Amorphous carbon insulation and carbon nanotube wires |
US6764949B2 (en) * | 2002-07-31 | 2004-07-20 | Advanced Micro Devices, Inc. | Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication |
US6939808B2 (en) * | 2002-08-02 | 2005-09-06 | Applied Materials, Inc. | Undoped and fluorinated amorphous carbon film as pattern mask for metal etch |
US6884733B1 (en) * | 2002-08-08 | 2005-04-26 | Advanced Micro Devices, Inc. | Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation |
US20040038537A1 (en) * | 2002-08-20 | 2004-02-26 | Wei Liu | Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm |
US6767824B2 (en) * | 2002-09-23 | 2004-07-27 | Padmapani C. Nallan | Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask |
US6787452B2 (en) * | 2002-11-08 | 2004-09-07 | Chartered Semiconductor Manufacturing Ltd. | Use of amorphous carbon as a removable ARC material for dual damascene fabrication |
US6855627B1 (en) * | 2002-12-04 | 2005-02-15 | Advanced Micro Devices, Inc. | Method of using amorphous carbon to prevent resist poisoning |
US20040121604A1 (en) * | 2002-12-18 | 2004-06-24 | Chun-Feng Nieh | Method of etching a low-k dielectric layer |
US6913868B2 (en) * | 2003-01-21 | 2005-07-05 | Applied Materials, Inc. | Conductive bi-layer e-beam resist with amorphous carbon |
US20040166691A1 (en) * | 2003-02-26 | 2004-08-26 | Chun-Feng Nieh | Method of etching a metal line |
US20040180551A1 (en) * | 2003-03-13 | 2004-09-16 | Biles Peter John | Carbon hard mask for aluminum interconnect fabrication |
US20040185674A1 (en) * | 2003-03-17 | 2004-09-23 | Applied Materials, Inc. | Nitrogen-free hard mask over low K dielectric |
US6972255B2 (en) * | 2003-07-28 | 2005-12-06 | Freescale Semiconductor, Inc. | Semiconductor device having an organic anti-reflective coating (ARC) and method therefor |
US7132201B2 (en) * | 2003-09-12 | 2006-11-07 | Micron Technology, Inc. | Transparent amorphous carbon structure in semiconductor devices |
US6911399B2 (en) * | 2003-09-19 | 2005-06-28 | Applied Materials, Inc. | Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition |
US7354631B2 (en) * | 2003-11-06 | 2008-04-08 | Micron Technology, Inc. | Chemical vapor deposition apparatus and methods |
-
2000
- 2000-06-08 US US09/590,322 patent/US6573030B1/en not_active Expired - Lifetime
-
2001
- 2001-02-02 DE DE60138156T patent/DE60138156D1/de not_active Expired - Lifetime
- 2001-02-02 EP EP01102401A patent/EP1154468B1/en not_active Expired - Lifetime
- 2001-02-08 TW TW090102829A patent/TWI225274B/zh not_active IP Right Cessation
- 2001-02-16 KR KR1020010007741A patent/KR100841495B1/ko active IP Right Grant
-
2002
- 2002-12-17 US US10/322,228 patent/US6841341B2/en not_active Expired - Lifetime
-
2004
- 2004-12-21 US US11/019,860 patent/US7223526B2/en not_active Expired - Lifetime
-
2007
- 2007-02-09 US US11/673,177 patent/US7335462B2/en not_active Expired - Lifetime
- 2007-12-21 KR KR1020070135053A patent/KR100876244B1/ko not_active IP Right Cessation
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20170129234A (ko) * | 2015-03-23 | 2017-11-24 | 어플라이드 머티어리얼스, 인코포레이티드 | 결함 평탄화 |
Also Published As
Publication number | Publication date |
---|---|
US7223526B2 (en) | 2007-05-29 |
US6573030B1 (en) | 2003-06-03 |
US6841341B2 (en) | 2005-01-11 |
TWI225274B (en) | 2004-12-11 |
US7335462B2 (en) | 2008-02-26 |
KR100876244B1 (ko) | 2008-12-26 |
US20050112509A1 (en) | 2005-05-26 |
US20030091938A1 (en) | 2003-05-15 |
US20070128538A1 (en) | 2007-06-07 |
DE60138156D1 (de) | 2009-05-14 |
EP1154468A3 (en) | 2004-07-21 |
EP1154468A2 (en) | 2001-11-14 |
KR100841495B1 (ko) | 2008-06-25 |
EP1154468B1 (en) | 2009-04-01 |
KR20010087189A (ko) | 2001-09-15 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100841495B1 (ko) | 디바이스 형성 방법 | |
US6967072B2 (en) | Photolithography scheme using a silicon containing resist | |
US6589888B2 (en) | Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers | |
US7117064B2 (en) | Method of depositing dielectric films | |
JP5121090B2 (ja) | アモルファスカーボン層の堆積方法 | |
US6537733B2 (en) | Method of depositing low dielectric constant silicon carbide layers | |
US8125034B2 (en) | Graded ARC for high NA and immersion lithography | |
US6777171B2 (en) | Fluorine-containing layers for damascene structures | |
US20050009342A1 (en) | Method for etching an organic anti-reflective coating (OARC) | |
EP1174911A2 (en) | Silicon nitride as anti-reflective coating |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A107 | Divisional application of patent | ||
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20121129 Year of fee payment: 5 |
|
FPAY | Annual fee payment |
Payment date: 20131129 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20141128 Year of fee payment: 7 |
|
FPAY | Annual fee payment |
Payment date: 20161125 Year of fee payment: 9 |
|
LAPS | Lapse due to unpaid annual fee |