JP2002198317A - 炭化ケイ素層のデュアル周波数プラズマ励起化学気相成長 - Google Patents

炭化ケイ素層のデュアル周波数プラズマ励起化学気相成長

Info

Publication number
JP2002198317A
JP2002198317A JP2001277088A JP2001277088A JP2002198317A JP 2002198317 A JP2002198317 A JP 2002198317A JP 2001277088 A JP2001277088 A JP 2001277088A JP 2001277088 A JP2001277088 A JP 2001277088A JP 2002198317 A JP2002198317 A JP 2002198317A
Authority
JP
Japan
Prior art keywords
silicon carbide
layer
power
carbide layer
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001277088A
Other languages
English (en)
Other versions
JP5116197B2 (ja
Inventor
Srinivas D Nemani
ディ ネマニ スリニヴァス
Li-Qun Xia
シャ リー−チャン
Ellie Yieh
ヤー エリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002198317A publication Critical patent/JP2002198317A/ja
Application granted granted Critical
Publication of JP5116197B2 publication Critical patent/JP5116197B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 【課題】 集積回路の製造処理において利用される炭化
ケイ素層の形成方法を開示する。 【解決手段】 ケイ素ソース、炭素ソース、不活性ガス
を含むガス混合物を電界の存在下で反応させることによ
り炭化ケイ素層を形成する。電界は混合周波数高周波
(RF)電力を用いて発生される。炭化ケイ素層は集積
回路の製造処理に適合する。1つの集積回路の製造処理
では、集積回路構造、例えばダマシン構造等の製造の為
に炭化ケイ素層をハードマスクとして使用する。別の集
積回路の製造処理では、上記炭化ケイ素層がDUVリソ
グラフィ用に反射防止コーティング(ARC)として使
用される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は炭化ケイ素(シリコ
ンカーバイド)の層に関し、特に炭化ケイ素層の形成方
法に関する。
【0002】
【従来の技術】集積回路は、シングルチップ上に多数の
コンポーネント(例えば、トランジスタ、コンデンサ、
抵抗器)を含む複雑なデバイスへと発展してきた。チッ
プの設計の発展はより速い回路及びより高い回路密度を
引き続き要求する。より高い回路密度の要求は、集積回
路コンポーネントの寸法の縮小を必要とする。
【0003】集積回路のコンポーネントの寸法が縮小さ
れるにつれ(例えば、寸法がサブミクロン)、前述のコ
ンポーネントを製造する為に使用される材料は、前述の
コンポーネントの電気性能に寄与する。例えば、比抵抗
の低いメタルの相互接続(例えば、アルミニウム、銅)
は、集積回路のコンポーネント間に導電性の通路を提供
する。
【0004】典型的な例として、メタル相互接続部の相
互間は、絶縁材料によって電気的に絶縁されている。隣
接しあうメタル相互接続間の距離や絶縁材料の厚さの寸
法がサブミクロンの場合、前述の相互接続部間に容量結
合が生ずる場合がある。隣接しあうメタル相互接続部間
に容量結合が生じれば、クロストークや抵抗コンデンサ
(RC)遅延を引き起こし、集積回路全体の性能を劣化
させる。隣接しあうメタル相互接続部間の容量結合を最
小限にする為には、低い誘電率(低いk)の絶縁材料
(例えば、誘電率が約5.0未満)が必要である。
【0005】更に、障壁層をしばしば用いて、メタル相
互接続部を低い誘電率(低いk)の絶縁材料から隔てて
いる。障壁層は、絶縁材料へのメタルの拡散を最小限に
抑える。絶縁材料へのメタル拡散が望ましくないのは、
前述の拡散が集積回路の電気性能に影響を及ぼす為、も
しくは集積回路を機能させなくする為である。
【0006】より高い集積回路密度の要求はまた、集積
回路の製造に使用される処理シーケンスに要求を課す。
例えば、従来のリソグラフィ技術を利用する処理シーケ
ンスでは、エネルギー感受性レジストの層が基板上の材
料層のスタックの上に形成される。下に位置する材料の
層の多くは紫外線光を反射する。前述の反射は、エネル
ギー感受性レジスト材料の層に形成された線やバイアな
どの特徴の寸法を歪ませる可能性がある。
【0007】下に位置する材料層からの反射を最小限に
する為に提案された1つの技術は、反射防止コーティン
グ(ARC:anti-reflective coating)を利用する技術
である。ARCは、レジストのパターニングに先立って
反射性材料層の上に形成される。ARCはレジストのイ
メージング中に、その下に位置する材料層からの反射を
抑制し、正確なパターンの複製をエネルギー感受性レジ
ストの層に提供する。
【0008】炭化ケイ素(SiC)を集積回路で障壁層
やARCとして利用することが提案されてきており、炭
化ケイ素の誘電率が低い(誘電率が約5.0未満)為
に、炭化ケイ素は優秀な障壁層であり光吸収特性が良好
であり得る。
【0009】
【発明が解決しようとする課題】しかし、炭化ケイ素障
壁層は一般に化学気相成長(CVD)技術を利用して形
成される。CVD技術を利用して形成されたSiC層
は、酸素含有量が高い傾向がある(例えば、酸素含有量
が約4%以上)。酸素含有量が高いと望ましくないの
は、メタル、例えば銅などが、メタル相互接続部からS
iC層を通り絶縁材料へと拡散することを強める場合が
ある為である。
【0010】従って、当該技術において必要とされてい
るのは、集積回路の製造の為の信頼できるSiC拡散障
壁の形成方法である。特に望ましいのはARCでもある
SiC拡散障壁である。
【0011】
【課題を解決するための手段】集積回路の製造処理にお
いて利用される炭化ケイ素層の形成方法を提供する。ケ
イ素ソース、炭素ソース、不活性ガスを含むガス混合物
を電界の存在下で反応させることにより炭化ケイ素層を
形成する。この電界は、混合周波数の高周波(RF)電
力を用いて発生される。
【0012】炭化ケイ素層は集積回路の製造処理に適合
する。1つの集積回路の製造処理では、集積回路構造、
例えばダマシン構造等の製造の為に炭化ケイ素層をハー
ドマスクとして使用する。前述の実施の形態では、望ま
しい処理シーケンスは基板に炭化ケイ素層を堆積するス
テップを含む。基板に炭化ケイ素層が堆積された後、パ
ターンが炭化ケイ素層に形成される。その後、炭化ケイ
素層をハードマスクとして使用し炭化ケイ素層に形成さ
れたパターンを基板に転写して集積回路構造が製造され
る。
【0013】別の集積回路の製造処理では、DUVリソ
グラフィ用に炭化ケイ素層が反射防止コーティング(A
RC)として使用される。前述の実施の形態では、望ま
しい処理シーケンスは基板に炭化ケイ素層を形成するス
テップを含む。炭化ケイ素層の屈折率(n)は約1.7
〜約2.1であり、吸収係数(κ)は約250nm未満
の波長に対して約0.1〜約0.7である。炭化ケイ素
層の屈折率(n)及び吸収係数(κ)が調整可能なの
は、SiC層形成中における堆積温度並びにガス混合物
の炭素含有量の関数として望ましい範囲で変動可能な為
である。炭化ケイ素層を基板に形成した後、エネルギー
感受性レジスト材料の層を炭化ケイ素層に形成する。パ
ターンは波長が約250nm未満の場合にエネルギー感
受性レジストに形成される。その後、エネルギー感受性
レジスト材料に形成されたパターンを炭化ケイ素層に転
写する。炭化ケイ素層がパターニングされた後、前述の
パターンは任意に基板に転写される。
【0014】本発明の教示は、添付される図面と共に以
下の詳細な説明を考慮することで容易に理解される。
【0015】
【発明の実施の形態】図1は、本願に記述する実施の形
態に従って炭化ケイ素層を堆積する為に使用可能なウエ
ハ処理システム10の略図である。システム10は一般
に、処理チャンバ100、ガスパネル130、制御装置
110を、電源119、106、真空ポンプ102等の
他のハードウェアコンポーネントと共に有している。ウ
エハ処理システム10の例として、米国カリフォルニア
州サンタクララのアプライドマテリアルズ社より入手可
能なDXZチャンバ(商品名)等のプラズマ励起化学気
相成長(PECVD)チャンバを挙げることができる。
【0016】ウエハ処理システム10の詳細は、199
8年12月14日提出の、譲渡済み米国特許出願第09
/211,998号、標題"High Temperature Chemical
Vapor Deposition Chamber"に記載され、本願に組み込
まれる。本システム10の特徴は、以下に簡潔に記載す
る。
【0017】処理チャンバ100は概して、半導体ウエ
ハ190等の基板の支持に使用される支持ペデスタル1
50を収容する。このペデスタル150は一般に、チャ
ンバ100内部で置換機構(図示せず)を使用して垂直
方向に移動が可能である。
【0018】特定の処理に依存する場合、SiC層を堆
積する前に、ウエハ190をある望ましい温度まで加熱
可能である。例えば、埋設されている発熱素子170に
よりウエハ支持ペデスタル150が加熱される。ペデス
タル150は、AC電源106から発熱素子170への
電流の印加による加熱に耐えうる。そして、ウエハ19
0がペデスタル150に加熱される。
【0019】ウエハ支持ペデスタル150には、ペデス
タル150の温度を従来の方法で観測するため、熱電対
等の温度センサ172も埋設される。測定された温度を
フィードバックループに用いて発熱素子170に供給す
る電力を制御し、ウエハ温度を、特定の処理応用例に適
した望ましい温度に維持又は制御する。ペデスタル15
0は随意、放射熱(図示せず)を利用して加熱される。
【0020】処理チャンバ100を排気するためと適切
なガス流量とチャンバ100内の圧力を維持するため、
真空ポンプ102が使用される。処理ガスがシャワーヘ
ッド120を通じチャンバ100へと導入され、このシ
ャワーヘッド120はウエハ支持ペデスタル150の上
に設置される。シャワーヘッド120はガスパネル13
0に接続されるが、このガスパネル130は、処理シー
ケンスの様々なステップで使用される種々のガスを制御
し供給する。
【0021】また、シャワーヘッド120とウエハ支持
ペデスタル150は、間隔をおいて配置される一対の電
極を形成する。これらの電極の間に電界が発生すれば、
チャンバ100内に導入された処理ガスが点火されプラ
ズマとなる。シャワーヘッド120を混合高周波(R
F)電源119に接続して、電界を発生する。混合RF
電源119の詳細は、2000年5月28日発行の譲渡
済み米国特許第6,041,734号、標題"Use of An
Asymmetric Waveform to Control Ion Bombardment Du
ring Substrate Processing"に記載され、本願に組み込
まれる。
【0022】一般に、制御装置ユニット110の制御下
にあるソースである混合RF電源119は、高周波の電
力(例えば、約10MHz〜約15MHzのRF電力)
と低周波の電力(例えば、約150kHz〜約450k
HzのRF電力)をシャワーヘッド120に供給する。
高周波RF電源と低周波RF電源は共に、整合回路網
(図示せず)を介してシャワーヘッド120に連結され
ている。高周波RF電源及び低周波RF電源は、ウエハ
支持ペデスタル150に任意に連結される、もしくは一
方がシャワーヘッド120に連結され他方がペデスタル
150に連結される。
【0023】プラズマ励起化学気相成長(PECVD)
技術では、基板表面近くの反応領域に電界を印加するこ
とにより反応ガスの励起や解離を促進し、反応種のプラ
ズマを引き起こす。プラズマ中の反応種の反応性が高い
ので、化学反応を起こす為に必要とされるエネルギーを
低くし、その結果、前述のPECVD処理で必要とされ
る温度を低下させる。
【0024】ガスパネル130を通過するガス流量の適
切な制御及び調整は、流体質量制御装置(図示せず)及
び制御装置ユニット110により実行される。シャワー
ヘッド120により、ガスパネル130から処理チャン
バ100への処理ガスの均一な導入及び分配が可能にな
る。
【0025】例示的であるが、制御ユニット110は、
中央演算装置(CPU)113と、支持回路114と、
対応する制御ソフトウェアを有するメモリ116とを有
している。制御ユニット110は、ウエハ処理に必要と
される数多くのステップ、例えばウエハ移送、ガス流量
制御、混合RF電力制御、温度制御、チャンバ排気、そ
の他のステップ等の自動制御を担う。制御ユニット11
0とウエハ処理システム10の種々のコンポーネントと
の間の双方向の通信は、非常に多くの信号ケーブルを介
して取り扱われ、これらの信号ケーブルは総称して信号
バス118と呼ばれており、そのうちの幾つかを図1に
示す。
【0026】中央処理装置(CPU)113は、処理チ
ャンバやサブプロセッサを制御するための産業上の場面
で使用可能な汎用コンピュータプロセッサの1つの形態
である。コンピュータは適切なメモリを使用する場合が
あり、例えば、ランダムアクセスメモリ、読み出し専用
メモリ、フロッピー(登録商標)ディスクドライブ、ハ
ードディスク、ローカル・リモートのデジタル記憶装
置、その他の形態を挙げることができる。従来の方法で
プロセッサを支持するために、種々の支持回路がCPU
に結合している。処理シーケンスルーチンは、必要に応
じメモリに記憶され、あるいはリモートに配置した第2
のCPUにより実行される。
【0027】基板190がウエハ支持ペデスタル150
上に配置された後、処理シーケンスルーチンが実行され
る。処理シーケンスルーチンの実行により、汎用コンピ
ュータは、堆積処理の実行のためにチャンバ操作を制御
する特異的な処理コンピュータに転化される。あるい
は、特定用途向け集積回路やその他のタイプのハードウ
ェア実行等のリモート設置ハードウェアを用いて、又
は、ソフトウェアとハードウェアの組み合わせを用い
て、チャンバ操作を制御してもよい。
【0028】炭化ケイ素(シリコンカーバイド)層の形
成 一具体例では、ケイ素ソースと、炭素ソースと、不活性
ガスとを有するガス混合物を反応させて、炭化ケイ素層
が形成される。ケイ素ソース及び炭素ソースは、一般式
Sixyzの有機シラン化合物であってもよい(xが
1〜2、yが1〜6、zが6〜20)。例えば、メチル
シラン(SiCH6)、ジメチルシラン(SiC
28)、トリメチルシラン(SiC310)、テトラメ
チルシラン(SiC412)、ジエチルシラン(SiC4
12)が、有機シラン化合物として特に使用される。一
方、シラン(SiH4)、ジシラン(Si26)、メタ
ン(CH4)、そしてこれらの組み合わせが、ケイ素ソ
ース及び炭素ソースとして使用される。
【0029】ヘリウム(He)、アルゴン(Ar)、窒
素(N2)、又はこれらの組み合わせが、特に不活性ガ
スとして使用される。
【0030】一般に、以下の堆積処理パラメータを、炭
化ケイ素層の形成に使用可能である。処理パラメータの
範囲は、ウエハ温度が約200℃〜約400℃、チャン
バ圧力が約3トール〜約15トール、有機シラン化合物
流量が約50sccm〜約200sccm、不活性ガス
流量が約50sccm〜約800sccm(有機シラン
化合物流量と不活性ガス流量の比が約1:1〜約1:4
になるようにする)、プレート間隔が約300mil〜
約600mil(1milは約0.0254mm)、混
合周波数RF電力は、周波数が約13MHz〜約27M
Hzで電力が約200ワット〜約800ワットの第1の
RF電力と、周波数が約100kHz〜約500kHz
で電力が約1ワット〜約200ワットの第2のRF電力
を、少なくとも有している。第2のRF電力の全混合周
波数電力に対する比は、0.6:1.0よりも小さいこ
とが望ましい。米国カリフォルニア州サンタクララのア
プライドマテリアルズ社より入手可能の堆積チャンバで
200mmの基板に処理を行った場合、上述の処理パラ
メータによって、約1000Å/min〜約5000Å
/minという炭化ケイ素層の堆積速度が与えられる。
【0031】他の堆積チャンバも本発明の範囲内にある
が、上述のパラメータは、炭化ケイ素層の形成に用いる
堆積チャンバにより変動する場合がある。例えば、他の
堆積チャンバの体積が大きい場合にはアプライドマテリ
アルズ社より入手可能の堆積チャンバに対して上述した
ガス流量より大きいガス流量を必要とするだろうし、体
積が小さい場合には小さな流量を必要とするだろうし、
また、300mmの基板に対応するように構成してもよ
い。
【0032】アズデポの(その場成長の)炭化ケイ素層
の誘電率は約4.5よりも低いので、集積回路の絶縁材
料としての使用に適している。炭化ケイ素層の誘電率は
調整が可能であり、それは、混合周波数のRF電力間の
比を変えることにより、変えることができる。特に、低
周波RF電力対全混合RF電力の比を下げれば、アズデ
ポ炭化ケイ素層の誘電率も低下する。
【0033】炭化ケイ素層の誘電率はまた、層の形成中
におけるガス混合物の組成を変えることでも調整が可能
である。ガス混合物中の炭素(C)濃度が上昇すれば、ア
ズデポ炭化ケイ素層のC含有量が増加し、炭化ケイ素層
の誘電率が低下する。また、アズデポ炭化ケイ素層のC
含有量が上昇すれば、炭化ケイ素層の疎水特性が上昇
し、この層は集積回路の防湿層としての使用に適するよ
うになる。
【0034】更に、アズデポ炭化ケイ素層の酸素含有量
は約1%未満である。この酸素含有量は、メタル拡散を
最小限に抑え炭化ケイ素膜の障壁層の特性を改善すると
考えられている。例えば、アズデポの炭化ケイ素層の電
流阻止能力は、約1MV/cm(メガボルト/センチメ
ートル)の場合に約1×10-9A/cm2未満であり、
これは集積回路相互接続構造のクロストークを最小限に
抑えるのに適する。
【0035】また炭化ケイ素層の光吸収係数(κ)は、
波長が約250nm(ナノメートル)未満の場合に約
0.1〜約0.7の間で変動し、DUV波長で反射防止
コーティング(ARC)として使用するのに適する。炭
化ケイ素層の吸収係数は、層形成中における堆積温度並
びにガス混合物の炭素含有量の関数として変動可能であ
る。特に、堆積温度の増加に伴い、アズデポの層の吸収
係数も同様に増加する。また、ガス混合物中の炭素(C)
濃度の増加に伴い、アズデポの炭化ケイ素層のC含有
量が増加し、この炭化ケイ素層の吸収係数が増加する。
【0036】集積回路の製造処理 A.炭化ケイ素ハードマスク 図2a〜図2eは、炭化ケイ素層をハードマスクとして
有する集積回路製造シーケンスの様々な段階における基
板200の略断面図を示す。基板200とは概して、処
理されるワークピースを指し、また、基板構造250と
は、基板200とともに、基板200上に形成される他
の材料層も概して意味するように用いられる。処理の段
階によっては、基板200は、シリコンウエハ又はシリ
コンウエハに形成された他の材料層に対応する場合があ
る。例えば図2(a)は、材料層202が従来の方法で
形成されている基板構造250の断面図を示す。材料層
202は酸化物であってもよい(例えば、二酸化ケイ
素、有機シラン、フルオロケイ酸塩ガラス(FSG)、
カーボンドープのフルオロケイ酸塩ガラス)。一般に、
基板200はケイ素層、ケイ素化合物層、メタル層、そ
の他の材料層を有している。図2(a)は、基板200
が、二酸化ケイ素層を自身の上に形成して成るシリコン
である場合の一具体例を例示する。
【0037】図2(b)は、図2(a)の基板構造体2
50に形成された炭化ケイ素層204を示す。炭化ケイ
素層204は、上述の処理パラメータに従い基板構造2
50に形成される。炭化ケイ素層204の厚さは処理の
特定の段階により変えることができる。一般に、炭化ケ
イ素層204は、約50Å〜約1,000Åの厚さに堆
積される。
【0038】エネルギー感受性レジスト材料の層208
が炭化ケイ素層204上に形成される。エネルギー感受
性レジスト材料の層208は、厚さ約4,000Å〜約
10,000Åの範囲内で基板200にスピンコート可
能である。多くのエネルギー感受性レジスト材料は、約
450nm(ナノメートル)より短い波長の紫外光(U
V)に対しての感度が高い。遠紫外光(DUV)レジス
ト材料は、約245nmより短い波長のUVに対しての
感度が高い。
【0039】製造シーケンスで使用されるエネルギー感
受性レジスト材料のエッチング化学系によっては、中間
層206を炭化ケイ素層204に形成する場合がある。
エネルギー感受性レジスト材料208と炭化ケイ素層2
04に対して、同じ化学エッチャントを用いてのエッチ
ングが可能な場合、中間層206は炭化ケイ素層204
のマスクとして機能する。中間層206は、炭化ケイ素
層204上に従来からの方法で形成される。中間層20
6は、酸化物、窒化物、シリコンオキシナイトライド、
アモルファスシリコン、その他の適切な材料であっても
よい。
【0040】パターンの画像は、前述のエネルギー感受
性レジスト材料208がマスク210を介しUVに晒さ
れることによりエネルギー感受性レジスト材料の層20
8へと導入される。エネルギー感受性レジスト材料の層
208へと導入されたパターンの画像が適切な現像剤で
現像され、図2(c)に示す通り、エネルギー感受性レ
ジスト材料208を介してパターンを形成する。その
後、図2(d)を参照すると、エネルギー感受性レジス
ト材料208の形成されたパターンを、炭化ケイ素層2
04を介して転写する。炭化ケイ素層204を介しエネ
ルギー感受性レジスト材料208をマスクとして使用し
パターンを転写する。炭化ケイ素層204を介し適切な
化学エッチャントを使用してパターンを転写する。例え
ば、四フッ化炭素(CF4)、又はトリフルオロメタン
(CHF3)及び酸素(O2)を含有するガス混合物が、
炭化ケイ素層204を化学的にエッチングする為に使用
される場合がある。
【0041】一方、中間層206が存在する場合は、エ
ネルギー感受性レジスト材料208の形成されたパター
ンを、最初に中間層206を介しエネルギー感受性レジ
スト材料208をマスクとして使用して転写する。その
後、炭化ケイ素層204を介し中間層206をマスクと
して使用しパターンを転写する。中間層206並びに炭
化ケイ素層204の両方を介し適切な化学エッチャント
を使用してパターンを転写する。
【0042】図2(e)は、炭化ケイ素層204をハー
ドマスクとして使用して、炭化ケイ素層204に形成さ
れたパターンを二酸化ケイ素層202の中に転写するこ
とにより、集積回路の製造シーケンスが完了した状態を
示す。
【0043】二酸化ケイ素層202のパターニング後、
随意、適切な化学エッチャントでエッチングして炭化ケ
イ素層204を基板200からストリッピングすること
がが可能である。
【0044】B.炭化ケイ素層を組み込んだダマシン構
造 図3(a)〜3(d)は、炭化ケイ素層を組み込んだダ
マシン構造製造シーケンスの様々な段階の基板300の
略断面図を示す。ダマシン構造は一般に、集積回路にメ
タルの相互接続を形成する為に用いられる。処理の特定
の段階に依存する場合、基板300はシリコンウエハ又
は基板300に形成された他の材料層に相当する。例え
ば図3(a)は、第1の誘電体層302が形成されてい
る基板300の断面図を示す。第1の誘電体層302は
酸化物(例えば、二酸化ケイ素、有機シラン、フルオロ
ケイ酸塩ガラス(FSG)、炭素がドープされたフルオ
ロケイ酸塩ガラス)であってもよい。一般に、基板30
0は、ケイ素、ケイ素化合物、メタル、その他の材料の
層を含む。
【0045】図3(a)は、基板300が、フルオロケ
イ酸塩ガラス層がその上に形成されているシリコンであ
る一具体例を示す。第1の誘電体層302の厚さは約
5,000Å〜約100,000Åであるが、製造され
る構造のサイズに依存する。
【0046】第1の誘電体層302の上には、炭化ケイ
素層304が形成されている。炭化ケイ素層304は、
上述の処理パラメータに従って、第1の誘電体層302
の上に形成されている。ダマシン構造で形成されるメタ
ルの相互接続間の容量結合を防止ないしは最小限に抑え
るように、炭化ケイ素層304の誘電率は約4.5より
も小さい。炭化ケイ素層の誘電率は調整可能であり、そ
れは、層の形成中のガス混合物の組成及び印加電界電力
比に関係して,望ましい範囲で変えることができるから
である。
【0047】炭化ケイ素層304の厚さは、処理段階に
応じて変えることができる。一般に、炭化ケイ素層30
4は約200Å〜約1000Åの厚さとなる。
【0048】図3(b)を参照すると、炭化ケイ素層3
04をパターニングしてエッチングし、コンタクト/バ
イア306を形成すべき領域に、コンタクト/バイア開
口306が形成され第1の誘電体層302が露呈され
る。炭化ケイ素層304は、図2(b)〜2(d)に関
連して上述した通り従来のリソグラフィを利用してパタ
ーニングされる。炭化ケイ素層304は、四フッ化炭素
(CF4)を用い、又は、トリフルオロメタン(CH
3)と酸素(O2)を有するガス混合物を用いて、エッ
チングすることができる。炭化ケイ素層304がパター
ニングされた後、第2の誘電体層308がその上に堆積
される。第2の誘電体層308は酸化物の場合がある
(例えば、二酸化ケイ素、フルオロケイ酸塩ガラス)。
第2の誘電体層308の厚さは約5,000Å〜約1
0,000Åである。
【0049】図3(c)に例示されるように、第2の誘
電体層308がその後パターニングされ相互接続310
が形成されるが、これには望ましくは上述の従来のリソ
グラフィ処理が利用される。第2の誘電体層308に形
成された相互接続線310が、炭化ケイ素層304のコ
ンタクト/バイア開口306の上に配置されている。そ
の後、反応性イオンエッチング技術その他の異方性のエ
ッチング技術を利用して、相互接続310とコンタクト
/バイア開口306の両方がエッチングされる。
【0050】図3(d)を参照すると、相互接続線31
0とコンタクト/バイア開口306が、アルミニウム
(Al)、銅(Cu)、タングステン(W)、又はこれ
らの組み合わせ等の導電材料314で充填されている。
好ましくは、銅が、その比抵抗の低さ(比抵抗が約1.
7μΩ−cm)により用いられて、相互接続線310と
コンタクト/バイア開口306を充填する。導電材料3
14は化学気相成長法(CVD)、物理気相成長法(P
VD)、電気メッキ、又はこれらの組み合わせを利用し
て堆積され、ダマシン構造を形成する。更に、タンタル
(Ta)、窒化タンタル(TaN)、その他の適切な障
壁材料等の障壁層312が、最初に相互接続線310と
コンタクト/バイア開口306の側壁に沿って堆積さ
れ、周囲の誘電体層302、308並びに炭化ケイ素層
304へのメタルマイグレーションを防止する。
【0051】C.炭化ケイ素の反射防止コーティング
(ARC) 図4(a)〜4(e)は、炭化ケイ素層を反射防止コー
ティング(ARC)として組み込んだ集積回路製造シー
ケンスの様々な段階の基板400の略断面図を示す。一
般に、基板400とは膜処理される加工物を指し、基板
構造450は一般に基板400に加え基板400に形成
される他の材料層を意味するように用いられる。処理の
特定の段階に依存する場合、基板400はシリコンウエ
ハ又は基板400に形成された他の材料層に相当する。
例えば図4(a)は、基板400がシリコンウエハであ
る場合の基板構造450の断面図を示す。
【0052】炭化ケイ素層402が基板構造450に形
成される。炭化ケイ素層402は上述の処理パラメータ
に従い基板構造450に形成されている。炭化ケイ素層
402の吸収係数(κ)は、波長が約250nm(ナノ
メートル)未満の場合に約0.1〜約0.7の間で変動
し、DUV波長で反射防止コーティング(ARC)とし
て使用するのに適する。炭化ケイ素層402の吸収係数
が調整できるのは、層形成中における堆積温度並びにガ
ス混合物の炭素含有量の関数として望ましい範囲で変動
可能である為である。炭化ケイ素層402の厚さは処理
の特定の段階に依存し可変である。一般に、炭化ケイ素
層402の厚さは約200Å〜約2000Åとなる。
【0053】図4(b)は図4(a)の基板構造450
に形成されたエネルギー感受性レジスト材料の層404
を示す。エネルギー感受性レジスト材料層404は、基
板構造450に対して約2000Å〜約6000Åの厚
さにスピンコートが可能である。殆どのエネルギー感受
性レジスト材料は波長が約250nm未満のDUVに対
し感度が高い。
【0054】パターンの画像は、前述のエネルギー感受
性レジスト材料404がマスク406を介しDUVに晒
されることにより、エネルギー感受性レジスト材料の層
404へと導入される。パターンの画像がエネルギー感
受性レジスト材料の層404へと導入される際、下に位
置する材料層(例えば、酸化物、メタル)の反射は、エ
ネルギー感受性レジスト材料の層404へと導入された
パターンの画像を劣化させる可能性を持つが、炭化ケイ
素層402が全て阻止する。
【0055】エネルギー感受性レジスト材料の層404
へと導入されたパターンの画像が適切な現像剤で現像さ
れ、図4(c)に示す通り、前述の層を介してパターン
を形成する。その後、図4(d)を参照すると、エネル
ギー感受性レジスト材料404の形成されたパターン
は、炭化ケイ素層402を介して転写される。パターン
は炭化ケイ素層402を介し転写され、エネルギー感受
性レジスト材料404をマスクとして使用する。パター
ンが炭化ケイ素層402を介し転写されるのは、適切な
化学エッチャント(例えば、四フッ化炭素(CF4)、
又はトリフルオロメタン(CHF3)及び酸素(O2)を
含有するガス混合物)を使用したエッチングによる。
【0056】炭化ケイ素層402のパターニング後、前
述のパターンは一般に、図4(e)に示すように基板4
00に転写される。パターンの基板400への転写で
は、炭化ケイ素ARC層402をハードマスクとして使
用する。基板400へのパターンの転写は、適切な化学
エッチャントを使用したエッチングによる。その後、炭
化ケイ素層402を適切な化学エッチャント(例えば、
四フッ化炭素(CF4)、又はトリフルオロメタン(C
HF3)及び酸素(O2)を含有するガス混合物)を使用
したエッチングにより基板構造450から任意に剥が
す。
【0057】本発明の技術を組み込んだ幾つかの好適な
実施の形態が示され詳細に記載されたが、当業者はこれ
らの技術をなお組み込んでいる多くの他の多様な実施の
形態を容易に考案可能である。
【図面の簡単な説明】
【図1】本願に記述する実施の形態を実施する際に使用
可能な装置の略図である。
【図2】(a)〜(e)は、炭化ケイ素層をハードマス
クとして組み込んだ集積回路製造の様々な段階の基板構
造の略断面図を示す。
【図3】(a)〜(d)は炭化ケイ素層をハードマスク
として組み込んだ集積回路製造の様々な段階のダマシン
構造の略断面図を示す。
【図4】(a)〜(e)は、炭化ケイ素層を反射防止コ
ーティング(ARC)として組み込んだ集積回路製造の
様々な段階の基板構造の略断面図を示す。
【符号の説明】
200…基板、202…材料層、204…炭化ケイ素
層、206…中間層、208…エネルギー感受性レジス
ト材料、210…マスク、250…基板構造。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 スリニヴァス ディ ネマニ アメリカ合衆国, カリフォルニア州, サン ホセ, ホワイト チャペル アベ ニュー 494 (72)発明者 リー−チャン シャ アメリカ合衆国, カリフォルニア州, サンタ クララ, レイス アベニュー 868 (72)発明者 エリー ヤー アメリカ合衆国, カリフォルニア州, サン ホセ, ピストイア ウェイ 5888 Fターム(参考) 5F033 HH08 HH11 HH19 HH21 HH32 JJ08 JJ11 JJ19 JJ21 JJ22 MM02 MM12 MM13 NN06 NN07 PP06 PP14 PP27 QQ04 QQ09 QQ13 QQ16 QQ28 QQ37 RR01 RR04 RR11 SS01 SS02 SS03 SS15 TT02 WW00 WW03 WW04 WW05 WW06 WW07 WW09 5F045 AA08 AB06 AC01 AC08 AC16 AC17 AD06 AD07 AD08 AE21 AE23 DA62 EE12 EH19 GB16 HA13 5F046 PA11

Claims (73)

    【特許請求の範囲】
  1. 【請求項1】 層の堆積方法であって、 基板を堆積チャンバに配置するステップと、 ケイ素ソースと炭素ソースと不活性ガスとを有するガス
    混合物を、堆積チャンバに供給するステップと、 混合周波数の高周波(RF)電力を用いて発生した電界
    の存在下で、ガス混合物を反応させて、炭化ケイ素(S
    iC)層を基板上に形成するステップとを有する方法。
  2. 【請求項2】 ケイ素ソース及び炭素ソースが、一般式
    Sixyzである有機シラン化合物を有する(が1〜
    2、yが1〜6、zが4〜20である)請求項1に記載
    方法。
  3. 【請求項3】 有機シラン化合物が、メチルシラン(S
    iCH6)と、ジメチルシラン(SiC28)と、トリ
    メチルシラン(SiC310)と、テトラメチルシラン
    (SiC412)と、ジエチルシラン(SiC412
    と、これらの組み合わせとから成る群より選択される請
    求項2に記載の方法。
  4. 【請求項4】 ケイ素ソース及び炭素ソースが、シラン
    (SiH4)と、メタン(CH4)と、ジシラン(Si2
    6)と、これらの組み合わせとからなる群より選択さ
    れる請求項1の方法。
  5. 【請求項5】 不活性ガスが、ヘリウム(He)と、ア
    ルゴン(Ar)と、窒素(N2)と、これらの組み合わ
    せとから成る群より選択される請求項1に記載の方法。
  6. 【請求項6】 有機シラン化合物とガス混合物中の不活
    性ガスの比が、約1:1〜約1:4にある請求項2に記
    載の方法。
  7. 【請求項7】 基板が、約200℃〜約400℃の温度
    に加熱される請求項1に記載の方法。
  8. 【請求項8】 堆積チャンバが、約3トール〜約15ト
    ールの圧力に維持される請求項1に記載の方法。
  9. 【請求項9】 有機シラン化合物が、約50sccm〜
    約200sccmの流量で堆積チャンバに供給される請
    求項2のに記載方法。
  10. 【請求項10】 混合周波数RF電力が、周波数約13
    MHz〜約27MHzの第1のRF電力と、周波数約1
    00kHz〜約500kHzの第2のRF電力とを少な
    くとも有する請求項1に記載の方法。
  11. 【請求項11】 第1のRF電力が、約200ワット〜
    約600ワットである請求項10に記載の方法。
  12. 【請求項12】 第2のRF電力が、約1ワット〜約1
    50ワットである請求項10に記載の方法。
  13. 【請求項13】 第2のRF電力と合計混合周波数RF
    電力の比が、約0.6:1.0よりも小さい請求項12
    に記載の方法。
  14. 【請求項14】 炭化ケイ素層の誘電率が、約4.5よ
    りも小さい請求項1に記載の方法。
  15. 【請求項15】 炭化ケイ素層が、約250nm(ナノ
    メートル)より短い波長に対する反射防止コーティング
    (ARC)である請求項1に記載の方法。
  16. 【請求項16】 ソフトウェアルーチンを有するコンピ
    ュータ記憶媒体であって、ソフトウェアルーチンを実行
    した際に、汎用コンピュータが、層の堆積方法を利用し
    て堆積チャンバを制御し、層の堆積方法は、 基板を堆積チャンバに配置するステップと、 ケイ素ソースと炭素ソースと不活性ガスと有するガス混
    合物を、堆積チャンバに供給するステップと、 周波数高周波(RF)電力を用いて発生した電界の存在
    下で、ガス混合物を反応させて、炭化ケイ素(SiC)
    層を基板上に形成するステップと有するコンピュータ記
    憶媒体。
  17. 【請求項17】 ケイ素ソース及び炭素ソースが、一般
    式Sixyzの有機シラン化合物(xが1〜2、yが
    1〜6、zが4〜20である)を有する請求項16に記
    載のコンピュータ記憶媒体。
  18. 【請求項18】 有機シラン化合物が、メチルシラン
    (SiCH6)と、ジメチルシラン(SiC28)と、
    トリメチルシラン(SiC310)と、テトラメチルシ
    ラン(SiC412)と、ジエチルシラン(SiC
    412)と、これらの組み合わせとから成る群より選択
    される請求項17に記載のコンピュータ記憶媒体。
  19. 【請求項19】 ケイ素ソース及び炭素ソースが、シラ
    ン(SiH4)と、メタン(CH4)と、ジシラン(Si
    26)と、これらの組み合わせとから成る群より選択さ
    れる請求項16に記載のコンピュータ記憶媒体。
  20. 【請求項20】 不活性ガスが、ヘリウム(He)と、
    アルゴン(Ar)と、窒素(N2)と、これらの組み合
    わせとから成る群より選択される請求項16に記載のコ
    ンピュータ記憶媒体。
  21. 【請求項21】 ガス混合物中の有機シラン化合物と不
    活性ガスの比が、約1:1〜約1:4である請求項16
    に記載のコンピュータ記憶媒体。
  22. 【請求項22】 基板が、約200℃〜約400℃の温
    度に加熱される請求項16に記載のコンピュータ記憶媒
    体。
  23. 【請求項23】 堆積チャンバが、約3トール〜約15
    トールの圧力に維持される請求項16に記載のコンピュ
    ータ記憶媒体。
  24. 【請求項24】 有機シラン化合物が、約50sccm
    〜約200sccmの流量で堆積チャンバに供給される
    請求項17に記載のコンピュータ記憶媒体。
  25. 【請求項25】 混合周波数RF電力が、周波数約13
    MHz〜約27MHzの第1のRF電力と、周波数約1
    00kHz〜約500kHzの第2のRF電力とを少な
    くとも有する請求項16に記載のコンピュータ記憶媒
    体。
  26. 【請求項26】 第1のRF電力が、約200ワット〜
    約600ワットである請求項25に記載のコンピュータ
    記憶媒体。
  27. 【請求項27】 第2のRF電力が、約1ワット〜約1
    50ワットである請求項25に記載のコンピュータ記憶
    媒体。
  28. 【請求項28】 第2のRF電力と合計混合周波数RF
    電力の比が、約0.6:1.0よりも小さい請求項25
    に記載のコンピュータ記憶媒体。
  29. 【請求項29】 炭化ケイ素層の誘電率が、約4.5よ
    りも小さい請求項16に記載のコンピュータ記憶媒体。
  30. 【請求項30】 炭化ケイ素層が、約250nmより短
    い波長に対する反射防止コーティング(ARC)である
    請求項16に記載のコンピュータ記憶媒体。
  31. 【請求項31】 デバイスを形成する方法であって、 混合周波数の高周波(RF)電力を用いて、ケイ素ソー
    スと炭素ソースと不活性ガスとを有するガス混合物を反
    応させて、炭化ケイ素層を基板上に形成するステップ
    と、 炭化ケイ素層の少なくとも一領域にパターンを形成する
    ステップと、を有する方法。
  32. 【請求項32】 炭化ケイ素層をマスクとして用いて、
    炭化ケイ素層の少なくとも一領域に形成されたパターン
    を基板に転写するステップを更に有する請求項31に記
    載の方法。
  33. 【請求項33】 炭化ケイ素層を基板から除去するステ
    ップを、更に有する請求項32に記載の方法。
  34. 【請求項34】 基板に、1つ以上の材料層が形成され
    る請求項31に記載の方法。
  35. 【請求項35】 炭化ケイ素層の少なくとも一領域のパ
    ターンを形成する方法が、 エネルギー感受性レジスト材料の層を炭化ケイ素層に形
    成するステップと、 エネルギー感受性レジスト材料をパターニングされた放
    射光に曝露ことにより、パターンの画像をエネルギー感
    受性レジスト材料の層の中に導入するステップと、 エネルギー感受性レジスト材料の層の中に導入されたパ
    ターンの画像を現像するステップと、 エネルギー感受性レジスト材料の層をマスクとして用い
    て、炭化ケイ素層の中にパターンを転写するステップと
    を有する請求項31に記載の方法。
  36. 【請求項36】エネルギー感受性レジスト材料の層を炭
    化ケイ素層に形成しパターンの画像をエネルギー感受性
    レジスト材料の層へと導入しパターンを現像する前に、
    中間層を炭化ケイ素層に形成するステップと、 エネルギー感受性レジスト材料をマスクとして使用し、
    エネルギー感受性レジスト材料に現像されたパターンの
    画像を中間層の中に転写するステップと、 炭化ケイ素層を介し中間層をマスクとして使用しパター
    ンを転写するステップとを更に有する請求項35に記載
    の方法。
  37. 【請求項37】 中間層が、酸化物である請求項36に
    記載の方法。
  38. 【請求項38】 酸化物が、二酸化ケイ素と、フルオロ
    ケイ酸塩ガラス(FSG)と、シリコンオキシナイトラ
    イドとから成る群より選択される請求項37に記載の方
    法。
  39. 【請求項39】 炭化ケイ素層が、フッ素ベース化合物
    を用いて基板から除去される請求項33に記載の方法。
  40. 【請求項40】 フッ素ベース化合物が、四フッ化炭素
    (CF4)と、トリフルオロメタン(CHF3)とから成
    る群より選択される請求項39に記載の方法。
  41. 【請求項41】 炭化ケイ素層が、約250nmよりも
    短い波長に対する反射防止コーティングとなる請求項4
    0に記載の方法。
  42. 【請求項42】 炭化ケイ素層の吸収係数が、約250
    nmよりも短い波長に対して約0.1〜約0.7である
    請求項31に記載の方法。
  43. 【請求項43】 炭化ケイ素層の厚さ全体にわたって、
    約250nmよりも短い波長に対する光吸収係数が約
    0.1〜約0.7の間で変化する請求項42に記載の方
    法。
  44. 【請求項44】 炭化ケイ素層の屈折率が、約1.7〜
    約2.1である請求項41に記載の方法。
  45. 【請求項45】 ケイ素ソース及び炭素ソースが、一般
    式Sixyzの有機シラン化合物(xが1〜2、yが
    1〜6の範囲、zが4〜20)を有する請求項31に記
    載の方法。
  46. 【請求項46】 有機シラン化合物が、メチルシラン
    (SiCH6)と、ジメチルシラン(SiC28)と、
    トリメチルシラン(SiC310)と、テトラメチルシ
    ラン(SiC412)と、ジエチルシラン(SiC
    412)と、これらの組み合わせからと成る群より選択
    される請求項45に記載の方法。
  47. 【請求項47】 ケイ素ソース及び炭素ソースが、シラ
    ン(SiH4)と、メタン(CH4)と、ジシラン(Si
    26)と、これらの組み合わせからと成る群より選択さ
    れる請求項31に記載の方法。
  48. 【請求項48】 不活性ガスが、ヘリウム(He)と、
    アルゴン(Ar)と、窒素(N2)と、これらの組み合
    わせとから成る群より選択される請求項31に記載の方
    法。
  49. 【請求項49】 ガス混合物中の有機シラン化合物と不
    活性ガスの比が、約1:1〜約1:4である請求項31
    に記載の方法。
  50. 【請求項50】 基板が、約200℃〜約400℃の温
    度に加熱される請求項31に記載の方法。
  51. 【請求項51】 ガス混合物が、約3トール〜約15ト
    ールの圧力で反応する請求項31に記載の方法。
  52. 【請求項52】 混合周波数RF電力が、周波数約13
    MHz〜約27MHzの第1のRF電力と、周波数約1
    00kHz〜約500kHzの第2のRF電力とを少な
    くとも有する請求項31に記載の方法。
  53. 【請求項53】 第1のRF電力が、約200ワット〜
    約600ワットである請求項52に記載の方法。
  54. 【請求項54】 第2のRF電力が、約1ワット〜約1
    50ワットである請求項52に記載の方法。
  55. 【請求項55】 第2のRF電力と全混合周波数RF電
    力の比が、約0.6:1.0よりも小さい請求項54に
    記載の方法。
  56. 【請求項56】 炭化ケイ素層の誘電率が、約4.5よ
    りも小さい請求項31に記載の方法。
  57. 【請求項57】 ダマシン構造を製造する方法であっ
    て、 第1の誘電体層を自身の上に有する基板を提供するステ
    ップと、 混合周波数の高周波(RF)電力を用いて、ケイ素ソー
    スと炭素ソースと不活性ガスとを有するガス混合物を反
    応させて炭化ケイ素層を誘電体層に形成するステップ
    と、 コンタクト/バイアを形成するよう、炭化ケイ素層をパ
    ターニングするステップと、 第2の誘電体層を、パターニングされた炭化ケイ素層に
    形成するステップと、 相互接続部が、炭化ケイ素層に形成されたコンタクト/
    バイアの上に配置されるように形成するよう、第2の誘
    電体層をパターニングするステップと、 炭化ケイ素層をマスクとして用いて、第1の誘電体層の
    中にコンタクト/バイアパターンを転写するステップ
    と、 コンタクト/バイア及び相互接続部を、導電材料で充填
    するステップとを有する方法。
  58. 【請求項58】 第1の誘電体層の誘電率、第2の誘電
    体層の誘電率、炭化ケイ素層の誘電率が、各々、約4.
    5よりも小さい請求項57に記載の方法。
  59. 【請求項59】 コンタクト/バイア及び相互接続部を
    充填する導電材料の比抵抗が、約5μΩ−cm(マイク
    ロオーム−センチメートル)未満である請求項57に記
    載の方法。
  60. 【請求項60】 第1の誘電体層及び第2の誘電体層
    が、二酸化ケイ素と、有機シランと、フルオロケイ酸塩
    ガラス(FSG)と、炭素ドープのフルオロケイ酸塩ガ
    ラス(FSG)とから成る群より選択される請求項57
    に記載の方法。
  61. 【請求項61】 コンタクト/バイア及び相互接続部を
    充填する導電材料が、銅(Cu)と、アルミニウム(A
    l)と、タングステン(W)と、これらの組み合わせと
    から成る群より選択される請求項57に記載の方法。
  62. 【請求項62】 ケイ素ソース及び炭素ソースが、一般
    式Sixyzの有機シラン化合物(xが1〜2、yが
    1〜6、zが4〜20)を有する請求項57に記載の方
    法。
  63. 【請求項63】 有機シラン化合物が、メチルシラン
    (SiCH6)と、ジメチルシラン(SiC28)と、
    トリメチルシラン(SiC310)と、テトラメチルシ
    ラン(SiC412)と、ジエチルシラン(SiC
    412)と、これらの組み合わせとから成る群より選択
    される請求項62に記載の方法。
  64. 【請求項64】 ケイ素ソース及び炭素ソースが、シラ
    ン(SiH4)と、メタン(CH4)と、ジシラン(Si
    26)と、これらの組み合わせとから成る群より選択さ
    れる請求項57に記載の方法。
  65. 【請求項65】 不活性ガスが、ヘリウム(He)と、
    アルゴン(Ar)と、窒素(N2)と、これらの組み合
    わせとから成る群より選択される請求項57に記載の方
    法。
  66. 【請求項66】 有機シラン化合物と不活性ガスの比
    が、約1:1〜約1:4である請求項57に記載の方
    法。
  67. 【請求項67】 基板が、約200℃〜約400℃の温
    度に加熱される請求項57に記載の方法。
  68. 【請求項68】 ガス混合物が、約3トール〜約15ト
    ールの圧力で反応する請求項57に記載の方法。
  69. 【請求項69】 混合周波数RF電力が、周波数約13
    MHz〜約27MHzの第1のRF電力と、周波数約1
    00kHz〜約500kHzの第2のRF電力とを少な
    くとも有する請求項57に記載の方法。
  70. 【請求項70】 第1のRF電力が、約200ワット〜
    約600ワットである請求項69に記載の方法。
  71. 【請求項71】 第2のRF電力が、約1ワット〜約1
    50ワットである請求項69に記載の方法。
  72. 【請求項72】 第2のRF電力と合計混合周波数RF
    電力の比が、約0.6:1.0よりも小さい請求項71
    に記載の方法。
  73. 【請求項73】 炭化ケイ素層が、約250nm未満の
    波長に対しての反射防止コーティング(ARC)である
    請求項57の方法。
JP2001277088A 2000-09-12 2001-09-12 炭化ケイ素層を利用してデバイスを形成する方法 Expired - Fee Related JP5116197B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/660268 2000-09-12
US09/660,268 US6465366B1 (en) 2000-09-12 2000-09-12 Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers

Publications (2)

Publication Number Publication Date
JP2002198317A true JP2002198317A (ja) 2002-07-12
JP5116197B2 JP5116197B2 (ja) 2013-01-09

Family

ID=24648793

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001277088A Expired - Fee Related JP5116197B2 (ja) 2000-09-12 2001-09-12 炭化ケイ素層を利用してデバイスを形成する方法

Country Status (5)

Country Link
US (2) US6465366B1 (ja)
EP (1) EP1191123A3 (ja)
JP (1) JP5116197B2 (ja)
KR (1) KR100878170B1 (ja)
TW (1) TWI259850B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004235637A (ja) * 2003-01-27 2004-08-19 Asm Japan Kk エッチストップ層の2段階形成方法
JP2007156018A (ja) * 2005-12-02 2007-06-21 Shin Etsu Chem Co Ltd 基板及びその製造方法、並びにそれを用いたパターン形成方法
JP2007531987A (ja) * 2004-03-05 2007-11-08 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜のcvd堆積用の液体前駆体

Families Citing this family (210)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US20050181604A1 (en) * 2002-07-11 2005-08-18 Hans-Peter Sperlich Method for structuring metal by means of a carbon mask
DE10231533A1 (de) * 2002-07-11 2004-01-29 Infineon Technologies Ag Verfahren zur Metallstrukturierung
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6797643B2 (en) * 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7138332B2 (en) * 2003-07-09 2006-11-21 Asm Japan K.K. Method of forming silicon carbide films
US6849561B1 (en) * 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US6972253B2 (en) * 2003-09-09 2005-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming dielectric barrier layer in damascene structure
JP2005136374A (ja) * 2003-10-06 2005-05-26 Matsushita Electric Ind Co Ltd 半導体製造装置及びそれを用いたパターン形成方法
US7390537B1 (en) * 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US7176571B2 (en) * 2004-01-08 2007-02-13 Taiwan Semiconductor Manufacturing Company Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US20050263901A1 (en) * 2004-05-27 2005-12-01 International Business Machines Corporation Semiconductor device formed by in-situ modification of dielectric layer and related methods
US7022622B2 (en) * 2004-07-08 2006-04-04 International Business Machines Corporation Method and structure to improve properties of tunable antireflective coatings
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
CN100437934C (zh) * 2005-02-08 2008-11-26 联华电子股份有限公司 减少低介电常数材料层的微粒数目的方法
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
WO2008152666A1 (en) * 2007-06-14 2008-12-18 Galileo Avionica S.P.A. Process for the deposition of layers of hydrogenated silicon carbide
US9174791B2 (en) 2007-12-11 2015-11-03 Tokitae Llc Temperature-stabilized storage systems
US8215835B2 (en) 2007-12-11 2012-07-10 Tokitae Llc Temperature-stabilized medicinal storage systems
US20110127273A1 (en) 2007-12-11 2011-06-02 TOKITAE LLC, a limited liability company of the State of Delaware Temperature-stabilized storage systems including storage structures configured for interchangeable storage of modular units
US8485387B2 (en) * 2008-05-13 2013-07-16 Tokitae Llc Storage container including multi-layer insulation composite material having bandgap material
US9205969B2 (en) 2007-12-11 2015-12-08 Tokitae Llc Temperature-stabilized storage systems
US9140476B2 (en) 2007-12-11 2015-09-22 Tokitae Llc Temperature-controlled storage systems
CN101736326B (zh) * 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US9372016B2 (en) 2013-05-31 2016-06-21 Tokitae Llc Temperature-stabilized storage systems with regulated cooling
US9447995B2 (en) 2010-02-08 2016-09-20 Tokitac LLC Temperature-stabilized storage systems with integral regulated cooling
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP6043546B2 (ja) * 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
TWI830751B (zh) 2018-07-19 2024-02-01 美商應用材料股份有限公司 低溫高品質的介電膜及其形成方法
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US20200098562A1 (en) * 2018-09-26 2020-03-26 Lam Research Corporation Dual frequency silane-based silicon dioxide deposition to minimize film instability
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000019498A1 (en) * 1998-10-01 2000-04-06 Applied Materials, Inc. In situ deposition of low k si carbide barrier layer, etch stop, and anti-reflective coating for damascene applications

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB725440A (en) * 1952-02-23 1955-03-02 Representation Generale Pour L Improvements in and relating to field or portable kitchens
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
DE19711268B4 (de) * 1996-03-18 2004-09-16 Boe-Hydis Technology Co., Ltd. Chemisches Dampfabscheidungsverfahren mit induktiv gekoppeltem Plasma, Verwendung des Verfahrens zum Herstellen von Dünnschichttransistoren und durch das Verfahren hergestellte Dünnschichten aus amorphen Silizium
US5800878A (en) 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US5926740A (en) * 1997-10-27 1999-07-20 Micron Technology, Inc. Graded anti-reflective coating for IC lithography
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6103590A (en) * 1997-12-12 2000-08-15 Texas Instruments Incorporated SiC patterning of porous silicon
DE69840889D1 (de) * 1997-12-23 2009-07-23 Texas Instruments Inc Chemisch-mechanisches Polieren für die Planarisierung isolierender Dielektrika
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6103456A (en) * 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6255211B1 (en) * 1998-10-02 2001-07-03 Texas Instruments Incorporated Silicon carbide stop layer in chemical mechanical polishing over metallization layers
US6261892B1 (en) * 1999-12-31 2001-07-17 Texas Instruments Incorporated Intra-chip AC isolation of RF passive components
US6440878B1 (en) * 2000-04-03 2002-08-27 Sharp Laboratories Of America, Inc. Method to enhance the adhesion of silicon nitride to low-k fluorinated amorphous carbon using a silicon carbide adhesion promoter layer
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000019498A1 (en) * 1998-10-01 2000-04-06 Applied Materials, Inc. In situ deposition of low k si carbide barrier layer, etch stop, and anti-reflective coating for damascene applications

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004235637A (ja) * 2003-01-27 2004-08-19 Asm Japan Kk エッチストップ層の2段階形成方法
JP2007531987A (ja) * 2004-03-05 2007-11-08 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜のcvd堆積用の液体前駆体
JP4879159B2 (ja) * 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
JP2007156018A (ja) * 2005-12-02 2007-06-21 Shin Etsu Chem Co Ltd 基板及びその製造方法、並びにそれを用いたパターン形成方法
JP4563927B2 (ja) * 2005-12-02 2010-10-20 信越化学工業株式会社 基板及びその製造方法、並びにそれを用いたパターン形成方法

Also Published As

Publication number Publication date
KR20020022128A (ko) 2002-03-25
US6589888B2 (en) 2003-07-08
EP1191123A2 (en) 2002-03-27
EP1191123A3 (en) 2004-11-17
US20030008069A1 (en) 2003-01-09
US6465366B1 (en) 2002-10-15
KR100878170B1 (ko) 2009-01-12
TWI259850B (en) 2006-08-11
JP5116197B2 (ja) 2013-01-09

Similar Documents

Publication Publication Date Title
JP5116197B2 (ja) 炭化ケイ素層を利用してデバイスを形成する方法
US7200460B2 (en) Method of depositing low dielectric constant silicon carbide layers
US7117064B2 (en) Method of depositing dielectric films
US6500773B1 (en) Method of depositing organosilicate layers
US6777171B2 (en) Fluorine-containing layers for damascene structures
US7244672B2 (en) Selective etching of organosilicate films over silicon oxide stop etch layers
US6750141B2 (en) Silicon carbide cap layers for low dielectric constant silicon oxide layers
US6573196B1 (en) Method of depositing organosilicate layers
JP2002217189A (ja) 炭化ケイ素膜のデュアルプラズマ処理
KR20010112115A (ko) 플루오로-오르가노실리케이트층
US20020142104A1 (en) Plasma treatment of organosilicate layers
JP2002151402A (ja) 193nmリソグラフィ用窒化ケイ素抗反射コーティング

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080815

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110510

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110531

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110830

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110902

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111026

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120327

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120625

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120628

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120723

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120730

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120827

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120918

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121016

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151026

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees