KR20020022128A - 실리콘카바이드층의 이중 주파수 플라즈마 화학기상증착 - Google Patents

실리콘카바이드층의 이중 주파수 플라즈마 화학기상증착 Download PDF

Info

Publication number
KR20020022128A
KR20020022128A KR1020010056269A KR20010056269A KR20020022128A KR 20020022128 A KR20020022128 A KR 20020022128A KR 1020010056269 A KR1020010056269 A KR 1020010056269A KR 20010056269 A KR20010056269 A KR 20010056269A KR 20020022128 A KR20020022128 A KR 20020022128A
Authority
KR
South Korea
Prior art keywords
silicon carbide
layer
power
carbide layer
range
Prior art date
Application number
KR1020010056269A
Other languages
English (en)
Other versions
KR100878170B1 (ko
Inventor
디네마니스리니바스
씨아리-쿤
이에엘리
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20020022128A publication Critical patent/KR20020022128A/ko
Application granted granted Critical
Publication of KR100878170B1 publication Critical patent/KR100878170B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

집적회로 제조에서 사용하기 위한 실리콘카바이드층의 형성 방법을 개시한다. 전기장의 존재 하에서 실리콘원, 탄소원 및 불활성 가스를 포함하는 가스 혼합물을 반응시켜 실리콘카바이드층을 형성한다. 전기장은 혼합 주파수 RF 전력을 사용하여 발생시킨다. 실리콘카바이드층은 집적회로 제조 공정과 양립할 수 있다. 일 집적회로 제조 공정에서, 실리콘카바이드층은 예를 들어, 다마슨 구조체 등의 집적회로 구조체를 제조하는 데 하드마스크로 사용된다. 또 다른 집적회로 제조 공정에서, 실리콘카바이드층은 DUV 리소그래피를 위한 반사방지막 (ARC) 으로 사용된다.

Description

실리콘카바이드층의 이중 주파수 플라즈마 화학기상증착{DUAL FREQUENCY PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION OF SILICON CARBIDE LAYERS}
본 발명은 실리콘카바이드층에 관한 것으로, 좀더 구체적으로 실리콘카바이드층의 형성 방법에 관한 것이다.
집적회로는 단일 칩 상에 수백만개의 구성요소들 (예를 들어, 트랜지스터, 캐패시터 및 레지스터) 을 포함할 수 있는 복합 장치로 발전해왔다. 칩 설계의 발전은 계속해서 더 빠른 회로망 및 더 큰 회로 밀도를 요구하고 있다. 더 큰 회로 밀도에 대한 요구는 집적회로 구성요소들의 크기를 감소시키는 것을 필요로 한다.
집적회로 구성요소들의 크기가 감소함에 따라(예를 들어, 서브 마이크론 단위), 그러한 구성요소들을 제조하기 위해 사용하는 재료들이 그 구성요소들의 전기적인 성능을 좌우하고 있다. 예를 들어, 저저항 금속 배선 (예를 들어, 알루미늄 및 구리) 이 집적회로 상의 구성요소들 사이에서 도전 패스를 제공한다.
통상, 금속 배선은 절연 재료에 의해 서로 전기적으로 분리되어 있다. 인접한 금속 배선들 사이의 거리 및/또는 절연재료의 두께가 서브-마이크론 단위일 때, 이러한 배선들 사이에 잠재적으로 정전 커플링이 발생한다. 인접한 금속배선들 사이의 정전 커플링은 집적회로의 전체적인 성능을 저하시키는 누화 (cross talk) 및/또는 RC 지연을 유발할 수도 있다. 인접한 금속 배선들 사이의 정전 커플링을 최소화하기 위하여, 저유전상수 (low k) 절연 재료 (예를 들어, 약 5.0 미만의 유전상수) 를 필요로 한다.
또한, 배리어층은 종종 저유전상수 (low k) 절연 재료로부터 금속 배선을 분리시킨다. 배리어층은 절연 재료로의 금속의 확산을 최소화한다. 절연 재료로의 금속의 확산은 집적회로의 전기적 성능에 영향을 주거나 또는 집적회로가 동작하지 않게 할 수 있기 때문에 바람직하지 않다.
더 높은 집적회로 밀도에 대한 요구는 집적회로 제조 공정을 위해 사용되는 공정 시퀀스에도 요구를 부과한다. 예를 들어, 종래의 리소그래피 기술을 사용하는 공정 시퀀스에서는, 기판 상의 재료층들의 적층체 상부에 에너지 감응 레지스트층을 형성한다. 이러한 하부 재료층들의 대다수는 자외광을 반사한다. 이와 같은 반사는 에너지 감응 레지스트 물질 내에 형성된 라인이나 비아들의 선폭을 왜곡할 수 있다.
하부 물질층으로부터의 반사를 최소화하기 위해 반사방지막 (anti-reflective coating; ARC) 을 사용하는 기술이 제안되었다. ARC 는 레지스트 패터닝 이전에 반사 재료층 상부에 형성된다. ARC 는 레지스트 촬상 동안 하부 재료층으로부터의 반사를 억제하여, 에너지 감응 레지스트층 내에 정확한 패턴을 복사할 수 있게 한다.
실리콘카바이드는 저유전상수 (약 5.0 미만의 유전상수) 를 가지고, 양호한확산 배리어이며, 양호한 광흡수 특성을 가질 수 있으므로, 집적회로에서 배리어층 및/또는 ARC 로 사용하는 것이 제안되었다.
그런데, 실리콘카바이드층은 통상 화학기상증착 (CVD) 에 의해 형성한다. CVD 기술을 사용하여 형성된 SiC 층은 높은 산소 함량 (약 4 % 보다 더 큰 산소 함량) 을 갖게 되는 경향이 있다. 이러한 높은 산소 함량은, SiC 막을 통한 금속 배선으로부터 절연 재료로의, 예를 들어, 구리와 같은 금속의 확산을 촉진시킬 수 있으므로, 바람직하지 않다.
따라서, 집적회로 제조를 위한 신뢰성 있는 SiC 확산 배리어의 형성 방법이 종래 기술에서 요구되고 있다. ARC 이기도 한 SiC 확산 배리어가 특히 바람직할 것이다.
도 1은 본 실시예의 실시를 위해 사용될 수 있는 장치의 개략도.
도 2a 내지 2e 는 하드마스크로서 실리콘카바이드층을 포함하는 집적회로 제조의 다른 단계들에서 기판 구조체의 개략 단면도.
도 3a 내지 3d 는 하드마스크로서 실리콘카바이드층을 포함하는 집적회로 제조의 다른 단계들에서 다마슨 구조체의 개략 단면도.
도 4a 내지 도4e 는 반사방지막 (ARC) 으로서 실리콘카바이드를 포함하는 집적회로 제조의 다른 단계들에서 기판 구조체의 개략 단면도.
*도면의 주요부분에 대한 부호의 설멍
10 : 웨이퍼 처리 시스템 100 : 공정 챔버
102 : 진공 펌프 106, 119 : 전원
110 : 제어부 120 : 샤워헤드
130 : 가스 패널 150 : 지지대
190 : 반도체 웨이퍼 200, 300, 400 : 기판
204, 304, 402 : 실리콘카바이드층 206 : 중간층
208, 404 : 에너지 감응 레지스트 재료층
250, 350, 450 : 기판 구조체 302, 308 : 유전층
306 : 콘택/비아 310 : 배선
314 : 도전재료
집적회로 제조 공정에서 사용하기 위한 실리콘카바이드층의 형성 방법을 제공한다. 전기장의 존재 하에서 실리콘원, 탄소원 및 불활성 가스를 포함하는 가스 혼합물을 반응시켜 실리콘카바이드층을 형성한다. 전기장은 혼합 RF 전력을 사용하여 발생시킨다.
실리콘카바이드층은 집적회로 제조 공정과 양립한다. 일 집적회로 제조 공정에서, 실리콘카바이드층은 예를 들어, 다마슨 (damascene) 구조체와 같은 집적회로 구조체들을 제조하기 위한 하드마스크로서 사용된다. 이와 같은 실시예를 위해, 바람직한 공정 시퀀스는 기판 상에 실리콘카바이드층을 증착하는 것을 포함한다. 기판 상에 실리콘카바이드층을 증착한 후에, 그 내부에 패턴을 정의한다. 그 다음에, 실리콘카바이드층을 하드마스크로서 사용하여 실리콘카바이드층 내부에 정의된 패턴을 기판으로 전사시킴으로써 집적회로 구조체를 제조한다.
또 다른 집적회로 공정에서, 실리콘카바이드층은 DUV 리소그래피용 반사방지막 (ARC) 으로 사용된다. 이와 같은 실시예를 위해, 바람직한 공정 시퀀스는 기판 상에 실리콘카바이드층을 형성하는 것을 포함한다. 실리콘카바이드층은 약 250 nm 미만의 파장에서 약 1.7 내지 약 2.1 범위의 굴절률 (n) 및 약 0.1 내지 0.7 범위의 흡수계수 (κ) 를 갖는다. 실리콘카바이드층의 굴절률 (n) 및 흡수계수 (κ) 는 SiC 층 형성 동안 가스 혼합물의 탄소 함량 뿐만 아니라 증착 온도에 따라서 소정 범위에서 변화될 수 있다는 점에서 조율가능하다. 기판 상에 실리콘카바이드층을 형성한 후, 실리콘카바이드층 상에 에너지 감응 레지스트 재료층을 형성한다. 약 250 nm 미만의 파장에서 에너지 감응 레지스트 내에 패턴을 정의한다. 그 후, 에너지 감응 레지스트 재료 내에 정의된 패턴을 실리콘카바이드층으로 전사시킨다. 실리콘카바이드층을 패터닝한 후, 그러한 패턴을 선택적으로 기판으로 전사시킨다.
이하, 첨부된 도면을 참조하여 본 발명을 상세히 설명한다.
도 1은 본 실시예에 따라 실리콘카바이드층의 증착을 수행하기 위해 사용될 수 있는 웨이퍼 처리 시스템 (10) 의 개략도이다. 통상 시스템 (10) 은 전원 (119, 106) 및 진공 펌프 (102) 등의 다른 하드웨어 구성 부재들과 함께 공정 챔버 (100), 가스 패널 (130), 제어부 (110) 를 구비한다. 웨이퍼 처리 장치 (10)의 예는 캘리포니아 산타클라라 소재의 Applied Materials Inc. 로부터 구입할 수 있는 DXZTM챔버 등의 플라즈마 화학기상증착 (PECVD) 챔버를 포함한다.
웨이퍼 처리 시스템 (10) 의 세부사항들은 1998년 12월 14일 출원되고 발명의 명칭이 "High Temperature Chemical Vapor Deposition Chamber" 인 미국특허 출원번호 제09/211,998호에 설명되어 있으며, 여기서 참조된다. 이하, 이러한 시스템 (10) 의 현저한 특징들을 간단히 설명한다.
일반적으로 공정 챔버 (100) 는 반도체 웨이퍼 (190) 등의 기판을 지지하는데 사용되는 지지대 (150) 를 수용한다. 통상 이러한 지지대 (150) 는 구동 메커니즘 (미도시) 을 사용하여 챔버 (100) 내부에서 수직 방향으로 이동시킬 수 있다.
공정에 따라서는, SiC 층의 증착 이전에 웨이퍼 (190) 를 소정 온도로 가열할 수 있다. 예를 들어, 웨이퍼 지지대 (150) 를 내장된 가열 부재 (170) 로 가열할 수도 있다. AC 전원 (106) 으로부터 가열 부재 (170) 로 전류를 인가하여 저항에 의해 지지대 (150) 를 가열할 수도 있다. 이에 따라, 지지대 (150) 에 의해 웨이퍼 (190) 가 가열된다.
종래의 방식으로 지지대 (150) 의 온도를 모니터하기 위해 열전대와 같은 온도 센서 (172) 도 웨이퍼 지지대 (150) 내에 내장된다. 가열 부재 (170) 에 공급되는 전력을 제어하는 피드백 루프에서 측정된 온도를 사용하여, 특정 공정의 응용에 적합한 소정 온도로 웨이퍼의 온도를 유지하고 제어할 수 있다. 선택적으로, 복사열 (미도시) 을 사용하여 지지대를 가열할 수도 있다.
진공 펌프 (102) 는 공정 챔버 (100) 를 배기하고 공정 챔버 (100) 내부에서 적절한 가스 흐름 및 압력을 유지하기 위해 사용된다. 챔버 (100) 내로 공정 가스를 도입시키기 위한 샤워헤드 (120) 는 웨이퍼 지지대 (150) 의 상측에 위치한다. 샤워헤드 (120) 는 공정 시퀀스의 다른 단계들에서 사용되는 다양한 가스들을 제어하고 공급하는 가스 패널 (130) 에 연결된다.
샤워헤드 (120) 및 웨이퍼 지지대 (150) 는 또한 한쌍의 일정 간격 떨어진 전극을 형성한다. 이러한 전극들 사이에서 전기장이 발생되면, 챔버 (100) 로 도입된 공정 가스들이 플라즈마로 발광한다. 전기장은 샤워헤드 (120) 를 혼합 RF 전원 (119) 에 연결시킴으로써 발생된다. 혼합 RF 전원 (119) 의 세부 사항들은 2000년 3월 28일에 등록되고 발명의 명칭이 "Use of an Asymmetric Waveform to Control Ion Bombardment During Substrate Processing" 인 미국특허출원 6,041,734 에 설명되어 있으며, 여기에서 참조된다.
통상, 제어부 (110) 의 제어 하에서 혼합 RF 전원 (119) 은 고주파수 전력 (예를 들어, 약 10 MHz 내지 약 15 MHz 범위의 RF 전력) 뿐만 아니라 저주파수 전력 (예를 들어, 약 150 kHz 내지 약 450 kHz 범위의 RF 전력) 을 샤워헤드 (120)로 공급한다. 고주파수 RF 전력 및 저주파수 RF 전력 모두는 매칭 네트워크 (미도시) 를 통하여 샤워헤드 (120) 에 결합된다. 고주파 RF 전력원 및 저주파 RF 전력원은 선택적으로 웨이퍼 지지대 (150) 에 결합될 수도 있고, 또는 하나는 샤워헤드 (120) 에 결합되고 다른 하나는 지지대 (150) 에 결합될 수도 있다.
플라즈마 화학기상증착 (PECVD) 기술은 기판 표면 근처의 반응 영역에 전기장을 인가하여 반응 기체들의 여기 및/또는 분해를 촉진시켜, 반응성 종들의 플라즈마를 생성한다. 플라즈마 내에서 종들의 반응성은 화학반응을 일으키는데 요구되는 에너지를 감소시키므로, 실제로 이와 같은 PECVD 공정을 위해 요구되는 온도를 저하시킨다.
가스 패널 (130) 을 통한 가스 흐름의 적절한 제어 및 조절은 질량 유동 제어기 (미도시) 및 제어부 (110) 에 의해 수행된다. 샤워헤드 (120) 는 가스 패널 (130) 로부터 공정 기체들이 공정 챔버 (100) 내로 균일하게 도입되고 분포되도록 한다.
실례를 들면, 제어부 (110) 은 중앙 처리 장치 (CPU, 113), 지원 회로 (114) 및 관련 제어 소프트웨어 (116) 를 포함하는 메모리를 구비한다. 제어부 (110) 는 웨이퍼 이송, 가스 유동 제어, 혼합 RF 전력 제어, 온도 제어, 챔버 배기와 같은 웨이퍼 처리를 위해 요구되는 많은 단계들 및 다른 단계들의 자동 제어를 담당한다. 제어부 (110) 와 웨이퍼 처리 시스템 (10) 의 여러 구성부재들 간의 양방향 통신은 신호 버스 (118) 로 총칭하는 다수의 신호 케이블들을 통해 조절되며, 일부가 도 1에 도시되어 있다.
중앙 처리 장치 (CPU, 113) 는 산업용 설비에서 하위 처리기들 뿐만 아니라 공정 챔버들을 제어하는데 사용할 수 있는 범용의 컴퓨터 프로세서 중 어떠한 하나의 유형일 수도 있다. 컴퓨터는, RAM, ROM, 플로피 디스크 드라이브, 하드 드라이브와 같은 적절한 메모리 장치, 또는, 국부 또는 원격의, 다른 유형의 디지털저장 장치를 사용할 수도 있다. 처리기를 지원하기 위해 CPU 에 다양한 지원 회로들을 종래의 방식으로 결합할 수도 있다. 필요에 따라서는, 공정 시퀀스 루틴을 메모리 내에 저장하거나 또는 원격으로 위치한 제 2 CPU 에 의해 실행할 수도 있다.
웨이퍼 지지대 (150) 상에 기판 (190) 을 위치시킨 후 공정 시퀀스 루틴을 실행한다. 공정 시퀀스 루틴은, 실행될 때, 범용의 컴퓨터를 챔버 동작을 제어하는 특정 공정 컴퓨터로 개조하여 증착 공정을 수행한다. 다른 방법으로는, 전용 집적회로나 다른 종류의 하드웨어 장치 등의 원격으로 위치한 하드웨어, 또는 소프트웨어나 하드웨어의 조합을 이용하여 챔버 동작을 제어할 수도 있다.
실리콘카바이드층의 형성
일 실시예에서, 실리콘원, 탄소원 및 불활성 가스를 포함하는 가스 혼합물을 반응시켜 실리콘카바이드층을 형성한다. 실리콘원 및 탄소원은, 일반적인 화학식이 SixCyHz이며 x 는 1 내지 2, y 는 1 내지 6, z 는 6 내지 20 인 유기실란 화합물일 수도 있다. 예를 들어, 유기실란 화합물로는 메틸실란 (SiCH6), 디메틸실란 (SiC2H8), 트리메틸실란 (SiC3H10), 테트라메틸실란 (SiC4H12) 및 디에틸실란 (SiC4H12) 등을 사용할 수 있다. 또는, 실리콘원 및 산소원으로 실란 (SiH4), 디실란 (Si2H6), 메탄 (CH4) 및 그들의 조합을 사용할 수도 있다.
불활성 기체로는 헬륨 (He), 아르곤 (Ar), 질소 (N2) 또는 그들의 조합 등을사용할 수 있다.
일반적으로, 실리콘카바이드층을 형성하기 위해 다음의 증착 공정 파라미터들을 사용할 수 있다. 공정 파라미터들의 범위는 약 200 ℃ 내지 약 400 ℃의 웨이퍼 온도, 약 3 Torr 내지 약 15 Torr 정도의 챔버 압력, 약 50 sccm 내지 약 200 sccm 정도의 유기실란 화합물 유량, 약 50 sccm 내지 약 800 sccm 의 불활성 기체 유량 (유기실란 화합물 유량과 불활성 가스 유량의 비가 약 1:1 내지 약 1:4 의 범위가 되도록), 약 300 mils 내지 약 600 mils 의 플레이트 간격, 약 13 MHz 내지 약 27 MHz 범위의 주파수와 약 200 W 내지 약 800 W 범위의 전력을 갖는 갖는 적어도 제 1 RF 전력 및 약 100 kHz 내지 약 500 kHz 범위의 주파수와 약 1 W 내지 약 200 W 범위의 전력을 갖는 적어도 제 2 RF 전력을 갖는 혼합 주파수 RF 전력이다. 제 2 RF 전력과 전체 혼합 주파수 전력의 비는 약 0.6 : 1.0 미만인 것이 바람직하다. 캘리포니아 산타클라라 소재의 Applied Materials, Inc. 로부터 구입할 수 있는 증착 챔버 내에서 200 mm 기판 상에 실시하는 경우, 상기 공정 파라미터들을 적용하면 실리콘 카바이드층의 증착 속도는 약 1000 Å/min 내지 약 5000 Å/min 로 된다.
다른 증착 챔버들도 본 발명의 범위 내이며, 위에서 나열한 파라미터들은 실리콘카바이드층을 형성하는데 사용하는 특정의 증착 챔버에 따라서 변화될 수 있다. 예를 들어, 다른 증착 챔버들은, Applied Materials, Inc. 로부터 구입할 수 있는 증착 챔버에 대해 언급한 것들 보다 더 크거나 또는 더 작은 가스 유량을 요구하는, 더 크거나 또는 더 작은 부피를 가질 수 있으며, 300 mm 기판을 수용하기 위해 구성될 수도 있다.
증착 직후의 실리콘카바이드층은, 집적회로에서 절연 재료로서 사용하기에 적합한 약 4.5 미만의 유전상수를 갖는다. 실리콘카바이드층의 유전상수는 혼합 주파수 RF 전력의 비율에 따라서 변화시킬 수 있다는 점에서 조율가능하다. 특히, 혼합 RF 전력 전체에 대한 저주파수 RF 전력의 비율이 감소함에 따라, 증착 직후의 실리콘카바이드층의 유전상수도 감소한다.
층 형성 동안 실리콘카바이드층의 유전상수는 가스 혼합물의 조성에 따라서도 변화될 수 있다. 가스 혼합물 내의 탄소 (C) 농도가 증가함에 따라, 증착 직후의 실리콘카바이드층의 C 함량은 증가하고, 유전상수는 감소한다. 또한, 증착 직후의 실리콘카바이드층의 C 함량이 증가함에 따라, 실리콘카바이드층의 소수성은 증가하고, 그러한 층들은 집적회로 내에서 수분 배리어로 사용하기에 적합해진다.
또한, 증착 직후의 실리콘카바이드층는 약 1 % 미만의 산소 농도를 갖는다. 그러한 산소 농도는 금속 확산을 최소화하고, 실리콘카바이드막의 배리어층 특성을 향상시키는 것으로 여겨진다. 예를 들어, 증착 직후의 실리콘카바이드층은 약 1 MV/cm 에서 약 1 ×10-9A/cm2미만인 전류 차단 능력을 가지며, 이는 집적회로 배선 구조체 사이에서의 누화를 최소화하는데 적합하다.
또한, 실리콘카바이드층은 약 250 nm 이하의 파장에서 약 0.1 내지 약 0.7 사이에서 변화시킬 수 있는 광흡수계수 (κ) 를 가지며, 이는 DUV 파장에서 반사방지막 (ARC) 으로 사용하기에 적합하다. 층 형성 동안 가스 혼합물 내의 탄소 함량 뿐만 아니라 증착 온도에 따라 실리콘카바이드층의 흡수계수를 변화시킬 수 있다. 특히, 증착 온도가 증가함에 따라 증착 직후의 층의 흡수계수도 증가한다. 또한, 가스 혼합물 내의 탄소 함량이 증가함에 따라, 증착 직후의 실리콘카바이드층의 C 함량도 증가하고, 흡수계수도 증가한다.
집적회로 제조 공정
A. 실리콘카바이드 하드마스크
도 2a 내지 도 2e는 하드마스크로서 실리콘카바이드층을 포함하는 집적회로 제조 시퀀스의 다른 단계들에서의 기판 (200)의 개략적인 단면을 나타낸다. 일반적으로, 처리가 수행되는 소정의 워크피스 (workpiece) 를 기판 (200) 이라 하며, 기판 (200) 상에 형성된 다른 재료층들과 함께 기판을 지칭하는 데는 기판 구조체 (250) 를 사용한다. 공정에 따라서, 기판 (200) 은 실리콘 웨이퍼에 해당할 수도 있고 또는 실리콘 웨이퍼 상에 형성된 다른 재료층에 해당할 수도 있다. 도 2a는, 예를 들어, 종래의 방법에 따라 기판 상에 형성된 재료층 (202) 을 갖는 기판 구조체 (250) 의 단면을 나타낸다. 재료층 (202) 은 산화물 (예를 들어, 실리콘산화물, 유기실리케이트, 플루오르실리케이트 (FSG), 탄소가 도핑된 플루오르실리케이트) 일 수 있다. 일반적으로, 기판 (200) 은 실리콘, 실리사이드, 금속 또는 다른 재료들의 층을 포함할 수도 있다. 도 2a는, 상부에 실리콘산화물층이 형성된 실리콘이 기판 (200) 인 일 실시예를 나타낸다.
도 2b는 도 2a의 기판 구조체 (250) 상에 형성된 실리콘카바이드층 (204) 을나타낸다. 상술한 공정 파라미터들에 따라서 기판 구조체 (250) 상에 실리콘카바이드층 (204) 을 형성한다. 실리콘카바이드층의 두께는 공정에 따라서 변화시킬 수 있다. 통상, 실리콘카바이드층은 약 50 Å 내지 약 1000 Å 의 두께로 증착한다.
실리콘카바이드층 (204) 상에 에너지 감응 레지스트 재료층 (208) 을 형성한다. 에너지 감응 레지스트 재료층 (208) 은 약 4000 Å 내지 약 10000 Å 내의 두께로 기판 상에 스핀 코팅될 수 있다. 대부분의 에너지 감응 레지스트 재료는 약 450 nm 미만의 파장을 갖는 자외선 (UV) 복사에 감응한다. DUV (Deep UV) 레지스트 재료는 약 245 nm 미만의 파장을 갖는 UV 복사에 감응한다.
제조 시퀀스에서 사용되는 에너지 감응 레지스트 재료의 에치 화학에 따라, 실리콘카바이드층 (204) 상에 중간층 (206) 을 형성한다. 동일한 화학 에천트들을 사용하여 에너지 감응 레지스트 재료 (208) 및 실리콘카바이드층 (204) 을 에치하는 경우, 중간층 (206) 은 실리콘카바이드층 (206) 에 대한 마스크로서 작용한다. 중간층 (206) 은 통상의 방법으로 실리콘카바이드층 (204) 상에 형성한다. 중간층 (206) 은 산화물, 질화물, 실리콘옥시나이트라이드, 비정질 실리콘 또는 다른 적합한 물질일 수 있다.
마스크 (210) 를 통하여 UV 복사에 에너지 감응 레지스트 재료 (208) 를 노출시켜 에너지 감응 레지스트 재료 (208) 층으로 패턴의 이미지를 도입시킨다. 도 2c에 도시된 바와 같이, 에너지 감응 레지스트 재료층 (208) 에 도입된 패턴 이미지는 적당한 현상액 내에서 현상되고 이를 통하여 패턴이 정의된다. 그 후,도 2d를 참조하면, 에너지 감응 레지스트 재료 (208) 에 정의된 패턴은 실리콘카바이드층 (204) 을 통하여 전사된다. 패턴은 에너지 감응 레지스트 재료 (208) 를 마스크로 사용하여 실리콘카바이드층 (204) 을 통하여 전사된다. 패턴은 적절한 화학 에천트를 사용하여 실리콘 카바이드층 (204) 으로 전사된다. 예를 들어, 카본테트라플루오라이드 (CF4), 또는 트리플루오르메탄 (CHF3) 과 산소 (O2) 를 포함하는 가스 혼합물이 실리콘카바이드층 (204) 을 화학적으로 에칭하는데 사용될 수 있다.
또는, 중간층 (206) 이 존재하는 경우에는, 에너지 감응 레지스트 재료를 마스크로 사용하여 에너지 감응 레지스트 재료 (206) 내에 정의된 패턴이 중간층 (206) 을 통하여 우선 전사된다. 그 후, 패턴은 중간층 (206) 을 마스크로 사용하여 실리콘카바이드층 (204) 을 통하여 전사된다. 패턴은 적절한 화학 에천트들을 사용하여 중간층 (206) 뿐만 아니라 실리콘 카바이드층 (204) 을 통하여 전사된다.
도 2e는 실리콘카바이드층 (204) 을 하드마스크로 사용하여 실리콘 산화물층 (202) 을 통하여 실리콘카바이드층 (204) 내에 정의된 패턴의 전사에 의한 집적회로 제조 시퀀스의 완성을 나타낸다.
실리콘산화물층 (202) 을 패터닝한 후에, 적절한 화학 에천트 내에서 에칭하여 실리콘카바이드층 (204) 을 기판으로부터 선택적으로 스트립할 수 있다.
B. 실리콘카바이드층을 포함하는 다마슨 구조체
도 3a 내지 도 3d는 내부에 실리콘 카바이드층을 포함하는 다마슨 구조체 제조 시퀀스의 다른 단계들에서 기판 (300) 의 개략 단면도를 나타낸다. 통상 다마슨 구조체는 집적회로 상에서 금속 배선을 형성하기 위해 사용된다. 공정에 따라서, 기판 (300) 은 실리콘 웨이퍼에 해당할 수도 있고 기판 (300) 상에 형성된 다른 재료층에 해당할 수도 있다. 도 3a는, 예를 들어, 그 상부에 형성된 제 1 유전층 (302) 을 갖는 기판 (300) 의 단면도를 나타낸다. 제 1 유전층 (302) 은 산화물 (예를 들어, 실리콘산화물, 유기실리케이트, 플루오르실리케이트 글래스 (FSG) 탄소가 도핑된 플루오르실리케이트 글래스) 일 수 있다. 일반적으로, 기판은 실리콘, 실리사이드, 금속 또는 다른 재료들의 층을 포함할 수 있다.
도 3a는, 상부에 형성된 플루오르실리케이트 글래스를 갖는 실리콘이 기판 (300) 인 일실시예를 나타낸다. 제 1 유전층 (302) 은 제조될 구조체의 크기에 따라 약 5.000 Å 내지 약 10,000 Å 의 두께를 갖는다.
제 1 유전층 (302) 상에 실리콘카바이드층 (304) 을 형성한다. 실리콘카바이드층 (304) 은 상술한 공정 파라미터들에 따라서 제 1 유전층 (302) 상에 형성한다. 실리콘카바이드층 (304) 은 약 4.5 미만의 유전상수를 가지므로, 다마슨 구조체 내에 형성될 금속 배선 사이의 정전 커플링을 방지하거나 최소화한다. 실리콘카바이드층의 유전상수는, 층 형성 동안 가스 혼합물의 조성 뿐만 아니라 인가된 전기장의 전력 비율에 따라서 소정 범위 내에서 변화시킬 수 있다는 점에서, 조율가능하다.
공정에 따라서, 실리콘카바이드층 (304) 의 두께는 변화시킬 수 있다.통상, 실리콘카바이드층 (304) 의 두께는 약 200 Å 내지 약 1000 Å 정도이다.
도 3b를 참조하면, 콘택/비아를 형성할 영역에서 실리콘카바이드층 (304) 을 패터닝하고 에칭하여 콘택/비아 오프닝 (306) 을 정의하고 제 1 유전층 (302) 을 노출시킨다. 도 2b 내지 도 2d를 참조하여 상술한 바와 같은 종래의 리소그래피를 사용하여 실리콘카바이드층을 패터닝한다. 실리콘카바이드층은 카본테트라플루오라이드 (CF4) 또는 트리플루오르메탄 (CHF3) 와 산소 (O2) 를 포함하는 가스 혼합물로 에칭할 수 있다. 실리콘카바이드층 (304) 을 패터닝한 후, 패터닝된 실리콘카바이드층을 덮도록 제 2 유전층 (308) 을 증착한다. 제 2 유전층 (308) 은 산화물 (예를 들어, 실리콘산화물, 플루오르실리케이트 글래스) 일 수 있다. 제 2 유전층 (308) 의 두께는 약 5,000 Å 내지 약 10,000 Å 이다.
이후, 도 3c에 도시된 바와 같이, 바람직하게는 상술한 종래의 리소그래피 공정을 사용하여, 제 2 유전층 (308) 을 패터닝하여 배선 라인들 (310) 을 정의한다. 제 2 유전층 (308) 내에 형성된 배선 (310) 은 실리콘카바이드층 (304) 내의 콘택/비아의 오프닝 (306) 상부에 위치한다. 그 다음에, 반응성 이온 에칭 또는 다른 이방성 에칭 기술을 사용하여 배선 (310) 및 콘택/비아 (306) 모두를 에칭한다.
도 3d를 참조하면, 배선 (310) 및 콘택/비아 (306) 를 알루미늄 (Al), 구리 (Cu), 텅스텐 (W) 또는 그들의 조합 등의 도전성 재료 (314) 로 채운다. 바람직하게는, 배선 (310) 및 콘택/비아 (306) 을 채우는데 저항이 낮은 (저항 약 1.7 μΩ- cm) 구리를 사용한다. 화학기상증착 (CVD), 물리기상증착 (PVD), 전기도금법 또는 그들의 조합을 사용하여 도전재료 (314) 를 증착하여 다마슨 구조체를 형성한다. 추가적으로, 주위의 절연층들 (302, 308) 및 실리콘카바이드층 (304) 으로의 금속 이동을 방지하기 위해, 먼저 배선 (310) 및 콘택/비아들 (306) 의 측벽 상에 탄탈륨 (Ta), 탄탈륨질화물 (TaN), 또는 다른 적절한 배리어 재료 등의 배리어층 (312) 을 등각으로 증착한다.
C. 실리콘카바이드 반사방지막 (ARC)
도 4a 내지 도 4e는 반사방지막 (ARC) 으로서 실리콘카바이드층을 포함하는 집적회로 제조 시퀀스의 다른 단계에서 기판 (400) 의 개략 단면도를 나타낸다. 일반적으로, 막 처리가 수행되는 소정의 워크피스 (workpiece) 를 기판 (400) 이라 하며, 기판 (400) 상에 형성된 다른 재료층들과 함께 기판을 지칭하는 데는 기판 구조체 (450) 를 사용한다. 공정에 따라서, 기판 (400) 은 실리콘 웨이퍼에 해당할 수도 있고 기판 (400) 상에 형성된 다른 재료층에 해당할 수도 있다. 도 4a는, 예를 들어, 기판 (400) 이 실리콘 웨이퍼인 기판 구조체 (450) 의 단면을 나타낸다.
기판 구조체 (450) 상에 실리콘카바이드층 (402) 을 형성한다. 실리콘카바이드층 (402) 은 상술한 공정 파라미터들에 따라서 기판 구조체 (450) 상에 형성한다. 실리콘카바이드층은 약 250 nm 이하의 파장에서 약 0.1 내지 0.7 사이로 변할 수 있는 흡수계수 (κ) 를 가지므로, DUV 파장에서 반사방지막 (ARC) 으로 사용하기에 적합하다. 실리콘카바이드층의 흡수계수는 층 형성 동안 증착 온도뿐만 아니라 가스 혼합물 내의 탄소 농도에 따라서 소정 범위로 변화시킬 수 있다는 점에서 조율가능하다. 실리콘카바이드층 (402) 의 두께는 공정에 따라서 변화시킬 수 있다. 통상, 실리콘카바이드층의 두께는 약 200 Å 내지 약 2000 Å 이다.
도 4b는 도 4a의 기판 구조체 (450) 상에 형성된 에너지 감응 레지스트 재료층 (404) 을 나타낸다. 에너지 감응 레지스트 재료층은 기판 구조체 (450) 상에 약 2000 Å 내지 약 6000 Å 범위 내의 두께로 스핀 코팅될 수 있다. 에너지 감응 레지스트 재료는 250 nm 미만의 파장을 갖는 DUV 복사에 감응한다.
마스크 (406) 를 통하여 DUV 복사에 에너지 감응 레지스트 재료 (404) 를 노출시켜 에너지 감응 레지스트 재료층 (404) 으로 패턴의 이미지를 도입한다. 패턴의 이미지가 에너지 감응 레지스트 재료 (404) 로 도입될 때, 실리콘카바이드층 (402) 은 에너지 감응 레지스트 재료 (404) 로 도입되는 패턴의 이미지를 손상시킬 수 있는 하부 재료층들 (예를 들어, 산화물, 금속) 에서의 반사를 억제시킨다.
도 4c에 도시된 바와 같이, 에너지 감응 레지스트 재료층 (404) 으로 도입된 패턴 이미지를 적절한 현상액 내에서 현상하여 현상된 층을 통하여 패턴을 정의한다. 그 후, 도 4d를 참조하면, 에너지 감응 레지스트 재료층 (404) 으로 정의된 패턴이 실리콘카바이드층 (402) 을 통하여 전사된다. 패턴은 마스크로서 에너지 감응 레지스트 재료 (404) 를 사용하여 실리콘카바이드층 (402) 을 통하여 전사된다. 패턴은 적당한 화학 에천트 (예를 들어, 카본테트라플루오라이드(CF4), 트리플루오르메탄 (CHF3) 및 산소 (O2) 를 포함하는 가스 혼합물) 를 사용하여 실리콘카바이드층 (402) 을 에칭함으로써 실리콘카바이드층 (402) 을 통하여 전사된다.
도 4e에 도시된 바와 같이, 실리콘카바이드층 (402) 을 패터닝한 후에, 그러한 패턴은 통상 기판 (400) 으로 전사된다. 패턴은 하드마스크로서 실리콘카바이드 ARC층 (402) 을 사용하여 기판 (400) 으로 전사된다. 패턴은 적당한 화학 에천트를 사용하여 기판 (400) 을 에칭함으로써 기판 (400) 으로 전사된다. 그후, 실리콘카바이드층 (402) 은 적절한 화학 에천트 (예를 들어, 카본테트라플루오라이드 (CF4) 또는 트리플루오르메탄 (CHF3) 와 산소 (O2) 를 포함하는 가스 혼합물) 을 사용하여 실리콘카바이드층 (402) 을 에칭함으로써 기판 구조체 (450) 로부터 선택적으로 제거된다.
이상, 본 발명의 교시를 구체화하는 여러 바람직한 실시예를 상세하게 도시하고 설명하였지만, 당업자들은 이러한 교시들을 구체화하는 많은 다른 변경 실시예들을 용이하게 고안할 수 있다.
본 발명에 의하면, 플라즈마 CVD 공정을 사용하여 양호한 배리어 특성, 낮은 유전상수 및 높은 자외광 흡수계수를 갖는 실리콘카바이드층을 형성함으로써 신뢰성 있고 성능이 우수한 집적회로를 제조할 수 있다.

Claims (73)

  1. 증착 챔버 내에 기판을 위치시키는 단계;
    상기 증착 챔버 내로 실리콘원, 탄소원 및 불활성 가스를 포함하는 가스 혼합물을 공급하는 단계; 및
    상기 가스 혼합물을 혼합 주파수 RF 전력을 사용하여 발생시킨 전기장 하에서 반응시켜 상기 기판 상에 실리콘카바이드 (SiC) 층을 형성하는 단계를 포함하는 것을 특징으로 하는 층 증착 방법.
  2. 제 1 항에 있어서,
    상기 실리콘원 및 상기 탄소원은 일반식이 SixCyHz인 유기실란 화합물을 포함하고, x 는 1 내지 2의 범위, y 는 1 내지 6의 범위, z 는 4 내지 20 의 범위인 것을 특징으로 하는 층 증착 방법.
  3. 제 2 항에 있어서,
    상기 유기실란 화합물은 메틸실란 (SiCH6), 디메틸실란 (SiC2H8), 트리메틸실란 (SiC3H10), 테트라메틸실란 (SiC4H12), 디에틸실란 (SiC4H12) 및 그들의 조합으로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 층 증착 방법.
  4. 제 1 항에 있어서,
    상기 실리콘원 및 상기 탄소원은 실란 (SiH4), 메탄 (CH4), 디실란 (Si2H6), 및 그들의 조합으로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 층 증착 방법.
  5. 제 1 항에 있어서,
    상기 불활성 가스는 헬륨 (He), 아르곤 (Ar), 질소 (N2) 및 그들의 조합으로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 층 증착 방법.
  6. 제 2 항에 있어서,
    상기 가스 혼합물 중에서 상기 유기실란 화합물과 상기 불활성 가스의 비는 약 1 : 1 내지 약 1 : 4 의 범위인 것을 특징으로 하는 층 증착 방법.
  7. 제 1 항에 있어서,
    상기 기판은 약 200 ℃ 내지 약 400 ℃ 의 온도로 가열되는 것을 특징으로 하는 층 증착 방법.
  8. 제 1 항에 있어서,
    상기 증착 챔버는 약 3 torr 내지 약 15 torr 의 압력으로 유지되는 것을 특징으로 하는 층 증착 방법.
  9. 제 2 항에 있어서,
    상기 유기실란 화합물은 상기 증착 챔버에 약 50 sccm 내지 약 200 sccm 범위의 유량으로 공급되는 것을 특징으로 하는 층 증착 방법.
  10. 제 1 항에 있어서,
    상기 혼합 주파수 RF 전력은 약 13 MHz 내지 약 27 MHz 범위의 주파수를 갖는 제 1 RF 전력 및 약 100 kHz 내지 약 500 kHz 범위의 주파수를 갖는 제 2 RF 전력을 포함하는 것을 특징으로 하는 층 증착 방법.
  11. 제 10 항에 있어서,
    상기 제 1 RF 전력은 약 200 W 내지 약 600 W 범위인 것을 특징으로 하는 층 증착 방법.
  12. 제 10 항에 있어서,
    상기 제 2 RF 전력은 약 1 W 내지 약 150 W 범위인 것을 특징으로 하는 층 증착 방법.
  13. 제 12 항에 있어서,
    상기 제 2 RF 전력과 상기 전체 혼합 주파수 RF 전력의 비는 약 0.6 : 1.0 미만인 것을 특징으로 하는 층 증착 방법.
  14. 제 1 항에 있어서,
    상기 실리콘카바이드층의 유전상수는 약 4.5 미만인 것을 특징으로 하는 층 증착 방법.
  15. 제 1 항에 있어서,
    상기 실리콘카바이드층은 약 250 nm 이하의 파장에서 반사방지막 (ARC) 인 것을 특징으로 하는 층 증착 방법.
  16. 소프트웨어 루틴을 실행시, 범용 컴퓨터로 하여금 층 증착 방법을 사용하는 증착 챔버를 제어하도록 하는 상기 소프트웨어 루틴을 구비하는 컴퓨터 저장 매체로서,
    상기 층 증착 방법은,
    상기 증착 챔버 내에 기판을 위치시키는 단계;
    상기 증착 챔버에 실리콘원, 탄소원 및 불활성 가스를 포함하는 가스 혼합물을 공급하는 단계; 및
    상기 가스 혼합물을 혼합 주파수 RF 전력을 사용하여 발생시킨 전기장 하에서 반응시켜 상기 기판 상에 실리콘카바이드 (SiC) 층을 형성하는 단계를 포함하는것을 특징으로 하는 컴퓨터 저장 매체.
  17. 제 16 항에 있어서,
    상기 실리콘원 및 상기 탄소원은 일반식이 SixCyHz인 유기실란 화합물을 포함하고, x 는 1 내지 2의 범위, y 는 1 내지 6의 범위, z 는 4 내지 20 의 범위인 것을 특징으로 하는 컴퓨터 저장 매체.
  18. 제 17 항에 있어서,
    상기 유기실란 화합물은 메틸실란 (SiCH6), 디메틸실란 (SiC2H8), 트리메틸실란 (SiC3H10), 테트라메틸실란 (SiC4H12), 디에틸실란 (SiC4H12) 및 그들의 조합으로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 컴퓨터 저장 매체.
  19. 제 16 항에 있어서,
    상기 실리콘원 및 상기 탄소원은 실란 (SiH4), 메탄 (CH4), 디실란 (Si2H6), 및 그들의 조합으로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 컴퓨터 저장 매체.
  20. 제 16 항에 있어서,
    상기 불활성 가스는 헬륨 (He), 아르곤 (Ar), 질소 (N2) 및 그들의 조합으로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 컴퓨터 저장 매체.
  21. 제 16 항에 있어서,
    상기 가스 혼합물 중에서 상기 유기실란 화합물과 상기 불활성 가스의 비는 약 1 : 1 내지 약 1 : 4 의 범위인 것을 특징으로 하는 컴퓨터 저장 매체.
  22. 제 16 항에 있어서,
    상기 기판은 약 200 ℃ 내지 약 400 ℃ 의 온도로 가열되는 것을 특징으로 하는 컴퓨터 저장 매체.
  23. 제 16 항에 있어서,
    상기 증착 챔버는 약 3 torr 내지 약 15 torr 의 압력으로 유지되는 것을 특징으로 하는 컴퓨터 저장 매체.
  24. 제 17 항에 있어서,
    상기 유기실란 화합물은 상기 증착 챔버에 약 50 sccm 내지 약 200 sccm 범위의 유량으로 공급되는 것을 특징으로 하는 컴퓨터 저장 매체.
  25. 제 16 항에 있어서,
    상기 혼합 주파수 RF 전력은 약 13 MHz 내지 약 27 MHz 범위의 주파수를 갖는 제 1 RF 전력 및 약 100 kHz 내지 약 500 kHz 범위의 주파수를 갖는 제 2 RF 전력을 포함하는 것을 특징으로 하는 컴퓨터 저장 매체.
  26. 제 25 항에 있어서,
    상기 제 1 RF 전력은 약 200 W 내지 약 600 W 범위인 것을 특징으로 하는 컴퓨터 저장 매체.
  27. 제 25 항에 있어서,
    상기 제 2 RF 전력은 약 1 W 내지 약 150 W 범위인 것을 특징으로 하는 컴퓨터 저장 매체.
  28. 제 25 항에 있어서,
    상기 제 2 RF 전력과 상기 전체 혼합 주파수 RF 전력의 비는 약 0.6 : 1.0 미만인 것을 특징으로 하는 컴퓨터 저장 매체.
  29. 제 16 항에 있어서,
    상기 실리콘카바이드층의 유전상수는 약 4.5 미만인 것을 특징으로 하는 컴퓨터 저장 매체.
  30. 제 16 항에 있어서,
    상기 실리콘카바이드층은 약 250 nm 이하의 파장에서 반사방지막 (ARC) 인 것을 특징으로 하는 컴퓨터 저장 매체.
  31. 혼합 주파수 RF 전력을 사용하여 실리콘원, 탄소원 및 불활성 가스를 포함하는 가스 혼합물을 반응시켜 기판 상에 실리콘카바이드층을 형성하는 단계; 및
    상기 실리콘카바이드층의 하나 이상의 영역에 패턴을 정의하는 단계를 포함하는 것을 특징으로 하는 장치의 제조 방법.
  32. 제 31 항에 있어서,
    상기 실리콘카바이드층을 마스크로 사용하여 상기 실리콘카바이드층의 하나 이상의 영역에 정의된 상기 패턴을 상기 기판으로 전사시키는 단계를 더 포함하는 것을 특징으로 하는 장치의 제조 방법.
  33. 제 32 항에 있어서,
    상기 기판으로부터 상기 실리콘카바이드층을 제거하는 단계를 더 포함하는 것을 특징으로 하는 장치의 제조 방법.
  34. 제 31 항에 있어서,
    상기 기판은 그 상부에 형성된 하나 이상의 재료층들을 갖는 것을 특징으로 하는 장치의 제조 방법.
  35. 제 31 항에 있어서,
    상기 실리콘카바이드층의 하나 이상의 영역에 패턴을 정의하는 단계는,
    상기 실리콘카바이드층 상에 에너지 감응 레지스트 재료층을 형성하는 단계;
    상기 에너지 감응 레지스트 재료층을 패턴화된 복사에 노출시켜 상기 에너지 감응 레지스트 재료층으로 상기 패턴 이미지를 도입하는 단계;
    상기 에너지 감응 레지스트 재료층으로 도입된 상기 패턴의 이미지를 현상하는 단계; 및
    상기 에너지 감응 레지스트 재료층을 마스크로 사용하여 상기 패턴을 상기 실리콘카바이드층을 통하여 전사시키는 단계를 포함하는 것을 특징으로 하는 장치의 제조 방법.
  36. 제 35 항에 있어서,
    상기 에너지 감응 레지스트 재료층을 형성하기 전에 상기 실리콘카바이드층 상에 중간층을 형성하고, 상기 에너지 감응 레지스트 재료층에 패턴의 이미지를 도입하고, 상기 패턴을 현상하는 단계;
    상기 에너지 감응 레지스트 재료층을 마스크로 사용하여 상기 에너지 감응 레지트스 재료층 내에 현상된 상기 패턴의 이미지를 상기 중간층을 통하여 전사시키는 단계; 및
    상기 중간층을 마스크로 사용하여 상기 패턴을 상기 실리콘카바이드층을 통하여 전사시키는 단계를 포함하는 것을 특징으로 하는 장치의 제조 방법.
  37. 제 36 항에 있어서,
    상기 중간층은 산화물인 것을 특징으로 하는 장치의 제조 방법.
  38. 제 37 항에 있어서,
    상기 산화물은 실리콘 산화물, 플루오르실리케이트 글래스 (FSG) 및 실리콘옥시나이트라이드로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 장치의 제조 방법.
  39. 제 33 항에 있어서,
    상기 실리콘카바이드층은 플루오린계 화합물을 사용하여 상기 기판으로부터 제거되는 것을 특징으로 하는 장치의 제조 방법.
  40. 제 39 항에 있어서,
    상기 플루오린계 화합물은 탄소테트라플루오라이드 (CF4) 및 트리플루오르메탄 (CHF3) 으로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 장치의 제조방법.
  41. 제 40 항에 있어서,
    상기 실리콘카바이드층은 약 250 nm 미만의 파장에서 반사방지막인 것을 특징으로 하는 장치의 제조 방법.
  42. 제 31 항에 있어서,
    상기 실리콘카바이드층은 약 250 nm 미만의 파장에서 약 0.1 내지 약 0.7 범위의 흡수계수를 갖는 것을 특징으로 하는 장치의 제조 방법.
  43. 제 42 항에 있어서,
    상기 흡수계수는 상기 실리콘카바이드층의 두께에 따라 약 250 nm 미만의 파장에서 약 0.1 에서 약 0.7 까지 변하는 것을 특징으로 하는 장치의 제조 방법.
  44. 제 41 항에 있어서,
    상기 실리콘카바이드층의 굴절률은 약 1.7 내지 약 2.1 범위인 것을 특징으로 하는 장치의 제조 방법.
  45. 제 31 항에 있어서,
    상기 실리콘원 및 상기 탄소원은 일반식이 SixCyHz인 유기실란 화합물을 포함하고, x 는 1 내지 2의 범위, y 는 1 내지 6의 범위, z 는 4 내지 20 의 범위인 것을 특징으로 하는 장치의 제조 방법.
  46. 제 45 항에 있어서,
    상기 유기실란 화합물은 메틸실란 (SiCH6), 디메틸실란 (SiC2H8), 트리메틸실란 (SiC3H10), 테트라메틸실란 (SiC4H12), 디에틸실란 (SiC4H12) 및 그들의 조합으로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 장치의 제조 방법.
  47. 제 31 항에 있어서,
    상기 실리콘원 및 상기 탄소원은 실란 (SiH4), 메탄 (CH4), 디실란 (Si2H6), 및 그들의 조합으로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 장치의 제조 방법.
  48. 제 31 항에 있어서,
    상기 불활성 가스는 헬륨 (He), 아르곤 (Ar), 질소 (N2) 및 그들의 조합으로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 장치의 제조 방법.
  49. 제 31 항에 있어서,
    상기 가스 혼합물 중에서 상기 유기실란 화합물과 상기 불활성 가스의 비는 약 1 : 1 내지 약 1 : 4 의 범위인 것을 특징으로 하는 장치의 제조 방법.
  50. 제 31 항에 있어서,
    상기 기판은 약 200 ℃ 내지 약 400 ℃ 의 온도로 가열되는 것을 특징으로 하는 장치의 제조 방법.
  51. 제 31 항에 있어서,
    상기 가스 혼합물은 약 3 torr 내지 약 15 torr 의 압력에서 반응되는 것을 특징으로 하는 장치의 제조 방법.
  52. 제 31 항에 있어서,
    상기 혼합 주파수 RF 전력은 약 13 MHz 내지 약 27 MHz 범위의 주파수를 갖는 제 1 RF 전력 및 약 100 kHz 내지 약 500 kHz 범위의 주파수를 갖는 제 2 RF 전력을 포함하는 것을 특징으로 하는 장치의 제조 방법.
  53. 제 52 항에 있어서,
    상기 제 1 RF 전력은 약 200 W 내지 약 600 W 범위인 것을 특징으로 하는 장치의 제조 방법.
  54. 제 52 항에 있어서,
    상기 제 2 RF 전력은 약 1 W 내지 약 150 W 범위인 것을 특징으로 하는 장치의 제조 방법.
  55. 제 54 항에 있어서,
    상기 제 2 RF 전력과 상기 전체 혼합 주파수 RF 전력의 비는 약 0.6 : 1.0 미만인 것을 특징으로 하는 장치의 제조 방법.
  56. 제 31 항에 있어서,
    상기 실리콘카바이드층의 유전상수는 약 4.5 미만인 것을 특징으로 하는 장치의 제조 방법.
  57. 상부에 제 1 유전층을 갖는 기판을 제공하는 단계;
    상기 제 1 유전층 상에 혼합 주파수 RF 전력을 사용하여 실리콘원, 탄소원 및 불활성 가스를 포함하는 가스 혼합물을 반응시켜 실리콘카바이드층을 형성하는 단계;
    상기 실리콘카바이드층을 패터닝하여 상기 실리콘카바이드층을 관통하는 콘택/비아들을 정의하는 단계;
    상기 패터닝된 실리콘카바이드층 상에 제 2 유전층을 형성하는 단계;
    상기 제 2 유전층을 패터닝하여 상기 실리콘카바이드층 내에 정의된 상기 콘택/비아들의 상부에 위치하는 배선을 정의하는 단계;
    상기 실리콘카바이드층을 마스크로 사용하여 상기 콘택/비아 패턴을 상기 제 1 유전층을 통하여 전사시키는 단계; 및
    상기 콘택/비아들 및 배선들을 도전재료로 채우는 단계를 포함하는 것을 특징으로 하는 다마슨 구조체의 제조 방법.
  58. 제 57 항에 있어서,
    상기 제 1 유전층, 상기 제 2 유전층 및 상기 실리콘카바이드층의 유전상수는 각각 약 4.5 미만인 것을 특징으로 하는 다마슨 구조체의 제조 방법.
  59. 제 57 항에 있어서,
    상기 콘택/비아들 및 배선들을 채우는 상기 도전재료의 저항은 약 5 μΩ- cm 미만인 것을 특징으로 하는 다마슨 구조체의 제조 방법.
  60. 제 57 항에 있어서,
    상기 제 1 유전층 및 상기 제 2 유전층은 실리콘산화물, 유기실리케이트, 플루오르실리케이트 글래스 (FSG) 및 탄소가 도핑된 플루오르실리케이트 글래스로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 다마슨 구조체의 제조 방법.
  61. 제 57 항에 있어서,
    상기 콘택/비아들 및 상기 배선들을 채우는 상기 도전재료는 구리 (Cu), 알루미늄 (Al), 텅스텐 (W) 및 그들의 조합으로 이루어진 그룹으로 부터 선택되는 것을 특징으로 하는 다마슨 구조체의 제조 방법.
  62. 제 57 항에 있어서,
    상기 실리콘원 및 상기 탄소원은 일반식이 SixCyHz인 유기실란 화합물을 포함하고, x 는 1 내지 2의 범위, y 는 1 내지 6의 범위, z 는 4 내지 20 의 범위인 것을 특징으로 하는 다마슨 구조체의 제조 방법.
  63. 제 62 항에 있어서,
    상기 유기실란 화합물은 메틸실란 (SiCH6), 디메틸실란 (SiC2H8), 트리메틸실란 (SiC3H10), 테트라메틸실란 (SiC4H12), 디에틸실란 (SiC4H12) 및 그들의 조합으로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 다마슨 구조체의 제조 방법.
  64. 제 57 항에 있어서,
    상기 실리콘원 및 상기 탄소원은 실란 (SiH4), 메탄 (CH4), 디실란 (Si2H6), 및 그들의 조합으로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 다마슨 구조체의 제조 방법.
  65. 제 57 항에 있어서,
    상기 불활성 가스는 헬륨 (He), 아르곤 (Ar), 질소 (N2) 및 그들의 조합으로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 다마슨 구조체의 제조 방법.
  66. 제 57 항에 있어서,
    상기 유기실란 화합물과 상기 불활성 가스의 비는 약 1 : 1 내지 약 1 : 4 의 범위인 것을 특징으로 하는 다마슨 구조체의 제조 방법.
  67. 제 57 항에 있어서,
    상기 기판은 약 200 ℃ 내지 약 400 ℃ 의 온도로 가열되는 것을 특징으로 하는 다마슨 구조체의 제조 방법.
  68. 제 57 항에 있어서,
    상기 가스 혼합물은 약 3 torr 내지 약 15 torr 의 압력에서 반응되는 것을 특징으로 하는 다마슨 구조체의 제조 방법.
  69. 제 57 항에 있어서,
    상기 혼합 주파수 RF 전력은 약 13 MHz 내지 약 27 MHz 범위의 주파수를 갖는 제 1 RF 전력 및 약 100 kHz 내지 약 500 kHz 범위의 주파수를 갖는 제 2 RF 전력을 포함하는 것을 특징으로 하는 다마슨 구조체의 제조 방법.
  70. 제 69 항에 있어서,
    상기 제 1 RF 전력은 약 200 W 내지 약 600 W 범위인 것을 특징으로 하는 다마슨 구조체의 제조 방법.
  71. 제 69 항에 있어서,
    상기 제 2 RF 전력은 약 1 W 내지 약 150 W 범위인 것을 특징으로 하는 다마슨 구조체의 제조 방법.
  72. 제 71 항에 있어서,
    상기 제 2 RF 전력과 상기 전체 혼합 주파수 RF 전력의 비는 약 0.6 : 1.0 미만인 것을 특징으로 하는 다마슨 구조체의 제조 방법.
  73. 제 57 항에 있어서,
    상기 실리콘카바이드층은 약 250 nm 미만의 파장에서 반사방지막 (ARC) 인 것을 특징으로 하는 다마슨 구조체의 제조 방법.
KR1020010056269A 2000-09-12 2001-09-12 실리콘카바이드층의 이중 주파수 플라즈마 화학기상증착 KR100878170B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/660,268 US6465366B1 (en) 2000-09-12 2000-09-12 Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US09/660,268 2000-09-12

Publications (2)

Publication Number Publication Date
KR20020022128A true KR20020022128A (ko) 2002-03-25
KR100878170B1 KR100878170B1 (ko) 2009-01-12

Family

ID=24648793

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010056269A KR100878170B1 (ko) 2000-09-12 2001-09-12 실리콘카바이드층의 이중 주파수 플라즈마 화학기상증착

Country Status (5)

Country Link
US (2) US6465366B1 (ko)
EP (1) EP1191123A3 (ko)
JP (1) JP5116197B2 (ko)
KR (1) KR100878170B1 (ko)
TW (1) TWI259850B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101164688B1 (ko) * 2005-01-10 2012-07-11 어플라이드 머티어리얼스, 인코포레이티드 게이트 스택 측벽 스페이서들을 제조하기 위한 방법
KR101355538B1 (ko) * 2011-10-21 2014-01-24 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR20210021115A (ko) * 2018-07-19 2021-02-24 어플라이드 머티어리얼스, 인코포레이티드 저온 고품질 유전체 막들

Families Citing this family (210)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
DE10231533A1 (de) * 2002-07-11 2004-01-29 Infineon Technologies Ag Verfahren zur Metallstrukturierung
US20050181604A1 (en) * 2002-07-11 2005-08-18 Hans-Peter Sperlich Method for structuring metal by means of a carbon mask
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6797643B2 (en) * 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7091133B2 (en) * 2003-01-27 2006-08-15 Asm Japan K.K. Two-step formation of etch stop layer
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7138332B2 (en) * 2003-07-09 2006-11-21 Asm Japan K.K. Method of forming silicon carbide films
US6849561B1 (en) * 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US6972253B2 (en) * 2003-09-09 2005-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming dielectric barrier layer in damascene structure
JP2005136374A (ja) * 2003-10-06 2005-05-26 Matsushita Electric Ind Co Ltd 半導体製造装置及びそれを用いたパターン形成方法
US7390537B1 (en) * 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US7176571B2 (en) * 2004-01-08 2007-02-13 Taiwan Semiconductor Manufacturing Company Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7407893B2 (en) * 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US20050263901A1 (en) * 2004-05-27 2005-12-01 International Business Machines Corporation Semiconductor device formed by in-situ modification of dielectric layer and related methods
US7022622B2 (en) * 2004-07-08 2006-04-04 International Business Machines Corporation Method and structure to improve properties of tunable antireflective coatings
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
CN100437934C (zh) * 2005-02-08 2008-11-26 联华电子股份有限公司 减少低介电常数材料层的微粒数目的方法
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
JP4563927B2 (ja) * 2005-12-02 2010-10-20 信越化学工業株式会社 基板及びその製造方法、並びにそれを用いたパターン形成方法
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
WO2008152666A1 (en) * 2007-06-14 2008-12-18 Galileo Avionica S.P.A. Process for the deposition of layers of hydrogenated silicon carbide
US9139351B2 (en) 2007-12-11 2015-09-22 Tokitae Llc Temperature-stabilized storage systems with flexible connectors
US8215835B2 (en) 2007-12-11 2012-07-10 Tokitae Llc Temperature-stabilized medicinal storage systems
US9205969B2 (en) 2007-12-11 2015-12-08 Tokitae Llc Temperature-stabilized storage systems
US8485387B2 (en) * 2008-05-13 2013-07-16 Tokitae Llc Storage container including multi-layer insulation composite material having bandgap material
US9174791B2 (en) 2007-12-11 2015-11-03 Tokitae Llc Temperature-stabilized storage systems
US9140476B2 (en) 2007-12-11 2015-09-22 Tokitae Llc Temperature-controlled storage systems
CN101736326B (zh) * 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US9372016B2 (en) 2013-05-31 2016-06-21 Tokitae Llc Temperature-stabilized storage systems with regulated cooling
US9447995B2 (en) 2010-02-08 2016-09-20 Tokitac LLC Temperature-stabilized storage systems with integral regulated cooling
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US20200098562A1 (en) * 2018-09-26 2020-03-26 Lam Research Corporation Dual frequency silane-based silicon dioxide deposition to minimize film instability
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB725440A (en) * 1952-02-23 1955-03-02 Representation Generale Pour L Improvements in and relating to field or portable kitchens
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
KR100469134B1 (ko) * 1996-03-18 2005-09-02 비오이 하이디스 테크놀로지 주식회사 유도형플라즈마화학기상증착방법및그를이용하여생성된비정질실리콘박막트랜지스터
US5800878A (en) 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US5926740A (en) * 1997-10-27 1999-07-20 Micron Technology, Inc. Graded anti-reflective coating for IC lithography
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6103590A (en) * 1997-12-12 2000-08-15 Texas Instruments Incorporated SiC patterning of porous silicon
EP0926715B1 (en) * 1997-12-23 2009-06-10 Texas Instruments Incorporated Chemical mechanical polishing for isolation dielectric planarization
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6103456A (en) * 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6255211B1 (en) * 1998-10-02 2001-07-03 Texas Instruments Incorporated Silicon carbide stop layer in chemical mechanical polishing over metallization layers
US6261892B1 (en) * 1999-12-31 2001-07-17 Texas Instruments Incorporated Intra-chip AC isolation of RF passive components
US6440878B1 (en) * 2000-04-03 2002-08-27 Sharp Laboratories Of America, Inc. Method to enhance the adhesion of silicon nitride to low-k fluorinated amorphous carbon using a silicon carbide adhesion promoter layer
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101164688B1 (ko) * 2005-01-10 2012-07-11 어플라이드 머티어리얼스, 인코포레이티드 게이트 스택 측벽 스페이서들을 제조하기 위한 방법
KR101355538B1 (ko) * 2011-10-21 2014-01-24 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR20210021115A (ko) * 2018-07-19 2021-02-24 어플라이드 머티어리얼스, 인코포레이티드 저온 고품질 유전체 막들

Also Published As

Publication number Publication date
EP1191123A2 (en) 2002-03-27
EP1191123A3 (en) 2004-11-17
JP5116197B2 (ja) 2013-01-09
US6589888B2 (en) 2003-07-08
TWI259850B (en) 2006-08-11
KR100878170B1 (ko) 2009-01-12
JP2002198317A (ja) 2002-07-12
US6465366B1 (en) 2002-10-15
US20030008069A1 (en) 2003-01-09

Similar Documents

Publication Publication Date Title
KR100878170B1 (ko) 실리콘카바이드층의 이중 주파수 플라즈마 화학기상증착
KR100801369B1 (ko) 유전체 막을 증착시키는 방법
US6537733B2 (en) Method of depositing low dielectric constant silicon carbide layers
KR100841495B1 (ko) 디바이스 형성 방법
US6500773B1 (en) Method of depositing organosilicate layers
US7244672B2 (en) Selective etching of organosilicate films over silicon oxide stop etch layers
US6777171B2 (en) Fluorine-containing layers for damascene structures
KR100818953B1 (ko) 유기실리케이트층을 증착시키는 방법
US20030032305A1 (en) Method of depositing low dielectric constant carbon doped silicon oxide
KR100817350B1 (ko) 규소 탄화물 박막의 이중 플라즈마 처리
US6573196B1 (en) Method of depositing organosilicate layers
US20020142104A1 (en) Plasma treatment of organosilicate layers

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20131227

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee