TWI259850B - Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers - Google Patents

Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers Download PDF

Info

Publication number
TWI259850B
TWI259850B TW090119426A TW90119426A TWI259850B TW I259850 B TWI259850 B TW I259850B TW 090119426 A TW090119426 A TW 090119426A TW 90119426 A TW90119426 A TW 90119426A TW I259850 B TWI259850 B TW I259850B
Authority
TW
Taiwan
Prior art keywords
layer
page
substrate
silicon carbide
california
Prior art date
Application number
TW090119426A
Other languages
English (en)
Inventor
Srinivas Nemani
Li-Qun Xia
Ellie Yieh
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TWI259850B publication Critical patent/TWI259850B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

A7
1259850 五、發明說明() 發明領媸: 本發明係有關於碳化矽層,特別县古 竹⑺疋有關於一種形成碳 化碎層之方法。 發明背景: 積體電路已發展成為複雜的裝置,單一晶片上可容納 數百萬個7L件(例如電晶體、電容器及電阻器等)。晶片設 計的發展持續要求更快的電路系統及更高的電路密度。更 高電路密度的要求使得縮小積體電路元件的尺寸成為必 要。 當積體電路元件的尺寸縮小之後(例如次微米的尺 度),用於製造此等元件的材料對於此等元件的電子特性 有所貢獻。例如,低電阻的金屬内連線(例如鋁及銅)可在 積體電路上的元件之間提供導電路徑。 在典型情況下’金屬内連線係藉由絕緣材料而彼此電 性絕緣。當鄰近的金屬内連線及/或體絕緣材料的厚度位於 次微米尺度時,此種金屬内連線之間將有可能產生電容搞 合。金屬内連線鄰近之間的電容镇合可能會造成線路干擾 及/或阻容(RC)延遲,因而會降低積體電路的整體性能。為 了避免金屬内連線鄰近之間的電容耦合,必須使用低介電 常數(k)的絕緣材料(例如介電常數低於約5 .〇)。 此外,低介電常數阻障層經常用於將金屬内連線與體 絕緣材料分開。阻障層可減少金屬擴散至體絕緣材料。五 人不希望金屬擴散至體絕緣材料,因為此種擴散將會影響 第4頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂-ί------線< 經濟部智慧財產局員工消費合作社印製 1259850
五、發明說明() 積電路的電子特性,或使其無法運作。 對積體電路更高密度的要求同時會引發對於積體電 路製造之處理程序的要求。例如,在使用傳統微影技術的 處理程序當中,能量敏感性抗蝕層形成於基材上的一疊材 料層上方。許多位於下方的材料層會反射紫外光。此種反 射現象可能會使例如線路及介層孔(via)等形成於能量敏 感性抗餘材料中的特徵結構之尺寸產生扭曲。 一種提議用來減少下層材料之反射的技術是利用抗 反射塗層(anti-refiective coating,ARC)。ARC 係在圖案化 抗餘層之前先形成於反射材料層之上。arc能夠在抗蝕層 造影當中,抑制下方材料層的反射現象,以便在能量敏感 性抗姓層中提供精確的複製圖案。 奴化碎(S i C)已被提議用來做為積體電路上的阻障層. 及/或ARC ’因為碳化矽層可具有低介電常數(介電常數小 於約5 · 〇) ’且具有良好的擴散阻障特性,以及良好的光吸 收特性。 然而’碳化矽阻障層通常係利用化學氣相沉積(CVD) 技術而形成。利用CVD所形成的Sic層傾向具有高氧氣 含里(例如’氧氣含量高於約4%)。吾人不希望得到高氧氣 含量’因為如此將會促使諸如銅等金屬從金屬内連線通過 SiC層而擴散到絕緣材料内。 因此’在此技術領域需要一種形成應用於積體電路製 程之可靠的碳化矽擴散阻障層之方法。特別是,此種碳化 碎擴政阻障層亦可做為抗反射塗層(ARC)。 第5頁 本紙張尺度顧中ϋ國家標準(CNS)A4規格(210 X 297公髮 ___________i (請先閱讀背面之注意事項再填寫本頁) 訂--------線赢 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 1259850
碳化矽層的折射率(n)及吸收係數(^)可加以調整,因為在 碳化矽的形成過程中,折射率及吸收係數可為氣體混合物 組成的函數而隨著所想要的範圍改變。當碳化矽層形成於 基材上之後,能量敏感性抗蚀材料層將形成於其上方。在 波長小於約250nm的情況下,一圖案被界定於能量敏感性 抗蚀層。接著,界定於能量敏感性抗钱層的圖案會被轉換 至碳化石夕層。當碳化矽層經過圖案化之後,此圖案可選擇 第6頁 本紙張關家標f(cns)A4祕㈣x 297公4)
1259850 A7 五、發明說明( 性地被轉換至基材 圖式簡單說明: 本發明之教示可藉由參照以下詳細說明及所附圖式 而容易理解,其中: 第1圖描繪可用於實施在此描述之設備的概要圖; 第2a-2e圖描繪基材結構在積體電路製造之不同階段的截 面圖,其中該結構係以碳化梦做為硬罩幕; 第3a-3d圖描繪雙重鑲嵌結構在杳 傅在%體電路製造之不同階段 的截面圖,其中該結構# 再係以奴化矽做為硬罩幕;及 第4a-4e圖描緣基材結構在積, 償隨電路製造之不同階段的截 面圖,其中該結構係以 以奴化矽做為抗反射塗層 (ARC)。 經濟部智慧財產局員工消費合作社印製 圖號對照說明: 10 晶圓處理系統 102 真空幫浦 110 控制單元 114 支援電路系統 118 訊號匯流排 120 噴頭 150 基座 172 溫度感應器 200 基材 1〇〇 1〇6 112 116 Π9 13〇 17〇 19〇 202 第7頁 處理室 電源供應器 中央處理單元 控制軟體 射頻電源 氣體板 加熱單元 晶圓 材料層 ------------------訂·-------線%· (請先閱讀背面之注意事項再填寫本頁)
1259850 五、發明說明() 204 碳化矽層 206 中介層 208 能量敏感性光阻材料 210 罩幕 300 基材 302 第一介電層 304 碳化矽層 306 接觸孔/介層孔 308 弟—介電層 310 内連線 314 導電材料 316 阻障層 400 基材 402 碳化矽層 404 能量敏感性光阻材料 406 罩幕 450 基材結構 發明詳細說明: (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第1圖係晶圓處理系統1 ο之概要圖,晶圓處理系統 1 0可用於根據在此描述之實施例來沉積碳化矽層。在典型 情況下’系統1 0包含處理室1 〇 〇、氣體板1 3 〇、控制單元 1 1 0 ’以及其它硬體裝置’例如電源供應器1 1 9、1 〇 6及真 S幫浦1 〇 2。晶圓處理系統1 〇的範例包括電漿激發化學氣 相沉積(PECVD)處理室’例如DXZ™處理室,其可從位於 美國加州聖克拉拉市的應用材料公司(Applied Materials Inc.)購得。 晶圓處理系統1 0的細節已描述於與本案具共同受讓 之美國專利申請案第09/21 1,998號,該美國專利申請案之 發明名稱為「高溫化學氣相沉積處理室」("High Temperature Chemical Vapor Deposition Chamber") » 該專 利申請案於1998年12月14日提出申請,在此併入此相 第8頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1259850 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明() 關木做為參考。系統丨〇的顯著特徵將簡要描述如下。 處理$ 100概括覆蓋支撐基座150,該支撐基座係用 於支撐如半導體晶圓190之類的基材。基座15〇通常可在 處理至100内部利用位移機制(未圖示)而沿著垂直方向移 動。 晶圓190可纟沉積碳化碎之前行被加熱至所想要 的=度,並取決於特定製程而定。例如,晶圓支撐基座15〇 Y藉由嵌入式加熱單元17〇進行加熱。基座15〇可藉由將 人泥電源供應器1 06所提供的電流通往加熱單元i 7〇,而 以電阻方式進行加熱,然後再由基座15〇 I加鼽晶圓 190 〇 、溫度感應H 172,例如熱電偶,亦内嵌於晶圓支撐基 座15 〇,藉以如同傳統方式來監測基座i 5 〇的溫度。測量 到的溫度係用於反饋迴路,藉以控制供應到加熱單元170 勺%源,以使晶圓的溫度能夠維持或控制在所想要且適於 特定製程應用的溫度。此外,亦可選用輻射熱能(未圖示) 來加熱基座。 真2幫浦1 0 2係用於抽取處理室丨〇 〇當中的氣體,以 維持處理室100内部的適當氣體流量及壓力。喷頭12〇係 位於晶圓支撐基座150的上方,製程氣體可透過噴頭而被 導入處理罜。噴頭1 20係連接於氣體板丨3 〇,其可控制及 供應各種用於不同製程階段的氣體。 賣頭120及晶圓支撐基座i 5〇亦構成一對隔開的電 極。當電場在此等電極之間產生時,被導入處理室1〇〇内 第9頁 ---------^ij-------^^^1 (請先閱讀背面之注意事項再填寫本頁) 1259850
、發明說明( 經濟部智慧財產局員工消費合作社印製 邵的製程氣體將合祜、、蘇益4 + m 曰被激發成電漿。電場係藉由將喷頭1 2 0 連接至w 口射頻電源i i 9而產生。混頻rf電源的細節已 、田ϋ於與本木具共同雙讓之美國專利第6,〇41,川號,該 美國專利之發明名稱為「在處理基材當中利用非對稱波形 制離子森擊 f ” τ τ Q θ r 」( se 〇f an Asymmetric Waveform to ontrol Ion Bombardment During Substrate Processing") » 該美國專利於2000年3月28日獲准,在此併入此專利做 為參考。 在典型^況下’在控制單元丨丨〇控制下的混頻RF電 源1 19可將南頻電源(例如在約1〇MHz至15MHz範圍内的 RF電源)及低頻電源(例如在約l5〇KHz至45〇KHz範圍内 的RF電源)供應到噴頭12〇。高頻rf電源及低頻電源 兩者係透過匹配網路(未圖示)而與.噴頭12〇耦合。高頻rF 電源及低頻RF電源亦可選擇與晶圓支撐基座丨5〇耦合, 或其中一個與噴頭丨2〇耦合,而另一個與晶圓支撐基座 1 5 0耦合。 電漿激發化學氣相沉積(PECVD)技術係藉由在基材 附近的反應區域施加電場而促使反應氣體的激發及/或裂 解,從而產生反應物種的電漿。電漿物種的反應性可降低 發生化學反應所需的能量,因此,其在功效上可降低此種 PECVD製程所需的溫度。 通過氣體板130的氣體流量係藉由流量控制器 (M F C)(未圖示)及控制單元1 1 〇來進行適當的控制及調 整。喷頭1 2 0可讓來自氣體板1 3 0的製程氣體均勻地導入 第10頁 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公f ) ^ --------訂丨---------線 (請先閱讀背面之注意事項再填寫本頁) 1259850 A7 五、發明說明() 及散佈於處理室1〇〇。 如第1圖所示’控制單元110包含中央處理單元 (CPU)112'支援電路系統114’以及含有相關控制軟體U6 的記憶體。控制單元11〇係負責晶圓處理所需之各種不同 步驟的自動化控制,彳丨』.曰
如· 0日圓運送、氣流控制、混頻RF 電源控制、溫慶扣告,丨、由 ^ 4 二制處理至抽氧及其它步驟。控制單元 110與晶圓處理孕絲1n +欠絲 里乐、、无10 I各種裝置之間的雙向通訊係透 過許多訊號纜線逸杯田 & f、 订處理’邊♦訊號纜線統稱為訊號匯流 排11 8,其中—些匯流排圖示於第1圖。 w中,處理單元(CPU)112可為任何一種一般用途的電 處理态並可在工業環境中用於控制製程處理室,以及 做為副處理器。雷月溢φ I古 每中了使用任何適當的記憶體,例如隨 機存取記憶體、唯赛分斤减 ,,^ 項记憶m、摩人碟機、硬碟機,或其它任 何位於近端或遠端的勃p蚀六壯艰 ' 的數位儲存裝置。各式支援電路系統可 與CPU耦合,並以值絲士 + , 卫^傳扁万式支板處理器。處理過程所需的 例行程序可儲存在1 咅蝴 I.,,
在记U m 或由位於遠端的第二個CPU 來執行。 田基材190被放置在晶圓支撐基座150上之後,處理 過程的例行程序即被執行。當執行處理過程的例行程序 時,例行程序會將一般用途電腦轉換成特殊用途電腦,並 且控制處理室的運作,茲y 、 連作精以執伃沉積製程。在另一種情沉 下,處理室的運作可士丨田乂上 、土 J用位於逆端的硬體加以控制,即如 特殊應用積體電路ίΑςΐΓ、十、甘、1 C)或其它類型的硬體實作,或由軟 體與硬體的結合來控制。 第11頁 ______I I I K L (請先閱讀背面之注意事項再填寫本頁) 訂—--- 線秦 經濟部智慧財產局員工消費合作社印製 A7 1259850 五、發明說明() 滅化妙層的形成 在-實施例中,碳切層係經由氣體混合物之反應而 形成,此乳體混合物包切源、碳源及惰性氣體。碎源及 碳源可為其一般化學式為Si c Η夕右撼☆ xCyHz <有機矽烷化合物,其 中X的範圍從1至2,y的範圍從丨 剡6而z的範圍則從 6到20。例如,甲基矽烷(SiCH )、一 6) —甲基矽烷(Sic2H8)、 三甲基㈣(SiC3Hl°)、四曱基錢(SiC4Hl2)、二乙基秒燒 (SiC4H12)及*它化合物可做為有機矽烷化合物。在其它選 擇中’㈣(’4)、乙錢(Si2H6)、甲燒(Cl)及其^成物 可做為矽源及碳源。 氦氣、氬氣、氮氣或其合成物及其它氣體可做為惰性 氣體。 概括而言,以下的沉積製程參數可用於形成碳化矽 層。製程參數的範圍如下:曰曰曰圓溫度約200至彻。C,處 理1:的壓力約3 i 15托耳,有機秒燒化合物的流速约功 至200標準立方公分(sccm),惰性氣體的流速約5〇至谷㈧ seem(使得有機矽烷之流速與惰性氣體之流速的比率範團 介於1 ·· 1至1 : 4),氣體板的間隔約3〇〇至6〇〇密爾(mu, 千分之一英吋),而混頻RF電源具有至少一第一 rf電源, 其頻率範圍介於約13MHz至約27MHz,而功率則介於約 2〇〇至800瓦,混頻RF電源另具有至少一第二RF電源, 其頻率範圍介於約ΙΟΟΚΗζ至约50〇κΗζ,而功率則介於约 1至200瓦。第二rf功率與總混頻功率的比率最好小於 約〇· 6至1 · 〇。當使用購自美國加州聖克拉拉市之應用材 第12頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297 ----------^ --------訂if------ 線, (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印制衣 1259850 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明() 料A司的/儿積處理室時,上述製程參數可在200mm的基 材上提供每分鐘約1 〇 〇 〇 至5 0 〇 〇埃的沉積速率。 其匕〉儿積處理言;fjR it 4- # T在本發明的範圍内,且上述參數可 根據二於形成碳切層的特定沉積處理室而加以調整。舉 例而言’其它沉積處理室的容積以及所需的氣體流速可能 大於或小於在此描述之應用材料公司的沉積處理室,並且 可配置容納300 mm的基材。 儿積過後的石反化矽層的介電常數小於約4 · $,因而適 於做為積體電路中的絕緣材料。碳切層的介電常數可加 以:正,因為此介電常數可為混頻rf電源之比率的函數 現其夂化具而吕’當低頻RF功率與總混頻rf功率 的比率下降時,沉積後之碳切層的介電常數也會降低。 >成膜層的過私中,碳化碎層之介電常數亦可為氣 f混合物之成分的函數而加以調整。當氣體混合物中的碳 展度曰加時’ /儿積後之碳化矽層的碳含量亦同時增加,而 $介電常數則降低。此外,隨著沉積後之碳切層的碳含 量的增加,其疏水特性亦增加,使得此種膜層適於做為積 體電路中的水氣阻障層。 此外,沉積後之碳化碎層的氧氣含量低#工%。吾人 相仏此種氧氣含量可減少金屬擴散,並改善碳化矽膜層 的阻障層特性。例如,沉積後之碳化碎層的電流阻擔能力 約為1MV/Cm(百萬伏特/公分),其小於ΐχΐ〇·9安培/平方公 分,因而其適用於減少積體電路之内連線結構之間的干 擾。 第13頁 --------^ij------- (請先閱讀背面之注意事項再填寫本頁) 1259850 A7 B7 五、發明說明( 經濟部智慧財產局員工消費合作社印製 在波長約低於250 nm時,碳化矽層的光吸收係數(Λ ) 约介於至0.7之間,使其適於做為DUV波長的抗反射 塗層(ARC)。在形成膜層的過程中,碳化砍層的吸收係數 可為沉積溫度和氣體混合物之碳含量的函數而隨其變 化。具體而言,當沉積溫度增加時,沉積後之碳化矽層的 吸收係數㈣時增加。此外,當沉積後之碳切層的碳含 量增加時’其吸收係數亦同樣會增加。 積體電路的製造過程 A·碳化矽硬罩幕 第2a圖至第2e圖概略繪示基材2〇〇在積體電路製 之不同階段的截面圖中碳化珍係做為硬罩幕。概括 言’基材200可代表任何進行處理的工件,而基材結構2別 則概括代表基材以及形成於基材2〇〇上方的其它材料層 基材則可能對應硬晶圓或其它已經形成於珍晶圓上二 料層’並取決於製程的特定階段而定。例如,第h圖 示基材結構250的截面圖,其上具有以習知方法形成的 料層2〇2。材料層202可能是氧化層(例如二氧切或氟 璃)。概括而t ’基材200可包含珍層 '妙化物層、金 層或其Έ材料層。第2a圖繪示一種實施例,其中基材 矽層,且其上方形成有二氧化矽層。 土 第2b圖繪示形成於第2a圖所示之基材結構25〇上 的碳化碎| 204。碳化參層2〇4係依照上述製程參數而 成於基材結構250之上。此碳化秒層的厚度係隨著特 第14頁 本纸張尺度適用中國國家標準(CNS)A4 ^¥7^10 x 297公f ) 造 而 材 材 玻 屬 為 方 形 定製 --------訂丨^-------^^^^1 (請先閱讀背面之注意事項再填寫本頁) 1259850 A7 B7 五、發明說明( 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 程階段而改變。在典型情況下,碳化矽層的沉積厚度約5〇 埃至1000埃。 一層能量敏感性光阻材料208形成於碳化矽層204 上。該層能量敏感性光阻材料208可被旋塗於基材上,其 厚度約介於4,000埃至10,000埃。大部分的能量敏感性光 阻材料容易受到波長小於約45〇奈米之紫外輻射的影響; 而深紫外線(DUV)光阻材料則容易受到波長小於約245奈 米之紫外輻射的影響。 中介層206係形成於碳化矽層2〇4的上方,其取決於 製程當中所使用的能量敏感性光阻材料之蝕刻化學成 而足。當能量敏感性光阻材料208及碳化層204可利用 同的化學蝕刻劑進行蝕刻時,中介層2〇6即可當作碳化。 204的罩幕。中介層2〇6係以習知方式形成於碳化層 之上。中介層206可為碳化矽蓋層、氧化物、氮化物、 氧矽化物、非結晶矽或其它合適材料。 將一層能量敏感性光阻材料2 〇 8經由罩幕2 1 〇暴露 紫外輻射,即可將圖案影像引導至該層能量敏感性光阻 料208。如第2c圖所示,被引導至該層能量敏感性光阻 料208的圖案影像在適當的顯影劑當中進行顯影,以界 出其中的圖案。然後,請參照第2 d圖,界定於能量敏 性光阻材料208中的圖案轉移通過碳化矽層2〇4。該圖 係利用能量敏感性光阻材料2〇8當作罩幕而被轉移通過 化矽層204。該圖案係利用適當的化學蝕刻劑而被轉移 通過杈化矽層204。舉例而言,四氟化碳或含有三氟甲 第15頁 本紙張尺度適用中國國家標準(cns)A4規格(2〗〇 X 297公釐) 分 相 層 氮 於 材 材 定 感 案 石炭 至 ^ --------訂-----------線 (請先閱讀背面之注意事項再填寫本頁) 1259850 A7 B7 五、發明說明() 和氧氣之氣體混合物等可用於化學性蝕刻碳化矽層2〇4。 在另一種情況下,當中介層206存在時,首先利用該 (請先閱讀背面之注意事項再填寫本頁) 能量敏感性光阻材料2〇8做為罩幕,將界定於能量敏感性 光阻材料208中的圖案轉移通過中介層206。然後,利用 中介層206做為罩幕而將圖案轉移通過碳化矽層204。圖 案係利用適當的蚀刻劑而被轉移通過中介層2 〇 6及碳化秒 層 204。 第2e圖繪示製程完成之後的積體電路,此製程係利 用碳化碎層204做為硬罩幕,而將界定於碳化矽層2〇4中 的圖案轉移通過二氧化矽層202。 當二氧化矽層202經過圖案化之後,碳化矽層204可 選擇性地藉由利用適當的蝕刻劑進行蝕刻而從基材上被 移除。 B ·包含碳化矽層之鑲嵌結構 經濟部智慧財產局員工消費合作社印製 第3a圖至第3d圖概略繪示基材300在製造鑲嵌結構 之不同階段的截面圖,其中包含碳化矽層。鑲嵌結構通常 用於形成積體電路上的多層金屬内連線。隨著製程階段的 不同,基材300可能對應已經形成於基材3〇〇上的矽晶圓 或其它材料層。例如,第3a圖繪示基材300,其上已形成 第一介電層302。第一介電層302可為氧化層(例如二氧化 矽、有機矽烷化合物、氟玻璃(FSG)、摻雜碳之FSG等)。 概括而言,基材可包含一層矽、矽化物、金屬或其它材料。 第3a圖繪示一實施例,其中基材3〇〇為矽,其上形 第16頁 本紙張尺度翻中國國家標準(CNS)A4規格⑵0 X 297公f 7 1259850
經濟部智慧財產局員工消費合作社印製 五、發明說明() 有氣破璃層。第一介電層的厚度約5,000埃至10,000 埃’並取決於所製造的結構大小而定。 %化秒層3 0 4係形成於第一介電層3 〇 2之上。碳化矽 層304係按照以上所描述之製程參數而形成於第一介電層 3〇2炙上。碳化矽層304之介電常數小於約4.5,因而能夠 避免或減少形成於鑲嵌結構内之金屬内連線之間的電容 耦合。碳化矽層的介電常數可以調整,因為介電常數可為 开y成膜層過程中的氣體混合物成分以及施加電場之功率 比值的函數而在所想要的範圍内改變。 碳化碎層304的厚度係隨著製程的特定階段而改變。 在典型情況下,碳化矽層304的厚度約200埃至1〇〇〇埃。 叫參照第3b圖,碳化矽層304被圖案化及蝕刻,以 界疋出接觸孔/介層孔開口 3 〇6,並在介層孔被形成的區域 内暴露出第一介電層302。碳化矽層3〇4係利用參照第 2 d圖所描述之習知微影技術而加以圖案化。碳化矽層 可利用四氟化碳(CF4)或含有三氟甲燒和氧氣的氣 月豆匕5物進行I虫刻。當碳化碎層3 〇 4被圖案化之後,第二 ’I甩層308被沉積在其上方。第二介電層3〇8可為氧化物 (例如二氧化矽或氟玻璃(FSG))。第二介電層3〇8的厚度約 5,〇〇〇 埃至 1〇,〇〇〇 埃。 接著如第3c圖所不,第二介電層308被圖案化界 疋内連、、泉3 1 〇 ’且最好利用上述習知的微影製程來進行。 形成於第二介電層308的内連線31〇係位於碳化珍層304 中之接觸孔/介層孔開口 3Q6的上方。然後利用反應性離子 (請先閱讀背面之注意事項再填寫本頁) 訂-----------線康 1259850 A7 -—^ ___B7_ ' ---------- 五、發明說明() 1虫刻或其它非均向性蝕刻技術來蝕刻内連線3 1 〇及接觸孔 /介層孔3 0 6。 請參照第3d圖,内連線310及接觸孔/介層孔3〇6係 以如銘、鋼、鎢或其組合等導電材料3 14加以充填。在較 佳情況下’内連線3 1 0及接觸孔/介層孔306所充填的材料 為銅’因為銅的電阻較小(約1·7// Ω -cm)。導電材料314 係利用化學氣相沉積(CVD)、物理氣相沉積(PVD)、電錄戍 其組合進行沉積,藉以形成鑲嵌結構。此外,阻障層3丨6(例 如叙、氮化叙或其它合適的阻障層材料)首先被均勻地沉 積在内連線3 1 〇及接觸孔/介層孔306的側壁上,以防止金 屬遷移到周圍的介電層302、308以及碳化矽層3〇4。 C.碳化矽抗反射塗層(ARC) 第4a-4e圖描繪基材400在積體電路製造之不同階段 的截面圖,其中該結構係以碳化矽做為抗反射塗層 (ARC)。概括而言,基材4〇〇可代表任何進行處理膜層的 工件’而基材結構450則概括代表基材以及形成於基材 400上方的其它材料層。基材4〇〇可能對應矽晶圓或其它 已經形成於矽晶圓上的材料層,並取決於製程的特定階段 而定。例如,第4a圖繪示基材結構450的截面圖,其中 基材400為矽晶圓。 碳化矽層402係形成於基材結構450之上方。此碳化 碎層402係依照上述製程參數而形成於基材結構45〇之上 方。此碳化矽層在波長小於約250 nm時,其吸收係數(κ、 (請先閱讀背面之注意事項再填寫本頁) -ϋ -H ϋ -ϋ i«i 11^OJ n ϋ ·1 4ΦΙ 經濟部智慧財產局員工消費合作社印製 第18頁
1259850 A7 B7 五、發明說明( 經濟部智慧財產局員工消費合作社印製 約在0.1 i 0.7之間,I其適於在紫外線波長之下做為抗 反射塗層(ARC)。石炭化矽層的吸收係數可加以調整,因為 在碳化矽的形成過程中,吸收係數可為沉積溫度和氣體混 合物中之碳濃度的函數而隨著所想要的範圍改變。碳化矽 層402的厚度係隨著特定製程階段而改變。在典型情況 下,此碳化矽層的沉積厚度約2〇〇埃至2〇〇〇埃。 第4b圖繪示一層能量敏感性光阻材料4〇4形成於 4a圖所示之基材結構45〇的上方。該層能量敏感性光阻 料可被旋塗於基材結構450之上,其厚度約介於2,〇〇〇 至6,000埃。此能量敏感性光阻材料容易受到波長小於 2 5 0奈米之深紫外輻射的影響。 將此層能量敏感性光阻材料4〇4經由罩幕4〇6暴露 深紫外輕射,即可將圖案影像引導至該層能量敏感性光 材料404。當圖案的影像被引導至該層能量敏感性光阻1 料404之後,碳化矽層402會抑制任何來自下方材料層(例 如氧化物或金屬)的反射,如此將會降低被引導至該層 量敏感性光阻材料404之圖案影像的品質。 如第4c圖所示,被引導至該層能量敏感性光阻材 404的圖案影像係在適當的顯影劑當中進行顯影,以界 出其中的圖案。然後,請參照第4d圖,界定於能量敏 性光阻材料404中的圖案轉移通過碳化矽層402。該圖 係利用能量敏感性光阻材料404當作罩幕而被轉移通過 化矽層402。該圖案係利用適當的化學蝕刻劑(例如四 碳或含有三氟甲烷(CHF3)和氧氣之氣體混合物)而被 第19頁 本紙張尺度適用中國國家標準(cns)a4規格(210 X 297公t ) 第 材 埃 於 阻 材 能 料 定 感 案 碳 氟化 轉移 (請先閱讀背面之注意事項再填寫本頁) --------訂-----------線4 1259850 A7 ----- —__B7___ 五、發明說明() 至通過碳化矽層402。 如第4e圖所示,當碳化矽層4〇2經過圖案化之後, 此圖案通常會被轉移至基材4〇〇。該圖案係以碳化矽arC 層402當作硬罩幕而被轉移至基材400。該圖案係利用適 當的化學姓刻劑進行蚀刻而被轉移至基材4〇〇。然後,石户 化石夕層402可選擇性地利用適當的化學蝕刻劑(例 x四^ 化破或含有三氟甲烷和氧氣之氣體混合物)進行蝕 刈而從 基材4 5 0上被移除。 雖然以上已詳細說明本發明之多種較佳實施例, 凡熟 習該項技藝者當可利用在此揭露之教示設計其它i 施例。 (請先閱讀背面之、注意事項再填寫本頁)
經濟部智慧財產局員工消費合作社印製 第20頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

1259850 申請曰期 Λ 广 J 0 .心〆 案 號 f/ 類 别 (以上各攔由本局填註)
_11專利説明書 中 文 碳化矽層之雙頻電漿激發化學氣相沉積 發明 名稱 英 文 姓 名 國 籍 1. 史林尼法斯奈馬尼 2. 夏立群 3. 艾立耶和 1.印度2.中華人民共和國3.美國 裝 發明 人 住、居所 1. 美國加州聖荷西市懷特教柏大街494號 2. 美國加州聖大克勞拉市雷絲大街868號 3. 美國加州聖荷西市匹斯脫亞路5 8 8 8號 訂 姓 名 (名稱) 美商·應用材料股份有限公司 線 經濟部智慧財4笱員工消費合作社印製 國 籍 美國 三、申請人 住、居所 (事務所) 代表人 姓 名 美國加州聖大克勞拉市波爾斯大道3050號 瓊西J.史維尼 第1頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 1259850 {由本局填寫)
本案已向: A6 B6 國(地區)申請專利 / 叫日期· 案號: ,^有□無主張優先權 本案已向美國申請專 月号不J,申凊日:2000年9月12日案號:09/660, 268號 有關微生物已寄存於: 寄存日期: 寄存號碼. (請先閱讀背面之注意事項再填寫本頁各攔) I - I -I I - i - - —i * —i— ϋη ( HI I - - 經濟部智慧財產局員工消費合作社印製 苜 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 象——L_U---------
TW090119426A 2000-09-12 2001-08-08 Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers TWI259850B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/660,268 US6465366B1 (en) 2000-09-12 2000-09-12 Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers

Publications (1)

Publication Number Publication Date
TWI259850B true TWI259850B (en) 2006-08-11

Family

ID=24648793

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090119426A TWI259850B (en) 2000-09-12 2001-08-08 Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers

Country Status (5)

Country Link
US (2) US6465366B1 (zh)
EP (1) EP1191123A3 (zh)
JP (1) JP5116197B2 (zh)
KR (1) KR100878170B1 (zh)
TW (1) TWI259850B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI830751B (zh) * 2018-07-19 2024-02-01 美商應用材料股份有限公司 低溫高品質的介電膜及其形成方法

Families Citing this family (212)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
DE10231533A1 (de) * 2002-07-11 2004-01-29 Infineon Technologies Ag Verfahren zur Metallstrukturierung
US20050181604A1 (en) * 2002-07-11 2005-08-18 Hans-Peter Sperlich Method for structuring metal by means of a carbon mask
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US6797643B2 (en) * 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7091133B2 (en) * 2003-01-27 2006-08-15 Asm Japan K.K. Two-step formation of etch stop layer
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7138332B2 (en) * 2003-07-09 2006-11-21 Asm Japan K.K. Method of forming silicon carbide films
US6849561B1 (en) * 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US6972253B2 (en) * 2003-09-09 2005-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming dielectric barrier layer in damascene structure
JP2005136374A (ja) * 2003-10-06 2005-05-26 Matsushita Electric Ind Co Ltd 半導体製造装置及びそれを用いたパターン形成方法
US7390537B1 (en) * 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US7176571B2 (en) * 2004-01-08 2007-02-13 Taiwan Semiconductor Manufacturing Company Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
WO2005087974A2 (en) * 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US20050263901A1 (en) * 2004-05-27 2005-12-01 International Business Machines Corporation Semiconductor device formed by in-situ modification of dielectric layer and related methods
US7022622B2 (en) * 2004-07-08 2006-04-04 International Business Machines Corporation Method and structure to improve properties of tunable antireflective coatings
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
CN100437934C (zh) * 2005-02-08 2008-11-26 联华电子股份有限公司 减少低介电常数材料层的微粒数目的方法
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
JP4563927B2 (ja) * 2005-12-02 2010-10-20 信越化学工業株式会社 基板及びその製造方法、並びにそれを用いたパターン形成方法
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
WO2008152666A1 (en) * 2007-06-14 2008-12-18 Galileo Avionica S.P.A. Process for the deposition of layers of hydrogenated silicon carbide
US9174791B2 (en) 2007-12-11 2015-11-03 Tokitae Llc Temperature-stabilized storage systems
US20110127273A1 (en) 2007-12-11 2011-06-02 TOKITAE LLC, a limited liability company of the State of Delaware Temperature-stabilized storage systems including storage structures configured for interchangeable storage of modular units
US9140476B2 (en) 2007-12-11 2015-09-22 Tokitae Llc Temperature-controlled storage systems
US8485387B2 (en) * 2008-05-13 2013-07-16 Tokitae Llc Storage container including multi-layer insulation composite material having bandgap material
US9205969B2 (en) 2007-12-11 2015-12-08 Tokitae Llc Temperature-stabilized storage systems
US8215835B2 (en) 2007-12-11 2012-07-10 Tokitae Llc Temperature-stabilized medicinal storage systems
CN101736326B (zh) * 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US9447995B2 (en) 2010-02-08 2016-09-20 Tokitac LLC Temperature-stabilized storage systems with integral regulated cooling
US9372016B2 (en) 2013-05-31 2016-06-21 Tokitae Llc Temperature-stabilized storage systems with regulated cooling
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP6043546B2 (ja) * 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US20200098562A1 (en) * 2018-09-26 2020-03-26 Lam Research Corporation Dual frequency silane-based silicon dioxide deposition to minimize film instability
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB725440A (en) * 1952-02-23 1955-03-02 Representation Generale Pour L Improvements in and relating to field or portable kitchens
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
GB2311298B (en) * 1996-03-18 1999-09-29 Hyundai Electronics Ind Inductively coupled plasma chemical vapor deposition apparatus
US5800878A (en) 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US5926740A (en) * 1997-10-27 1999-07-20 Micron Technology, Inc. Graded anti-reflective coating for IC lithography
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6103590A (en) * 1997-12-12 2000-08-15 Texas Instruments Incorporated SiC patterning of porous silicon
EP0926715B1 (en) * 1997-12-23 2009-06-10 Texas Instruments Incorporated Chemical mechanical polishing for isolation dielectric planarization
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6103456A (en) * 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6255211B1 (en) * 1998-10-02 2001-07-03 Texas Instruments Incorporated Silicon carbide stop layer in chemical mechanical polishing over metallization layers
US6261892B1 (en) * 1999-12-31 2001-07-17 Texas Instruments Incorporated Intra-chip AC isolation of RF passive components
US6440878B1 (en) * 2000-04-03 2002-08-27 Sharp Laboratories Of America, Inc. Method to enhance the adhesion of silicon nitride to low-k fluorinated amorphous carbon using a silicon carbide adhesion promoter layer
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI830751B (zh) * 2018-07-19 2024-02-01 美商應用材料股份有限公司 低溫高品質的介電膜及其形成方法

Also Published As

Publication number Publication date
KR100878170B1 (ko) 2009-01-12
JP2002198317A (ja) 2002-07-12
KR20020022128A (ko) 2002-03-25
JP5116197B2 (ja) 2013-01-09
EP1191123A3 (en) 2004-11-17
US6465366B1 (en) 2002-10-15
US6589888B2 (en) 2003-07-08
US20030008069A1 (en) 2003-01-09
EP1191123A2 (en) 2002-03-27

Similar Documents

Publication Publication Date Title
TWI259850B (en) Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
TW552308B (en) Method of depositing organosilicate layers
KR100801369B1 (ko) 유전체 막을 증착시키는 방법
US7332262B2 (en) Photolithography scheme using a silicon containing resist
US7335462B2 (en) Method of depositing an amorphous carbon layer
KR100818953B1 (ko) 유기실리케이트층을 증착시키는 방법
JP5075310B2 (ja) 有機ケイ酸塩層を堆積する方法
TW535253B (en) Plasma treatment of silicon carbide films
JP2023113700A (ja) 炭化タングステン膜の接着性及び欠陥を改善する技法
KR20010112115A (ko) 플루오로-오르가노실리케이트층
US20050009342A1 (en) Method for etching an organic anti-reflective coating (OARC)
TW405221B (en) A damascene process of enhancing the process condition

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees